usb bug fix
diff --git a/Makefile b/Makefile
index 27f6cea..df39874 100644
--- a/Makefile
+++ b/Makefile
@@ -74,6 +74,8 @@
 $(DV_PATTERNS): verify-% : ./verilog/dv/% check-coremark_repo check-riscv_comp_repo check-riscv_test_repo
 	docker run -v ${TARGET_PATH}:${TARGET_PATH} \
                 -e TARGET_PATH=${TARGET_PATH}  \
+		-v ${CARAVEL_ROOT}:${CARAVEL_ROOT} \
+		-e CARAVEL_ROOT=${CARAVEL_ROOT} \
                 -u $(id -u $$USER):$(id -g $$USER) riscduino/dv_setup:mpw5 \
                 sh -c $(VERIFY_COMMAND)
 				
diff --git a/def/user_project_wrapper.def.gz b/def/user_project_wrapper.def.gz
index 0a9f1ed..ccfea68 100644
--- a/def/user_project_wrapper.def.gz
+++ b/def/user_project_wrapper.def.gz
Binary files differ
diff --git a/gds/pinmux.gds.gz b/gds/pinmux.gds.gz
index dee682d..ba989a5 100644
--- a/gds/pinmux.gds.gz
+++ b/gds/pinmux.gds.gz
Binary files differ
diff --git a/gds/qspim_top.gds.gz b/gds/qspim_top.gds.gz
index e17e423..b889229 100644
--- a/gds/qspim_top.gds.gz
+++ b/gds/qspim_top.gds.gz
Binary files differ
diff --git a/gds/uart_i2c_usb_spi_top.gds.gz b/gds/uart_i2c_usb_spi_top.gds.gz
index 7af4f11..e15cb95 100644
--- a/gds/uart_i2c_usb_spi_top.gds.gz
+++ b/gds/uart_i2c_usb_spi_top.gds.gz
Binary files differ
diff --git a/gds/user_project_wrapper.gds.gz b/gds/user_project_wrapper.gds.gz
index d3f2c5b..fdb7424 100644
--- a/gds/user_project_wrapper.gds.gz
+++ b/gds/user_project_wrapper.gds.gz
Binary files differ
diff --git a/gds/wb_host.gds.gz b/gds/wb_host.gds.gz
index 5fd2c7f..447164a 100644
--- a/gds/wb_host.gds.gz
+++ b/gds/wb_host.gds.gz
Binary files differ
diff --git a/lef/pinmux.lef.gz b/lef/pinmux.lef.gz
index 92c6efc..e70b700 100644
--- a/lef/pinmux.lef.gz
+++ b/lef/pinmux.lef.gz
Binary files differ
diff --git a/lef/qspim_top.lef.gz b/lef/qspim_top.lef.gz
index 16b6250..5c93386 100644
--- a/lef/qspim_top.lef.gz
+++ b/lef/qspim_top.lef.gz
Binary files differ
diff --git a/lef/uart_i2c_usb_spi_top.lef.gz b/lef/uart_i2c_usb_spi_top.lef.gz
index a396a36..ae225bb 100644
--- a/lef/uart_i2c_usb_spi_top.lef.gz
+++ b/lef/uart_i2c_usb_spi_top.lef.gz
Binary files differ
diff --git a/lef/user_project_wrapper.lef.gz b/lef/user_project_wrapper.lef.gz
index 6c8a915..12ce538 100644
--- a/lef/user_project_wrapper.lef.gz
+++ b/lef/user_project_wrapper.lef.gz
Binary files differ
diff --git a/lef/wb_host.lef.gz b/lef/wb_host.lef.gz
index a81379e..b54a97b 100644
--- a/lef/wb_host.lef.gz
+++ b/lef/wb_host.lef.gz
Binary files differ
diff --git a/signoff/pinmux/final_summary_report.csv b/signoff/pinmux/final_summary_report.csv
index e2baeec..26af28c 100644
--- a/signoff/pinmux/final_summary_report.csv
+++ b/signoff/pinmux/final_summary_report.csv
@@ -1,2 +1,2 @@
 ,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
-0,/project/openlane/pinmux,pinmux,pinmux,flow completed,0h11m25s0ms,0h7m6s0ms,43806.06060606061,0.2475,21903.030303030304,26.1,892.25,5421,0,0,0,0,0,0,0,-1,0,-1,-1,436360,55335,0.0,0.0,-1,0.0,0.0,0.0,0.0,-1,0.0,0.0,340333025.0,0.0,57.99,42.16,31.77,19.51,-1,3480,8519,562,5601,0,0,0,4063,123,107,40,77,933,109,14,285,1086,1034,11,314,3259,0,3573,100.0,10.0,10,AREA 0,4,50,1,100,100,0.3,0.3,sky130_fd_sc_hd,4,4
+0,/project/openlane/pinmux,pinmux,pinmux,flow completed,0h10m48s0ms,0h7m26s0ms,43806.06060606061,0.2475,21903.030303030304,26.1,905.55,5421,0,0,0,0,0,0,0,-1,0,-1,-1,434767,55285,0.0,0.0,-1,0.0,0.0,0.0,0.0,-1,0.0,0.0,340566414.0,0.0,57.97,42.44,31.07,19.09,-1,3480,8519,562,5601,0,0,0,4063,123,107,40,77,933,109,14,285,1086,1034,11,314,3259,0,3573,100.0,10.0,10,AREA 0,4,50,1,100,100,0.3,0.3,sky130_fd_sc_hd,4,4
diff --git a/signoff/qspim_top/final_summary_report.csv b/signoff/qspim_top/final_summary_report.csv
index 1bf2607..498e1be 100644
--- a/signoff/qspim_top/final_summary_report.csv
+++ b/signoff/qspim_top/final_summary_report.csv
@@ -1,2 +1,2 @@
 ,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
-0,/project/openlane/qspim_top,qspim_top,qspim_top,flow completed,0h15m15s0ms,0h11m13s0ms,65696.9696969697,0.2475,32848.48484848485,37.92,1082.31,8130,0,0,0,0,0,0,0,-1,0,-1,-1,419590,71747,-0.55,-5.04,-1,0.0,0.0,-21.45,-1943.78,-1,0.0,0.0,256460720.0,0.0,50.47,49.05,23.05,22.36,-1,7374,11038,803,4466,0,0,0,8348,263,96,195,114,1420,214,34,1460,1553,1517,17,388,3234,0,3622,100.0,10.0,10,AREA 0,4,50,1,100,100,0.42,0.3,sky130_fd_sc_hd,4,4
+0,/project/openlane/qspim_top,qspim_top,qspim_top,flow completed,0h14m56s0ms,0h11m42s0ms,65696.9696969697,0.2475,32848.48484848485,37.92,1024.62,8130,0,0,0,0,0,0,0,-1,0,-1,-1,419590,71747,-0.55,-5.04,-1,0.0,0.0,-21.45,-1943.78,-1,0.0,0.0,256460720.0,0.0,50.47,49.05,23.05,22.36,-1,7374,11038,803,4466,0,0,0,8348,263,96,195,114,1420,214,34,1460,1553,1517,17,388,3234,0,3622,100.0,10.0,10,AREA 0,4,50,1,100,100,0.42,0.3,sky130_fd_sc_hd,4,4
diff --git a/signoff/uart_i2cm_usb_spi_top/final_summary_report.csv b/signoff/uart_i2cm_usb_spi_top/final_summary_report.csv
index 1ae8e4f..13c3d45 100644
--- a/signoff/uart_i2cm_usb_spi_top/final_summary_report.csv
+++ b/signoff/uart_i2cm_usb_spi_top/final_summary_report.csv
@@ -1,2 +1,2 @@
 ,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
-0,/project/openlane/uart_i2cm_usb_spi_top,uart_i2c_usb_spi_top,uart_i2cm_usb_spi_top,flow completed,0h19m27s0ms,0h14m25s0ms,69400.0,0.35,34700.0,39.27,1540.1,12145,0,0,0,0,0,0,0,-1,0,-1,-1,630996,105793,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,373528655.0,0.0,53.48,52.78,23.7,25.92,-1,8698,13063,1552,5853,0,0,0,9820,378,189,259,276,2194,356,86,807,2409,2348,19,498,4643,0,5141,100.0,10.0,10,AREA 0,4,50,1,100,100,0.45,0.3,sky130_fd_sc_hd,4,4
+0,/project/openlane/uart_i2cm_usb_spi_top,uart_i2c_usb_spi_top,uart_i2cm_usb_spi_top,flow completed,0h17m16s0ms,0h12m43s0ms,69285.71428571429,0.35,34642.857142857145,39.21,1441.0,12125,0,0,0,0,0,0,0,-1,0,-1,-1,594439,103896,0.0,0.0,0.0,0.0,-0.02,0.0,0.0,0.0,0.0,-0.02,369917177.0,0.0,51.11,51.47,19.26,22.98,-1,8702,13067,1552,5853,0,0,0,9824,392,189,256,272,2200,354,88,807,2409,2348,18,498,4643,0,5141,99.8003992015968,10.02,10,AREA 0,4,50,1,100,100,0.45,0.3,sky130_fd_sc_hd,4,4
diff --git a/signoff/user_project_wrapper/final_summary_report.csv b/signoff/user_project_wrapper/final_summary_report.csv
index 5386c94..989b5d9 100644
--- a/signoff/user_project_wrapper/final_summary_report.csv
+++ b/signoff/user_project_wrapper/final_summary_report.csv
@@ -1,2 +1,2 @@
 ,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
-0,/project/openlane/user_project_wrapper,user_project_wrapper,user_project_wrapper,flow completed,0h47m50s0ms,0h5m25s0ms,-2.0,-1,-1,-1,607.65,11,0,0,0,0,0,0,-1,0,0,-1,-1,2484327,19911,0.0,-1,-1,0.0,0.0,0.0,-1,-1,0.0,0.0,-1,0.0,8.49,12.26,3.27,2.9,0.0,318,3364,318,3364,0,0,0,11,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,100.0,10.0,10,AREA 0,5,50,1,80,100,0.55,0.3,sky130_fd_sc_hd,4,0
+0,/project/openlane/user_project_wrapper,user_project_wrapper,user_project_wrapper,flow completed,0h45m53s0ms,0h5m7s0ms,-2.0,-1,-1,-1,604.22,11,0,0,0,0,0,0,-1,0,0,-1,-1,2428216,19156,0.0,-1,-1,0.0,0.0,0.0,-1,-1,0.0,0.0,-1,0.0,8.39,11.89,3.14,2.75,0.0,318,3364,318,3364,0,0,0,11,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,100.0,10.0,10,AREA 0,5,50,1,80,100,0.55,0.3,sky130_fd_sc_hd,4,0
diff --git a/signoff/wb_host/final_summary_report.csv b/signoff/wb_host/final_summary_report.csv
index 5698503..54ac79b 100644
--- a/signoff/wb_host/final_summary_report.csv
+++ b/signoff/wb_host/final_summary_report.csv
@@ -1,2 +1,2 @@
 ,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
-0,/project/openlane/wb_host,wb_host,wb_host,flow completed,0h5m37s0ms,0h3m37s0ms,60517.64705882353,0.14875,30258.823529411766,36.67,759.41,4501,0,0,0,0,0,0,0,9,0,0,-1,206351,36529,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,156181924.0,0.0,45.83,48.0,4.16,12.69,-1,3461,6134,1009,3538,0,0,0,3773,372,52,74,184,652,130,23,458,1014,989,11,296,1950,0,2246,100.0,10.0,10,AREA 0,4,50,1,100,100,0.38,0.3,sky130_fd_sc_hd,4,4
+0,/project/openlane/wb_host,wb_host,wb_host,flow completed,0h7m24s0ms,0h5m1s0ms,61297.47899159664,0.14875,30648.73949579832,37.15,769.93,4559,0,0,0,0,0,0,0,12,0,0,-1,209244,37027,0.0,-0.21,0.0,0.0,0.0,0.0,-17.73,0.0,0.0,0.0,158619913.0,0.0,46.06,48.87,4.05,12.29,-1,3513,6206,1009,3558,0,0,0,3833,380,52,75,186,650,146,23,466,1022,997,11,296,1950,0,2246,100.0,10.0,10,AREA 0,4,50,1,100,100,0.38,0.3,sky130_fd_sc_hd,4,4
diff --git a/spef/pinmux.spef.gz b/spef/pinmux.spef.gz
index 3946e8a..ac2d271 100644
--- a/spef/pinmux.spef.gz
+++ b/spef/pinmux.spef.gz
Binary files differ
diff --git a/spef/qspim_top.spef.gz b/spef/qspim_top.spef.gz
index 65e9c0f..0f5e6d0 100644
--- a/spef/qspim_top.spef.gz
+++ b/spef/qspim_top.spef.gz
Binary files differ
diff --git a/spef/uart_i2c_usb_spi_top.spef.gz b/spef/uart_i2c_usb_spi_top.spef.gz
index f2090d7..f38dd46 100644
--- a/spef/uart_i2c_usb_spi_top.spef.gz
+++ b/spef/uart_i2c_usb_spi_top.spef.gz
Binary files differ
diff --git a/spef/user_project_wrapper.spef.gz b/spef/user_project_wrapper.spef.gz
index 140f324..1f35c9b 100644
--- a/spef/user_project_wrapper.spef.gz
+++ b/spef/user_project_wrapper.spef.gz
Binary files differ
diff --git a/spef/wb_host.spef.gz b/spef/wb_host.spef.gz
index 7235147..6878abf 100644
--- a/spef/wb_host.spef.gz
+++ b/spef/wb_host.spef.gz
Binary files differ
diff --git a/spi/lvs/pinmux.spice.gz b/spi/lvs/pinmux.spice.gz
index 243a5cb..caef751 100644
--- a/spi/lvs/pinmux.spice.gz
+++ b/spi/lvs/pinmux.spice.gz
Binary files differ
diff --git a/spi/lvs/qspim_top.spice.gz b/spi/lvs/qspim_top.spice.gz
index 7987d2a..5c6e46b 100644
--- a/spi/lvs/qspim_top.spice.gz
+++ b/spi/lvs/qspim_top.spice.gz
Binary files differ
diff --git a/spi/lvs/uart_i2c_usb_spi_top.spice.gz b/spi/lvs/uart_i2c_usb_spi_top.spice.gz
index 88a6b5c..bd3999e 100644
--- a/spi/lvs/uart_i2c_usb_spi_top.spice.gz
+++ b/spi/lvs/uart_i2c_usb_spi_top.spice.gz
Binary files differ
diff --git a/spi/lvs/user_project_wrapper.spice.gz b/spi/lvs/user_project_wrapper.spice.gz
index 3e8067f..6941971 100644
--- a/spi/lvs/user_project_wrapper.spice.gz
+++ b/spi/lvs/user_project_wrapper.spice.gz
Binary files differ
diff --git a/spi/lvs/wb_host.spice.gz b/spi/lvs/wb_host.spice.gz
index c55f764..3b322b6 100644
--- a/spi/lvs/wb_host.spice.gz
+++ b/spi/lvs/wb_host.spice.gz
Binary files differ
diff --git a/verilog/dv/Makefile b/verilog/dv/Makefile
index 836d0cd..ddbf7d0 100644
--- a/verilog/dv/Makefile
+++ b/verilog/dv/Makefile
@@ -19,7 +19,7 @@
 .SUFFIXES:
 .SILENT: clean all
 
-PATTERNS = wb_port risc_boot user_risc_boot user_uart user_qspi user_i2cm riscv_regress user_basic user_uart_master uart_master
+PATTERNS = wb_port risc_boot user_risc_boot user_uart user_qspi user_i2cm riscv_regress user_basic user_usb user_uart_master uart_master
 
 all:  ${PATTERNS}
 	for i in ${PATTERNS}; do \
diff --git a/verilog/dv/agents/test_control.v b/verilog/dv/agents/test_control.v
new file mode 100755
index 0000000..2eb066a
--- /dev/null
+++ b/verilog/dv/agents/test_control.v
@@ -0,0 +1,82 @@
+
+module test_control();
+  
+  event        error_detected;
+  integer      error_count;
+  reg          verbose_msg;
+  
+  // initialize debug variables 
+  initial
+    begin
+      error_count = 0;
+      verbose_msg = 0;
+    end
+
+
+  // count the number error 
+  always @(error_detected)
+    begin
+      error_count = error_count + 1;
+    end
+  
+  
+  // enabling/disabling  message
+  task msg_enable;
+    input [20*8:1] msg_src;
+    input msg_enable;
+    begin
+      verbose_msg = msg_enable;
+      if (msg_enable)
+        $display("         At time %t ** %s: enabling messages",$time, msg_src);
+      else
+        $display("         At time %t ** %s: disabling messages",$time, msg_src);
+    end
+  endtask // msg
+
+  // generating message
+  task msg;
+    input [20*8:1] msg_src;
+    input [40*8:1] msg_text;
+    begin
+      if (verbose_msg)
+        $display("         At time %t ** %s: Msg: %s",$time, msg_src, msg_text);
+    end
+  endtask // msg
+
+  // generating long message
+  task msgl;
+    input [40*8:1] msg_src;
+    input [80*8:1] msg_text;
+    begin
+      if (verbose_msg)
+        $display("         At time %t ** %s: Msg: %s",$time, msg_src, msg_text);
+    end
+  endtask // msg
+
+  // generating the error message
+  task err;
+    input [20*8:1] err_src;
+    input [40*8:1] err_text;
+    begin
+      -> error_detected;
+      $display("Time %0d, %s Error: %s",$time, err_src, err_text);
+    end
+  endtask // err
+
+
+task finish_test;
+begin
+
+   $display("****************************************");
+   if ( error_count == 0 )
+      $display("* TEST: PASSED");
+   else
+      $display("* TEST: FAILED\n*\tError(s) = %d", error_count);
+
+   $display("****************************************");
+end
+endtask
+
+
+endmodule // debug_proc
+
diff --git a/verilog/dv/agents/usb_agents.v b/verilog/dv/agents/usb_agents.v
new file mode 100644
index 0000000..c266567
--- /dev/null
+++ b/verilog/dv/agents/usb_agents.v
@@ -0,0 +1,1010 @@
+
+`define TOP user_usb_tb
+
+module usb_agent;
+
+//-----------------------------------------------------------------
+// Defines:
+//-----------------------------------------------------------------
+
+// Response values
+`define USB_RES_OK      8'h0
+`define USB_RES_NAK     8'hFF
+`define USB_RES_STALL   8'hFE
+`define USB_RES_TIMEOUT 8'hFD
+
+
+// USB PID values
+`define PID_OUT        8'hE1
+`define PID_IN         8'h69
+`define PID_SOF        8'hA5
+`define PID_SETUP      8'h2D
+
+`define PID_DATA0      8'hC3
+`define PID_DATA1      8'h4B
+
+`define PID_ACK        8'hD2
+`define PID_NAK        8'h5A
+`define PID_STALL      8'h1E
+
+// Standard requests (via SETUP packets)
+`define REQ_GET_STATUS        8'h00
+`define REQ_CLEAR_FEATURE     8'h01
+`define REQ_SET_FEATURE       8'h03
+`define REQ_SET_ADDRESS       8'h05
+`define REQ_GET_DESCRIPTOR    8'h06
+`define REQ_SET_DESCRIPTOR    8'h07
+`define REQ_GET_CONFIGURATION 8'h08
+`define REQ_SET_CONFIGURATION 8'h09
+`define REQ_GET_INTERFACE     8'h0A
+`define REQ_SET_INTERFACE     8'h0B
+`define REQ_SYNC_FRAME        8'h0C
+
+// Descriptor types
+`define DESC_DEVICE           8'h01
+`define DESC_CONFIGURATION    8'h02
+`define DESC_STRING           8'h03
+`define DESC_INTERFACE        8'h04
+`define DESC_ENDPOINT         8'h05
+`define DESC_DEV_QUALIFIER    8'h06
+`define DESC_OTHER_SPEED_CONF 8'h07
+`define DESC_IF_POWER         8'h08
+
+// Device class
+`define DEV_CLASS_RESERVED      8'h00
+`define DEV_CLASS_AUDIO         8'h01
+`define DEV_CLASS_COMMS         8'h02
+`define DEV_CLASS_HID           8'h03
+`define DEV_CLASS_MONITOR       8'h04
+`define DEV_CLASS_PHY_IF        8'h05
+`define DEV_CLASS_POWER         8'h06
+`define DEV_CLASS_PRINTER       8'h07
+`define DEV_CLASS_STORAGE       8'h08
+`define DEV_CLASS_HUB           8'h09
+`define DEV_CLASS_TMC           8'hFE
+`define DEV_CLASS_VENDOR_CUSTOM 8'hFF
+
+// Device Requests (bmRequestType)
+`define REQDIR_HOSTTODEVICE        (0 << 7)
+`define REQDIR_DEVICETOHOST        (1 << 7)
+`define REQTYPE_STANDARD           (0 << 5)
+`define REQTYPE_CLASS              (1 << 5)
+`define REQTYPE_VENDOR             (2 << 5)
+`define REQREC_DEVICE              (0 << 0)
+`define REQREC_INTERFACE           (1 << 0)
+`define REQREC_ENDPOINT            (2 << 0)
+`define REQREC_OTHER               (3 << 0)
+
+// Endpoints
+`define ENDPOINT_DIR_MASK          (1 << 7)
+`define ENDPOINT_DIR_IN            (1 << 7)
+`define ENDPOINT_DIR_OUT           (0 << 7)
+`define ENDPOINT_ADDR_MASK         (8'h7F)
+`define ENDPOINT_TYPE_MASK         (8'h3)
+`define ENDPOINT_TYPE_CONTROL      (0)
+`define ENDPOINT_TYPE_ISO          (1)
+`define ENDPOINT_TYPE_BULK         (2)
+`define ENDPOINT_TYPE_INTERRUPT    (3)
+
+
+//-----------------------------------------------------------------
+// Defines:
+//-----------------------------------------------------------------
+`define USB_CTRL          8'h0
+    `define USB_CTRL_TX_FLUSH                    8
+    `define USB_CTRL_TX_FLUSH_SHIFT              8
+    `define USB_CTRL_TX_FLUSH_MASK               8'h1
+
+    `define USB_CTRL_PHY_DMPULLDOWN              7
+    `define USB_CTRL_PHY_DMPULLDOWN_SHIFT        7
+    `define USB_CTRL_PHY_DMPULLDOWN_MASK         8'h1
+
+    `define USB_CTRL_PHY_DPPULLDOWN              6
+    `define USB_CTRL_PHY_DPPULLDOWN_SHIFT        6
+    `define USB_CTRL_PHY_DPPULLDOWN_MASK         8'h1
+
+    `define USB_CTRL_PHY_TERMSELECT              5
+    `define USB_CTRL_PHY_TERMSELECT_SHIFT        5
+    `define USB_CTRL_PHY_TERMSELECT_MASK         8'h1
+
+    `define USB_CTRL_PHY_XCVRSELECT_SHIFT        3
+    `define USB_CTRL_PHY_XCVRSELECT_MASK         8'h3
+
+    `define USB_CTRL_PHY_OPMODE_SHIFT            1
+    `define USB_CTRL_PHY_OPMODE_MASK             8'h3
+
+    `define USB_CTRL_ENABLE_SOF                  0
+    `define USB_CTRL_ENABLE_SOF_SHIFT            0
+    `define USB_CTRL_ENABLE_SOF_MASK             8'h1
+
+`define USB_STATUS        8'h4
+    `define USB_STATUS_SOF_TIME_SHIFT            16
+    `define USB_STATUS_SOF_TIME_MASK             16'hffff
+
+    `define USB_STATUS_RX_ERROR                  2
+    `define USB_STATUS_RX_ERROR_SHIFT            2
+    `define USB_STATUS_RX_ERROR_MASK             8'h1
+
+    `define USB_STATUS_LINESTATE_BITS_SHIFT      0
+    `define USB_STATUS_LINESTATE_BITS_MASK       8'h3
+
+`define USB_IRQ_ACK       8'h8
+    `define USB_IRQ_ACK_DEVICE_DETECT            3
+    `define USB_IRQ_ACK_DEVICE_DETECT_SHIFT      3
+    `define USB_IRQ_ACK_DEVICE_DETECT_MASK       8'h1
+
+    `define USB_IRQ_ACK_ERR                      2
+    `define USB_IRQ_ACK_ERR_SHIFT                2
+    `define USB_IRQ_ACK_ERR_MASK                 8'h1
+
+    `define USB_IRQ_ACK_DONE                     1
+    `define USB_IRQ_ACK_DONE_SHIFT               1
+    `define USB_IRQ_ACK_DONE_MASK                8'h1
+
+    `define USB_IRQ_ACK_SOF                      0
+    `define USB_IRQ_ACK_SOF_SHIFT                0
+    `define USB_IRQ_ACK_SOF_MASK                 8'h1
+
+`define USB_IRQ_STS       8'hc
+    `define USB_IRQ_STS_DEVICE_DETECT            3
+    `define USB_IRQ_STS_DEVICE_DETECT_SHIFT      3
+    `define USB_IRQ_STS_DEVICE_DETECT_MASK       8'h1
+
+    `define USB_IRQ_STS_ERR                      2
+    `define USB_IRQ_STS_ERR_SHIFT                2
+    `define USB_IRQ_STS_ERR_MASK                 8'h1
+
+    `define USB_IRQ_STS_DONE                     1
+    `define USB_IRQ_STS_DONE_SHIFT               1
+    `define USB_IRQ_STS_DONE_MASK                8'h1
+
+    `define USB_IRQ_STS_SOF                      0
+    `define USB_IRQ_STS_SOF_SHIFT                0
+    `define USB_IRQ_STS_SOF_MASK                 8'h1
+
+`define USB_IRQ_MASK      8'h10
+    `define USB_IRQ_MASK_DEVICE_DETECT           3
+    `define USB_IRQ_MASK_DEVICE_DETECT_SHIFT     3
+    `define USB_IRQ_MASK_DEVICE_DETECT_MASK      8'h1
+
+    `define USB_IRQ_MASK_ERR                     2
+    `define USB_IRQ_MASK_ERR_SHIFT               2
+    `define USB_IRQ_MASK_ERR_MASK                8'h1
+
+    `define USB_IRQ_MASK_DONE                    1
+    `define USB_IRQ_MASK_DONE_SHIFT              1
+    `define USB_IRQ_MASK_DONE_MASK               8'h1
+
+    `define USB_IRQ_MASK_SOF                     0
+    `define USB_IRQ_MASK_SOF_SHIFT               0
+    `define USB_IRQ_MASK_SOF_MASK                8'h1
+
+`define USB_XFER_DATA     8'h14
+    `define USB_XFER_DATA_TX_LEN_SHIFT           0
+    `define USB_XFER_DATA_TX_LEN_MASK            16'hffff
+
+`define USB_XFER_TOKEN    8'h18
+    `define USB_XFER_TOKEN_START                 31
+    `define USB_XFER_TOKEN_START_SHIFT           31
+    `define USB_XFER_TOKEN_START_MASK            8'h1
+
+    `define USB_XFER_TOKEN_IN                    30
+    `define USB_XFER_TOKEN_IN_SHIFT              30
+    `define USB_XFER_TOKEN_IN_MASK               8'h1
+
+    `define USB_XFER_TOKEN_ACK                   29
+    `define USB_XFER_TOKEN_ACK_SHIFT             29
+    `define USB_XFER_TOKEN_ACK_MASK              8'h1
+
+    `define USB_XFER_TOKEN_PID_DATAX             28
+    `define USB_XFER_TOKEN_PID_DATAX_SHIFT       28
+    `define USB_XFER_TOKEN_PID_DATAX_MASK        8'h1
+
+    `define USB_XFER_TOKEN_PID_BITS_SHIFT        16
+    `define USB_XFER_TOKEN_PID_BITS_MASK         8'hff
+
+    `define USB_XFER_TOKEN_DEV_ADDR_SHIFT        9
+    `define USB_XFER_TOKEN_DEV_ADDR_MASK         8'h7f
+
+    `define USB_XFER_TOKEN_EP_ADDR_SHIFT         5
+    `define USB_XFER_TOKEN_EP_ADDR_MASK          8'hf
+
+`define USB_RX_STAT       8'h1c
+    `define USB_RX_STAT_START_PEND               31
+    `define USB_RX_STAT_START_PEND_SHIFT         31
+    `define USB_RX_STAT_START_PEND_MASK          8'h1
+
+    `define USB_RX_STAT_CRC_ERR                  30
+    `define USB_RX_STAT_CRC_ERR_SHIFT            30
+    `define USB_RX_STAT_CRC_ERR_MASK             8'h1
+
+    `define USB_RX_STAT_RESP_TIMEOUT             29
+    `define USB_RX_STAT_RESP_TIMEOUT_SHIFT       29
+    `define USB_RX_STAT_RESP_TIMEOUT_MASK        8'h1
+
+    `define USB_RX_STAT_IDLE                     28
+    `define USB_RX_STAT_IDLE_SHIFT               28
+    `define USB_RX_STAT_IDLE_MASK                8'h1
+
+    `define USB_RX_STAT_RESP_BITS_SHIFT          16
+    `define USB_RX_STAT_RESP_BITS_MASK           8'hff
+
+    `define USB_RX_STAT_COUNT_BITS_SHIFT         0
+    `define USB_RX_STAT_COUNT_BITS_MASK          16'hffff
+
+`define USB_WR_DATA       8'h20
+    `define USB_WR_DATA_DATA_SHIFT               0
+    `define USB_WR_DATA_DATA_MASK                8'hff
+
+`define USB_RD_DATA       8'h20
+    `define USB_RD_DATA_DATA_SHIFT               0
+    `define USB_RD_DATA_DATA_MASK                8'hff
+
+
+task usbhw_reg_write;
+input [7:0] addr;
+input [31:0] wdata;
+begin
+    `TOP.wb_user_core_write(`ADDR_SPACE_USB+addr,wdata);
+end
+endtask
+
+task usbhw_reg_read;
+input [7:0] addr;
+output [31:0] rdata;
+begin
+    `TOP.wb_user_core_read(`ADDR_SPACE_USB+addr,rdata);
+end
+endtask
+
+parameter   XMIT_BUF_SIZE       = 64;    // Xmitbuffer size
+parameter   RECV_BUF_SIZE       = 64;    // Recvbuffer size
+
+
+reg [7:0]   XmitBuffer        [0 : XMIT_BUF_SIZE]; // Xmit buffer
+reg [7:0]   RecvBuffer        [0 : RECV_BUF_SIZE]; // Recv buffer
+
+//-----------------------------------------------------------------
+// usb_setup_packet: Create & send SETUP packet
+//-----------------------------------------------------------------
+task usb_setup_packet;
+input [7:0]  device_address; 
+input [7:0]  request_type;
+input [7:0]  request; 
+input [15:0] value; 
+input [15:0] index; 
+input [15:0] length;
+output       status;
+reg   [7:0]  status;
+integer idx;
+begin
+
+    // bmRequestType:
+    //  D7 Data Phase Transfer Direction
+    //  0 = Host to Device
+    //  1 = Device to Host
+    //  D6..5 Type
+    //  0 = Standard
+    //  1 = Class
+    //  2 = Vendor
+    //  3 = Reserved
+    //  D4..0 Recipient
+    //  0 = Device
+    //  1 = Interface
+    //  2 = Endpoint
+    //  3 = Other
+    // 
+    idx = 0;
+    XmitBuffer[idx] = request_type;           idx = idx+1;
+    XmitBuffer[idx] = request;                idx = idx+1;
+    XmitBuffer[idx] = (value >> 0)  & 8'hFF;  idx = idx+1;
+    XmitBuffer[idx] = (value >> 8)  & 8'hFF;  idx = idx+1;
+    XmitBuffer[idx] = (index >> 0)  & 8'hFF;  idx = idx+1;
+    XmitBuffer[idx] = (index >> 8)  & 8'hFF;  idx = idx+1;
+    XmitBuffer[idx] = (length >> 0) & 8'hFF;  idx = idx+1;
+    XmitBuffer[idx] = (length >> 8) & 8'hFF;  idx = idx+1;
+
+    // Send SETUP token + DATA0 (always DATA0)
+    usbhw_transfer_out(`PID_SETUP, device_address, 0, 1, `PID_DATA0, idx,status);
+
+end
+endtask
+
+//-----------------------------------------------------------------
+// SetAddress: Set device address
+//-----------------------------------------------------------------
+task setup;
+input [7:0] device_address;
+input  [3:0] endpoint;
+output [7:0]status;
+begin
+    //$display("USB: Set device address %d\n", device_address);
+    // Send SETUP token + DATA0 (always DATA0)
+    usbhw_transfer_out(`PID_SETUP, device_address, endpoint, 1, `PID_DATA0, 8,status);
+    // Device has 50mS to apply the address
+    usbhw_timer_sleep(50);
+end
+endtask
+
+task printstatus;
+   input [3:0] RecvdStatus;
+   input [3:0] ExpStatus;
+begin
+  $display("");
+  $display("    #######################################################");
+  if(RecvdStatus !== ExpStatus ) begin
+     -> `TOP.test_control.error_detected;
+     $display("    ERROR: Expected Status and Observed Status didn't match at %0d", $time);
+     if(ExpStatus==4'b0000)
+        $display("    Expected Status is ACK at %0d", $time);
+     else if(ExpStatus==4'b0001)
+        $display("    Expected Status is NACK at %0d", $time);
+     else if(ExpStatus==4'b0010)
+        $display("    Expected Status is STALL at %0d", $time);
+     else if(ExpStatus==4'b0011)
+        $display("    Expected Status is TIMEOUT at %0d", $time);
+     else if(ExpStatus==4'b0100)
+        $display("    Expected Status is INVALID RESPONSE at %0d", $time);
+     else if(ExpStatus==4'b0101)
+        $display("    Expected Status is CRC ERROR at %0d", $time);
+  end
+
+  if(RecvdStatus==4'b0000)
+     $display("    Received Status is ACK at %0d", $time);
+  else if(RecvdStatus==4'b0001)
+     $display("    Received Status is NACK at %0d", $time);
+  else if(RecvdStatus==4'b0010)
+     $display("    Received Status is STALL at %0d", $time);
+  else if(RecvdStatus==4'b011)
+     $display("    Received Status is TIMEOUT at %0d", $time);
+  else if(RecvdStatus==4'b0100)
+     $display("    Received Status is INVALID RESPONSE at %0d", $time);
+  else if(RecvdStatus==4'b0101)
+     $display("    Received Status is CRC ERROR at %0d", $time);
+  $display("    #######################################################");
+  $display("");
+end
+endtask
+//-----------------------------------------------------------------
+// usbhw_reset: Perform USB reset
+//-----------------------------------------------------------------
+task usbhw_reset;
+reg  bflag;
+begin
+    $display("HW: Applying USB Reset \n");
+    // Assert SE0 / reset
+    usbhw_hub_reset;
+
+    $display("HW: Reset Wait time Started \n");
+    // Wait for some time
+    usbhw_timer_sleep(11);
+
+    $display("HW: Reset Wait time Over \n");
+
+    // Stop asserting SE0, set data lines to Hi-Z
+    usbhw_hub_enable(0);
+    usbhw_timer_sleep(3);
+
+    $display("HW: Waiting for device insertion\n");
+
+    // Wait for device detect
+    usbhw_hub_device_detected(bflag);
+    while (!bflag)begin
+       usbhw_hub_device_detected(bflag);
+    end
+
+    $display("HW: Device detected\n");
+
+    // Enable SOF
+    usbhw_hub_enable(1);
+end
+endtask
+//-----------------------------------------------------------------
+// usbhw_hub_reset: Put bus into SE0 state (reset)
+//-----------------------------------------------------------------
+//////////////////////////////////////////////////////////////////////////////////
+//
+//  SendReset : asserts a SE0 on the USB for the number of bit times specified
+//              by ResetTime.
+//  Input     : ResetTime, number of bit times for which to drive a reset on
+//              the USB
+//
+////////////////////////////////////////////////////////////////////////////////
+
+task usbhw_hub_reset;
+reg [7:0] val;
+begin
+    $display("HW: Enter USB bus reset\n");
+
+    // Power-up / SE0
+    val = 0;
+    val = val | (0 << `USB_CTRL_PHY_XCVRSELECT_SHIFT);
+    val = val | (0 << `USB_CTRL_PHY_TERMSELECT_SHIFT);
+    val = val | (2 << `USB_CTRL_PHY_OPMODE_SHIFT);
+    val = val | (1 << `USB_CTRL_PHY_DPPULLDOWN_SHIFT);
+    val = val | (1 << `USB_CTRL_PHY_DMPULLDOWN_SHIFT);
+    usbhw_reg_write(`USB_CTRL, val);
+
+end
+endtask
+
+
+//-----------------------------------------------------------------
+// usbhw_timer_sleep: Perform Sleep
+//-----------------------------------------------------------------
+
+task usbhw_timer_sleep;
+input [7:0] ResetTime;
+reg [7:0] tskResetTime;
+reg [7:0] tskResetTimeCounter;
+begin
+   tskResetTimeCounter = 0;
+   tskResetTime = ResetTime;
+   forever @(posedge `TOP.usb_48mhz_clk) begin
+      tskResetTimeCounter = tskResetTimeCounter + 1'b1;
+      if (tskResetTimeCounter > tskResetTime) begin
+            @(posedge `TOP.usb_48mhz_clk);
+            @(posedge `TOP.usb_48mhz_clk);
+            disable usbhw_timer_sleep;
+      end
+   end
+end
+endtask 
+
+
+//-----------------------------------------------------------------
+// usbhw_hub_enable: Enable root hub (drive data lines to HiZ)
+//                   and optionally start SOF periods
+//-----------------------------------------------------------------
+task usbhw_hub_enable;
+input enable_sof;
+reg [7:0] val;
+begin
+    $display("HW: Enable root hub\n");
+
+    // Host Full Speed
+    val = 0;
+    val = val | (1 << `USB_CTRL_PHY_XCVRSELECT_SHIFT);
+    val = val | (1 << `USB_CTRL_PHY_TERMSELECT_SHIFT);
+    val = val | (0 << `USB_CTRL_PHY_OPMODE_SHIFT);
+    val = val | (1 << `USB_CTRL_PHY_DPPULLDOWN_SHIFT);
+    val = val | (1 << `USB_CTRL_PHY_DMPULLDOWN_SHIFT);
+    val = val | (1 << `USB_CTRL_TX_FLUSH_SHIFT);
+
+    // Enable SOF
+    if (enable_sof)
+        val = val | (1 << `USB_CTRL_ENABLE_SOF_SHIFT);
+
+    usbhw_reg_write(`USB_CTRL, val);
+end
+endtask
+
+
+//-----------------------------------------------------------------
+// usbhw_hub_device_detected: Detect device inserted
+//-----------------------------------------------------------------
+task usbhw_hub_device_detected;
+output bflag;
+reg _usb_fs_device;
+reg [31:0] status;
+reg    bflag;
+begin
+    // Get line state
+    usbhw_reg_read(`USB_STATUS,status);
+    status = status >> `USB_STATUS_LINESTATE_BITS_SHIFT;
+    status = status & `USB_STATUS_LINESTATE_BITS_MASK;
+
+    // FS: D+ pulled high
+    // LS: D- pulled high
+    _usb_fs_device = (status & 1);
+    if(status != 1) begin
+       $display("ERROR: USB Pull Up Status is not 1, Only Full Seed Supported");
+    end else begin
+       $display("STATUS: USB Full Speed Detected");
+    end
+
+    bflag = (status != 0);
+end
+endtask
+
+task status_IN;
+input [7:0] device_addr;
+input [3:0] endpoint;
+output [7:0] exit_code;
+reg    [7:0] exit_code;
+reg   [7:0] status;
+reg    [7:0] rx_count;
+begin
+  usbhw_transfer_in(`PID_IN, device_addr, endpoint, status,exit_code,rx_count);
+end
+endtask
+
+task status_OUT;
+input [7:0] device_addr;
+input [3:0] endpoint;
+output [7:0] status;
+begin
+  usbhw_transfer_out(`PID_OUT, device_addr, endpoint, 1, `PID_OUT, 0,status);
+end
+endtask
+
+task control_OUT;
+input [7:0] device_addr;
+input [3:0] endpoint;
+input [7:0] ByteCount;
+output [7:0] status;
+begin
+  usbhw_transfer_out(`PID_OUT, device_addr, endpoint, 1, `PID_DATA1, ByteCount,status);
+end
+endtask
+
+task control_IN;
+input [7:0] device_addr;
+input [3:0] endpoint;
+input [7:0] ByteCount;
+output [7:0] exit_code;
+reg    [7:0] exit_code;
+reg    [7:0] status;
+reg    [7:0] rx_count;
+begin
+  usbhw_transfer_in(`PID_IN, device_addr, endpoint, status,exit_code,rx_count);
+end
+endtask
+
+task SetAddress;
+  input [6:0] address;
+begin
+    XmitBuffer[0] = 8'b0000_0000;
+    XmitBuffer[1] = 8'b0000_0101; // SetAddress
+    XmitBuffer[2] = {1'b0, address};
+    XmitBuffer[3] = 8'b0000_0000;
+    XmitBuffer[4] = 8'b0000_0000;
+    XmitBuffer[5] = 8'b0000_0000;
+    XmitBuffer[6] = 8'b0000_0000;
+    XmitBuffer[7] = 8'b0000_0000;
+end
+endtask
+
+
+task SetConfiguration;
+  input [1:0] cfg_val;
+begin
+    XmitBuffer[0] = 8'b0000_0000;
+    XmitBuffer[1] = 8'b0000_1001; // Set Configuration
+    XmitBuffer[2] = {6'b000_000, cfg_val};
+    XmitBuffer[3] = 8'b0000_0000;
+    XmitBuffer[4] = 8'b0000_0000;
+    XmitBuffer[5] = 8'b0000_0000;
+    XmitBuffer[6] = 8'b0000_0000;
+    XmitBuffer[7] = 8'b0000_0000;
+end
+endtask
+
+task VenRegWordWr;
+  input [6:0] address;
+  input [31:0] reg_address;
+  input [31:0] dataword;
+  reg   [7:0]  Status;
+begin
+   XmitBuffer[0] = 8'b0100_0000;
+   XmitBuffer[1] = 8'b0001_0000;
+   XmitBuffer[2] = reg_address[31:24];
+   XmitBuffer[3] = reg_address[23:16];
+   XmitBuffer[4] = reg_address[15:8];
+   XmitBuffer[5] = reg_address[7:0];
+   XmitBuffer[6] = 8'b0000_0100;
+   XmitBuffer[7] = 8'b0000_0000;
+
+   setup (address, 4'h0, Status);
+
+   XmitBuffer[0] = dataword[31:24];
+   XmitBuffer[1] = dataword[23:16];
+   XmitBuffer[2] = dataword[15:8];
+   XmitBuffer[3] = dataword[7:0];
+
+  control_OUT(address, 4'h0, 4, Status);
+  status_IN (address, 4'h0, Status);
+end
+endtask
+
+task VenRegWordRd;
+  input [6:0] address;
+  input [31:0] reg_address;
+  output [31:0] dataword;
+  reg  [31:0] ByteCount;
+  reg  [7:0]  Status;
+begin
+   XmitBuffer[0] = 8'b1100_0000;
+   XmitBuffer[1] = 8'b0001_0001;
+   XmitBuffer[2] = reg_address[31:24];
+   XmitBuffer[3] = reg_address[23:16];
+   XmitBuffer[4] = reg_address[15:8];
+   XmitBuffer[5] = reg_address[7:0];
+   XmitBuffer[6] = 8'b0000_0100;
+   XmitBuffer[7] = 8'b0000_0000;
+
+   setup (address, 4'h0, Status);
+   control_IN(address, 4'h0, ByteCount, Status);
+   if (Status != `PID_ACK)
+         control_IN(address, 4'h0, ByteCount, Status);
+   if (Status != `PID_ACK)
+         control_IN(address, 4'h0, ByteCount, Status);
+    dataword[7:0]      = RecvBuffer[3];
+    dataword[15:8]     = RecvBuffer[2];
+    dataword[23:16]    = RecvBuffer[1];
+    dataword[31:24]    = RecvBuffer[0];
+    dump_recv_buffer(ByteCount);
+
+   status_OUT (address, 4'h0, Status);
+end
+endtask
+
+task VenRegWordRdCmp;
+  input [6:0] address;
+  input [31:0] reg_address;
+  input [31:0] dataword;
+  reg   [31:0] ByteCount;
+  reg   [31:0] ReadData;
+  reg    [7:0] Status;
+begin
+   XmitBuffer[0] = 8'b1100_0000;
+   XmitBuffer[1] = 8'b0001_0001;
+   XmitBuffer[2] = reg_address[31:24];
+   XmitBuffer[3] = reg_address[23:16];
+   XmitBuffer[4] = reg_address[15:8];
+   XmitBuffer[5] = reg_address[7:0];
+   XmitBuffer[6] = 8'b0000_0100;
+   XmitBuffer[7] = 8'b0000_0000;
+
+   setup (address, 4'h0, Status);
+   control_IN(address, 4'h0, ByteCount, Status);
+   if (Status != `PID_ACK)
+         control_IN(address, 4'h0, ByteCount, Status);
+   if (Status != `PID_ACK)
+         control_IN(address, 4'h0, ByteCount, Status);
+   if ((RecvBuffer[3] !== dataword[7:0]) || (RecvBuffer[2] !== dataword[15:8]) 
+         || (RecvBuffer[1] !== dataword[23:16]) || (RecvBuffer[0] !== dataword[31:24]))
+    begin
+      -> `TOP.test_control.error_detected;
+       $display( "usb_agent check: ERROR: Register Read Byte Mismatch !!! Address: %x Exp: %x ; Rxd: %x",reg_address,dataword[31:0], {RecvBuffer[0],RecvBuffer[1], RecvBuffer[2],RecvBuffer[3]} );
+       dump_recv_buffer(ByteCount);
+    end else begin
+       $display( "usb_agent check: STATUS: Register Read Byte Match !!! Address: %x ; Rxd: %x",reg_address,{RecvBuffer[0],RecvBuffer[1], RecvBuffer[2],RecvBuffer[3]} );
+
+    end
+
+   status_OUT (address, 4'h0, Status);
+end
+endtask
+task VenRegHalfWordRd;
+  input [6:0] address;
+  input [21:0] reg_address;
+  input [15:0] dataword;
+  output [31:0] ByteCount;
+  reg    [7:0]  Status;
+begin
+   XmitBuffer[0] = 8'b1100_0000;
+   XmitBuffer[1] = {2'b00,reg_address[21:16]};
+   XmitBuffer[2] = reg_address[7:0];
+   XmitBuffer[3] = reg_address[15:8];
+   XmitBuffer[4] = 8'b0000_0000;
+   XmitBuffer[5] = 8'b0000_0000;
+   XmitBuffer[6] = 8'b0000_0010;
+   XmitBuffer[7] = 8'b0000_0000;
+
+   setup (address, 4'h0, Status);
+   control_IN(address, 4'h0, ByteCount, Status);
+   if (Status != `PID_ACK)
+         control_IN(address, 4'h0, ByteCount, Status);
+   if (Status != `PID_ACK)
+         control_IN(address, 4'h0, ByteCount, Status);
+   if ((RecvBuffer[0] !== dataword[7:0]) || (RecvBuffer[1] !== dataword[15:8])) 
+    begin
+       -> `TOP.test_control.error_detected;
+       $display( "usb_agent check: Register Read Byte Mismatch !!!");
+       dump_recv_buffer(ByteCount);
+    end
+   status_OUT (address, 4'h0, Status);
+end
+endtask
+
+task VenRegByteRd;
+  input [6:0] address;
+  input [21:0] reg_address;
+  input [7:0] dataword;
+  output [31:0] ByteCount;
+  reg     [7:0] Status;
+begin
+   XmitBuffer[0] = 8'b1100_0000;
+   XmitBuffer[1] = {2'b00,reg_address[21:16]};
+   XmitBuffer[2] = reg_address[7:0];
+   XmitBuffer[3] = reg_address[15:8];
+   XmitBuffer[4] = 8'b0000_0000;
+   XmitBuffer[5] = 8'b0000_0000;
+   XmitBuffer[6] = 8'b0000_0001;
+   XmitBuffer[7] = 8'b0000_0000;
+
+   setup (address, 4'h0, Status);
+   control_IN(address, 4'h0, ByteCount, Status);
+   if (Status != `PID_ACK)
+         control_IN(address, 4'h0, ByteCount, Status);
+   if (Status != `PID_ACK)
+         control_IN(address, 4'h0, ByteCount, Status);
+   if ((RecvBuffer[0] !== dataword[7:0]))
+    begin
+       -> `TOP.test_control.error_detected;
+       $display( "usb_agent check: Register Read Byte Mismatch !!!");
+       dump_recv_buffer(ByteCount);
+    end
+   status_OUT (address, 4'h0, Status);
+end
+endtask
+
+task VenRegWr;
+  input [21:0] reg_address;
+  input [2:0]  length;
+begin
+   XmitBuffer[0] = 8'b0100_0000;
+   XmitBuffer[1] = {2'b00,reg_address[21:16]};
+   XmitBuffer[2] = reg_address[7:0];
+   XmitBuffer[3] = reg_address[15:8];
+   XmitBuffer[4] = 8'b0000_0000;
+   XmitBuffer[5] = 8'b0000_0000;
+   XmitBuffer[6] = {5'b0000_0,length};
+   XmitBuffer[7] = 8'b0000_0000;   
+
+end
+endtask
+
+task VenRegRd;
+  input [21:0] reg_address;
+  input [2:0]  length;
+begin
+   XmitBuffer[0] = 8'b1100_0000;
+   XmitBuffer[1] = {2'b00,reg_address[21:16]};
+   XmitBuffer[2] = reg_address[7:0];
+   XmitBuffer[3] = reg_address[15:8];
+   XmitBuffer[4] = 8'b0000_0000;
+   XmitBuffer[5] = 8'b0000_0000;
+   XmitBuffer[6] = {5'b0000_0,length};
+   XmitBuffer[7] = 8'b0000_0000;   
+end
+endtask
+
+task VenRegWrWordData;
+  input [7:0] Byte0;
+  input [7:0] Byte1;
+  input [7:0] Byte2;
+  input [7:0] Byte3;
+begin
+   XmitBuffer[0] = Byte0;        
+   XmitBuffer[1] = Byte1;
+   XmitBuffer[2] = Byte2;
+   XmitBuffer[3] = Byte3;
+end
+endtask
+
+task VenRegWrHWordData;
+  input [7:0] Byte0;
+  input [7:0] Byte1;
+begin
+   XmitBuffer[0] = Byte0;        
+   XmitBuffer[1] = Byte1;
+end
+endtask
+
+task VenRegWrByteData;
+  input [7:0] Byte0;
+begin
+   XmitBuffer[0] = Byte0;        
+end
+endtask
+
+task dump_recv_buffer;
+  input [31:0] NumBytes;
+  integer i;
+begin
+  for(i=0; i < NumBytes; i=i+1)
+    $display("RecvBuffer[%0d]  = %b  : %0d", i, RecvBuffer[i], RecvBuffer[i]);
+end
+endtask
+
+
+//-----------------------------------------------------------------
+// usbhw_transfer_out: Send token then some DATA to the device
+//-----------------------------------------------------------------
+task usbhw_transfer_out;
+input [7:0]   pid;
+input [7:0]   device_addr;
+input [3:0]   endpoint; 
+input         handshake; 
+input [7:0]   request; 
+input  [7:0]  tx_length;
+output [7:0]  exit_code;
+
+reg    [7:0]  exit_code;
+
+reg  [31:0] tdata;
+integer     l;
+reg [31:0]  token;
+reg [31:0]  ctrl;
+reg [31:0]  resp;
+reg [31:0]  status;
+reg [31:0]  status_chk;
+begin
+    //$display("USB TOKEN: %s", (pid == `PID_SETUP) ? "SETUP" : (pid == `PID_DATA0) ? "DATA0": (pid == `PID_DATA1) ? "DATA1" : (pid == `PID_IN) ? "IN" : "OUT");
+    //$display("USB DEV %d EP %d\n", device_addr, endpoint);
+
+    // Load DATAx transfer into address 0+
+    //$display(" USB Tx: %02x", request);
+    for (l=0;l<tx_length;l = l + 1) begin
+        tdata = XmitBuffer[l];
+        //$display("USB TX DATA %02x", tdata);
+        usbhw_reg_write(`USB_WR_DATA, tdata);
+    end
+
+    // Transfer data length
+    usbhw_reg_write(`USB_XFER_DATA, tx_length);
+
+    // Configure transfer for DATAx portion
+    ctrl = (1 << `USB_XFER_TOKEN_START_SHIFT);
+
+    // Wait for response or timeout
+    ctrl= ctrl | (handshake ? (1 << `USB_XFER_TOKEN_ACK_SHIFT) : 0);
+
+    ctrl= ctrl | ((request == `PID_DATA1) ? (1 << `USB_XFER_TOKEN_PID_DATAX_SHIFT) : (0 << `USB_XFER_TOKEN_PID_DATAX_SHIFT));
+
+    // Setup token details (don't start transfer yet)
+    token = (pid<<`USB_XFER_TOKEN_PID_BITS_SHIFT) | (device_addr << `USB_XFER_TOKEN_DEV_ADDR_SHIFT) | (endpoint << `USB_XFER_TOKEN_EP_ADDR_SHIFT);
+    usbhw_reg_write(`USB_XFER_TOKEN, token | ctrl);
+
+    // Wait for Tx to start
+    usbhw_reg_read(`USB_RX_STAT,status) ;
+    status_chk = status & (1 << `USB_RX_STAT_START_PEND_SHIFT);
+    while (status_chk) begin
+        usbhw_reg_read(`USB_RX_STAT,status) ;
+        status_chk = status & (1 << `USB_RX_STAT_START_PEND_SHIFT);
+    end
+
+    // No handshaking? We are done
+    if (!handshake) begin
+	exit_code = `USB_RES_OK;
+    end
+
+    // Wait for idle
+    usbhw_reg_read(`USB_RX_STAT,status) ;
+    status_chk = status & (1 << `USB_RX_STAT_IDLE_SHIFT) ;
+    while (!(status_chk)) begin
+       usbhw_reg_read(`USB_RX_STAT,status) ;
+       status_chk = status & (1 << `USB_RX_STAT_IDLE_SHIFT) ;
+    end
+
+    $display("USB RESPONSE: %x",status);
+
+    if (status & (1 << `USB_RX_STAT_RESP_TIMEOUT_SHIFT)) begin
+       $display("  USB TIMEOUT\n");
+       $display("USB ERROR: OUT timeout\n");
+       exit_code = `USB_RES_TIMEOUT;
+    end
+
+    // Check for NAK / STALL
+    resp = ((status >> `USB_RX_STAT_RESP_BITS_SHIFT) & `USB_RX_STAT_RESP_BITS_MASK);
+    if (resp == `PID_ACK) begin
+       $display("USB STATUS: ACK\n");
+       exit_code = `USB_RES_OK;
+    end else if (resp == `PID_NAK) begin
+       $display("USB STATUS: NAK\n");
+       exit_code = `USB_RES_NAK;
+    end else if (resp == `PID_STALL) begin
+       $display("USB STATUS:  STALL\n");
+       $display("USB ERROR:  OUT STALL\n");
+       exit_code = `USB_RES_STALL;
+    end else begin
+       $display("USB ERROR: Unknown OUT response (%02x)\n", resp);
+
+       // Unknown
+       exit_code = `USB_RES_STALL;
+    end
+end
+endtask
+
+//-----------------------------------------------------------------
+// usbhw_transfer_in: Perform IN request and expect DATA from device
+//-----------------------------------------------------------------
+task usbhw_transfer_in;
+input [7:0]    pid; 
+input [7:0]    device_addr; 
+input [7:0]    endpoint; 
+output [7:0]   response; 
+output [7:0]   exit_code;
+output [7:0]   rx_count;
+
+reg  [7:0]     exit_code;
+reg  [7:0]     response; 
+reg  [7:0]     rx_length;
+integer l;
+reg  [7:0]     rx_count;
+reg [31:0]     token;
+reg [31:0]     data;
+reg [31:0]     status;
+reg [31:0]     status_chk;
+begin
+    //$display("USB TOKEN: %s", (pid == `PID_SETUP) ? "SETUP" : (pid == `PID_DATA0) ? "DATA0": (pid == `PID_DATA1) ? "DATA1" : (pid == `PID_IN) ? "IN" : "OUT");
+    //$display("USB  DEV %d EP %d\n", device_addr, endpoint);    
+
+    // No data to send
+    usbhw_reg_write(`USB_XFER_DATA, 0);
+
+    // Configure transfer
+    token = (pid<<`USB_XFER_TOKEN_PID_BITS_SHIFT) | (device_addr << `USB_XFER_TOKEN_DEV_ADDR_SHIFT) | (endpoint << `USB_XFER_TOKEN_EP_ADDR_SHIFT);
+    token= token |(1 << `USB_XFER_TOKEN_START_SHIFT);
+    token= token | (1 << `USB_XFER_TOKEN_IN_SHIFT);
+    token= token | (1 << `USB_XFER_TOKEN_ACK_SHIFT);
+    //$display("USB TOKEN CONFIG : %x",token);
+    usbhw_reg_write(`USB_XFER_TOKEN, token);
+
+    status_chk = status & (1 << `USB_RX_STAT_START_PEND);
+    while (status_chk) begin
+       usbhw_reg_read(`USB_RX_STAT,status);
+       status_chk = status & (1 << `USB_RX_STAT_START_PEND);
+    end
+
+    // Wait for rx idle
+    usbhw_reg_read(`USB_RX_STAT,status); 
+    status_chk = status & (1 << `USB_RX_STAT_IDLE_SHIFT);
+    while (!(status_chk)) begin
+       usbhw_reg_read(`USB_RX_STAT,status); 
+       status_chk = status & (1 << `USB_RX_STAT_IDLE_SHIFT);
+    end
+
+    if (status & (1 << `USB_RX_STAT_CRC_ERR_SHIFT)) begin
+       $display("USB: CRC ERROR\n");
+       exit_code = `USB_RES_TIMEOUT;
+    end else if (status & (1 << `USB_RX_STAT_RESP_TIMEOUT_SHIFT)) begin
+       $display("USB: IN timeout\n");
+       exit_code = `USB_RES_TIMEOUT;
+    end else begin
+
+        // Check for NAK / STALL
+        response = ((status >> `USB_RX_STAT_RESP_BITS_SHIFT) & `USB_RX_STAT_RESP_BITS_MASK);
+
+        if (response == `PID_NAK) begin
+           $display("USB NAK RECEIVED \n");
+           exit_code = `USB_RES_NAK;
+        end else if (response == `PID_STALL) begin
+           $display("USB: IN STALL\n");
+           exit_code = `USB_RES_STALL;
+        end else begin
+
+         // Check CRC is ok
+           if (status & (1 << `USB_RX_STAT_CRC_ERR_SHIFT)) begin
+                $display("USB: CRC Error\n");
+                exit_code = `USB_RES_STALL;
+           end else begin
+
+                // How much data was actually received?
+                rx_count = ((status >> `USB_RX_STAT_COUNT_BITS_SHIFT) & `USB_RX_STAT_COUNT_BITS_MASK);
+
+                //$display(" Rx %d (PID=%x):\n", rx_count, response);
+
+                // Assert that user buffer is big enough for the response.
+                // NOTE: It's not critical to do this, but we can't easily check CRCs without
+                // reading the whole response into a buffer.
+                // Hitting this condition may point towards issues with higher level protocol
+                // implementation...
+                if(rx_length >= rx_count)
+                    $display("USB ERROR Difference in rx len:%d and Rx Data Count: %d",rx_length,rx_count);
+   
+                for (l=0;l<rx_count;l=l+1) begin
+                    usbhw_reg_read(`USB_RD_DATA,data);
+                    //$display(" USB RX Cnt: %d Data: %02x", l, data);
+                    RecvBuffer[l] = data;
+                end
+		exit_code = `USB_RES_OK;
+	   end
+	end
+    end
+end
+endtask
+
+endmodule
diff --git a/verilog/dv/bfm/usb1d_defines.v b/verilog/dv/bfm/usb1d_defines.v
new file mode 100755
index 0000000..dc60f4c
--- /dev/null
+++ b/verilog/dv/bfm/usb1d_defines.v
@@ -0,0 +1,143 @@
+/////////////////////////////////////////////////////////////////////
+////                                                             ////
+////  USB 1.1 function defines file                              ////
+////                                                             ////
+////                                                             ////
+////  Author: Rudolf Usselmann                                   ////
+////          rudi@asics.ws                                      ////
+////                                                             ////
+////                                                             ////
+////  Downloaded from: http://www.opencores.org/cores/usb1_funct/////
+////                                                             ////
+/////////////////////////////////////////////////////////////////////
+////                                                             ////
+//// Copyright (C) 2000-2002 Rudolf Usselmann                    ////
+////                         www.asics.ws                        ////
+////                         rudi@asics.ws                       ////
+////                                                             ////
+//// This source file may be used and distributed without        ////
+//// restriction provided that this copyright statement is not   ////
+//// removed from the file and that any derivative work contains ////
+//// the original copyright notice and the associated disclaimer.////
+////                                                             ////
+////     THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY     ////
+//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   ////
+//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   ////
+//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      ////
+//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         ////
+//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    ////
+//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   ////
+//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        ////
+//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  ////
+//// LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  ////
+//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  ////
+//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         ////
+//// POSSIBILITY OF SUCH DAMAGE.                                 ////
+////                                                             ////
+/////////////////////////////////////////////////////////////////////
+
+//  CVS Log
+//
+//  $Id: usb1d_defines.v,v 1.3 2002-09-25 06:06:49 rudi Exp $
+//
+//  $Date: 2002-09-25 06:06:49 $
+//  $Revision: 1.3 $
+//  $Author: rudi $
+//  $Locker:  $
+//  $State: Exp $
+//
+// Change History:
+//               $Log: not supported by cvs2svn $
+//               Revision 1.2  2002/09/20 11:46:54  rudi
+//               fixed a type 'define' was missing ...
+//
+//               Revision 1.1.1.1  2002/09/19 12:07:40  rudi
+//               Initial Checkin
+//
+//
+//
+//
+//
+//
+//
+//
+
+
+//`define USBF_DEBUG
+//`define USBF_VERBOSE_DEBUG
+
+// Enable or disable Block Frames
+//`define USB1_BF_ENABLE
+
+/////////////////////////////////////////////////////////////////////
+//
+// Items below this point should NOT be modified by the end user
+// UNLESS you know exactly what you are doing !
+// Modify at you own risk !!!
+//
+/////////////////////////////////////////////////////////////////////
+
+`define	ROM_SIZE0	7'd018	// Device Descriptor Length
+`define	ROM_SIZE1	7'd053	// Configuration Descriptor Length
+`define	ROM_SIZE2A	7'd004	// Language ID Descriptor Start Length
+`define	ROM_SIZE2B	7'd010	// String Descriptor Length
+`define	ROM_SIZE2C	7'd010	// for future use
+`define	ROM_SIZE2D	7'd010	// for future use
+
+`define	ROM_START0	7'h00	// Device Descriptor Start Address
+`define	ROM_START1	7'h12	// Configuration Descriptor Start Address
+`define	ROM_START2A	7'h47	// Language ID Descriptor Start Address
+`define	ROM_START2B	7'h50	// String Descriptor Start Address
+`define	ROM_START2C	7'h60	// for future use
+`define	ROM_START2D	7'h70	// for future use
+
+// Endpoint Configuration Constants
+`define IN	14'b00_001_000000000
+`define OUT	14'b00_010_000000000
+`define CTRL	14'b10_100_000000000
+`define ISO	14'b01_000_000000000
+`define BULK	14'b10_000_000000000
+`define INT	14'b00_000_000000000
+
+// PID Encodings
+`define USBF_T_PID_OUT		4'b0001
+`define USBF_T_PID_IN		4'b1001
+`define USBF_T_PID_SOF		4'b0101
+`define USBF_T_PID_SETUP	4'b1101
+`define USBF_T_PID_DATA0	4'b0011
+`define USBF_T_PID_DATA1	4'b1011
+`define USBF_T_PID_DATA2	4'b0111
+`define USBF_T_PID_MDATA	4'b1111
+`define USBF_T_PID_ACK		4'b0010
+`define USBF_T_PID_NACK		4'b1010
+`define USBF_T_PID_STALL	4'b1110
+`define USBF_T_PID_NYET		4'b0110
+`define USBF_T_PID_PRE		4'b1100
+`define USBF_T_PID_ERR		4'b1100
+`define USBF_T_PID_SPLIT	4'b1000
+`define USBF_T_PID_PING		4'b0100
+`define USBF_T_PID_RES		4'b0000
+
+// The HMS_DEL is a constant for the "Half Micro Second"
+// Clock pulse generator. This constant specifies how many
+// Phy clocks there are between two hms_clock pulses. This
+// constant plus 2 represents the actual delay.
+// Example: For a 60 Mhz (16.667 nS period) Phy Clock, the
+// delay must be 30 phy clock: 500ns / 16.667nS = 30 clocks
+`define USBF_HMS_DEL		5'h16
+
+// After sending Data in response to an IN token from host, the
+// host must reply with an ack. The host has 622nS in Full Speed
+// mode and 400nS in High Speed mode to reply. RX_ACK_TO_VAL_FS
+// and RX_ACK_TO_VAL_HS are the numbers of UTMI clock cycles
+// minus 2 for Full and High Speed modes.
+//`define USBF_RX_ACK_TO_VAL_FS	8'd36
+`define USBF_RX_ACK_TO_VAL_FS	8'd200
+
+// After sending a OUT token the host must send a data packet.
+// The host has 622nS in Full Speed mode and 400nS in High Speed
+// mode to send the data packet.
+// TX_DATA_TO_VAL_FS and TX_DATA_TO_VAL_HS are is the numbers of
+// UTMI clock cycles minus 2.
+//`define USBF_TX_DATA_TO_VAL_FS	8'd36
+`define USBF_TX_DATA_TO_VAL_FS	8'd200
diff --git a/verilog/dv/bfm/usb_device/core/usb1d_core.v b/verilog/dv/bfm/usb_device/core/usb1d_core.v
new file mode 100755
index 0000000..73f9ea1
--- /dev/null
+++ b/verilog/dv/bfm/usb_device/core/usb1d_core.v
@@ -0,0 +1,711 @@
+/**********************************************************************
+*  Ported to USB2UART Project
+*  Author:  Dinesh Annayya
+*           Email:- dinesha@opencores.org
+*
+*     Date: 4th Feb 2013
+*     Changes:
+*     A. Warning Clean Up
+*     B. USB1-phy is move to core level
+*
+**********************************************************************/
+/////////////////////////////////////////////////////////////////////
+////                                                             ////
+////  USB 1.1 function IP core                                   ////
+////                                                             ////
+////                                                             ////
+////  Author: Rudolf Usselmann                                   ////
+////          rudi@asics.ws                                      ////
+////                                                             ////
+////                                                             ////
+////  Downloaded from: http://www.opencores.org/cores/usb1_funct/////
+////                                                             ////
+/////////////////////////////////////////////////////////////////////
+////                                                             ////
+//// Copyright (C) 2000-2002 Rudolf Usselmann                    ////
+////                         www.asics.ws                        ////
+////                         rudi@asics.ws                       ////
+////                                                             ////
+//// This source file may be used and distributed without        ////
+//// restriction provided that this copyright statement is not   ////
+//// removed from the file and that any derivative work contains ////
+//// the original copyright notice and the associated disclaimer.////
+////                                                             ////
+////     THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY     ////
+//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   ////
+//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   ////
+//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      ////
+//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         ////
+//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    ////
+//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   ////
+//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        ////
+//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  ////
+//// LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  ////
+//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  ////
+//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         ////
+//// POSSIBILITY OF SUCH DAMAGE.                                 ////
+////                                                             ////
+/////////////////////////////////////////////////////////////////////
+
+//  CVS Log
+//
+//  $Id: usb1_core.v,v 1.2 2002-10-11 05:48:20 rudi Exp $
+//
+//  $Date: 2002-10-11 05:48:20 $
+//  $Revision: 1.2 $
+//  $Author: rudi $
+//  $Locker:  $
+//  $State: Exp $
+//
+// Change History:
+//               $Log: not supported by cvs2svn $
+//               Revision 1.1  2002/09/25 06:06:49  rudi
+//               - Added New Top Level
+//               - Remove old top level and associated files
+//               - Moved FIFOs to "Generic FIFOs" project
+//
+//
+//
+//
+//
+//
+//
+
+`include "usb1d_defines.v"
+
+/*
+
+		// USB PHY Interface
+		tx_dp, tx_dn, tx_oe,
+		rx_d, rx_dp, rx_dn,
+These pins are a semi-standard interface to USB 1.1 transceivers.
+Just match up the signal names with the IOs of the transceiver.
+
+		// USB Misc
+		phy_tx_mode, usb_rst, 
+The PHY supports single ended and differential output to the
+transceiver Depending on which device you are using, you have
+to tie the phy_tx_mode high or low.
+usb_rst is asserted whenever the host signals reset on the USB
+bus. The USB core will internally reset itself automatically.
+This output is provided for external logic that needs to be
+reset when the USB bus is reset.
+
+		// Interrupts
+		dropped_frame, misaligned_frame,
+		crc16_err,
+dropped_frame, misaligned_frame are interrupt to indicate error
+conditions in Block Frame mode.
+crc16_err, indicates when a crc 16 error was detected on the
+payload of a USB packet.
+
+		// Vendor Features
+		v_set_int, v_set_feature, wValue,
+		wIndex, vendor_data,
+This signals allow to control vendor specific registers and logic
+that can be manipulated and monitored via the control endpoint
+through vendor defined commands.
+
+		// USB Status
+		usb_busy, ep_sel,
+usb_busy is asserted when the USB core is busy transferring
+data ep_sel indicated the endpoint that is currently busy.
+This information might be useful if one desires to reset/clear
+the attached FIFOs and want to do this when the endpoint is idle.
+
+		// Endpoint Interface
+This implementation supports 8 endpoints. Endpoint 0 is the
+control endpoint and used internally. Endpoints 1-7 are available
+to the user. replace 'N' with the endpoint number.
+
+		epN_cfg,
+This is a constant input used to configure the endpoint by ORing
+these defines together and adding the max packet size for this
+endpoint:
+`IN and `OUT select the transfer direction for this endpoint
+`ISO, `BULK and `INT determine the endpoint type
+
+Example: "`BULK | `IN  | 14'd064" defines a BULK IN endpoint with
+max packet size of 64 bytes
+
+		epN_din,  epN_we, epN_full,
+This is the OUT FIFO interface. If this is a IN endpoint, ground
+all unused inputs and leave outputs unconnected.
+
+		epN_dout, epN_re, epN_empty,
+this is the IN FIFO interface. If this is a OUT endpoint ground
+all unused inputs and leave outputs unconnected.
+
+		epN_bf_en, epN_bf_size,
+These two constant configure the Block Frame feature.
+
+*/
+
+
+module usb1d_core(clk_i, rst_i,
+
+		// UTMI Interface
+		DataOut, TxValid, TxReady, RxValid,
+		RxActive, RxError, DataIn, LineState,
+		// USB Misc
+		phy_tx_mode, usb_rst, 
+
+		// Interrupts
+		dropped_frame, misaligned_frame,
+		crc16_err,
+
+		// Vendor Features
+		v_set_int, v_set_feature, wValue,
+		wIndex, vendor_data,
+
+		// USB Status
+		usb_busy, ep_sel,
+
+		// Endpoint Interface
+		ep1_cfg,
+		ep1_din,  ep1_we, ep1_full,
+		ep1_dout, ep1_re, ep1_empty,
+		ep1_bf_en, ep1_bf_size,
+
+		ep2_cfg,
+		ep2_din,  ep2_we, ep2_full,
+		ep2_dout, ep2_re, ep2_empty,
+		ep2_bf_en, ep2_bf_size,
+
+		ep3_cfg,
+		ep3_din,  ep3_we, ep3_full,
+		ep3_dout, ep3_re, ep3_empty,
+		ep3_bf_en, ep3_bf_size,
+
+		ep4_cfg,
+		ep4_din,  ep4_we, ep4_full,
+		ep4_dout, ep4_re, ep4_empty,
+		ep4_bf_en, ep4_bf_size,
+
+		ep5_cfg,
+		ep5_din,  ep5_we, ep5_full,
+		ep5_dout, ep5_re, ep5_empty,
+		ep5_bf_en, ep5_bf_size,
+
+		ep6_cfg,
+		ep6_din,  ep6_we, ep6_full,
+		ep6_dout, ep6_re, ep6_empty,
+		ep6_bf_en, ep6_bf_size,
+
+		ep7_cfg,
+		ep7_din,  ep7_we, ep7_full,
+		ep7_dout, ep7_re, ep7_empty,
+		ep7_bf_en, ep7_bf_size,
+
+		// Register Interface
+		reg_addr,
+		reg_rdwrn,
+		reg_req,
+		reg_wdata,
+		reg_rdata,
+		reg_ack
+
+		); 		
+
+input		clk_i;
+input		rst_i;
+//------------------------------------
+// UTMI Interface
+// -----------------------------------
+output	[7:0]	DataOut;
+output		TxValid;
+input		TxReady;
+input	[7:0]	DataIn;
+input		RxValid;
+input		RxActive;
+input		RxError;
+input	[1:0]	LineState;
+
+input		phy_tx_mode;
+input		usb_rst;
+output		dropped_frame, misaligned_frame;
+output		crc16_err;
+
+output		v_set_int;
+output		v_set_feature;
+output	[15:0]	wValue;
+output	[15:0]	wIndex;
+input	[15:0]	vendor_data;
+
+output		usb_busy;
+output	[3:0]	ep_sel;
+
+//-----------------------------------
+// Register Interface
+// ----------------------------------
+output [31:0]   reg_addr;   // Register Address
+output		reg_rdwrn;  // 0 -> write, 1-> read
+output		reg_req;    //  Register Req
+output [31:0]   reg_wdata;  // Register write data
+input  [31:0]   reg_rdata;  // Register Read Data
+input		reg_ack;    // Register Ack
+
+// Endpoint Interfaces
+input	[13:0]	ep1_cfg;
+input	[7:0]	ep1_din;
+output	[7:0]	ep1_dout;
+output		ep1_we, ep1_re;
+input		ep1_empty, ep1_full;
+input		ep1_bf_en;
+input	[6:0]	ep1_bf_size;
+
+input	[13:0]	ep2_cfg;
+input	[7:0]	ep2_din;
+output	[7:0]	ep2_dout;
+output		ep2_we, ep2_re;
+input		ep2_empty, ep2_full;
+input		ep2_bf_en;
+input	[6:0]	ep2_bf_size;
+
+input	[13:0]	ep3_cfg;
+input	[7:0]	ep3_din;
+output	[7:0]	ep3_dout;
+output		ep3_we, ep3_re;
+input		ep3_empty, ep3_full;
+input		ep3_bf_en;
+input	[6:0]	ep3_bf_size;
+
+input	[13:0]	ep4_cfg;
+input	[7:0]	ep4_din;
+output	[7:0]	ep4_dout;
+output		ep4_we, ep4_re;
+input		ep4_empty, ep4_full;
+input		ep4_bf_en;
+input	[6:0]	ep4_bf_size;
+
+input	[13:0]	ep5_cfg;
+input	[7:0]	ep5_din;
+output	[7:0]	ep5_dout;
+output		ep5_we, ep5_re;
+input		ep5_empty, ep5_full;
+input		ep5_bf_en;
+input	[6:0]	ep5_bf_size;
+
+input	[13:0]	ep6_cfg;
+input	[7:0]	ep6_din;
+output	[7:0]	ep6_dout;
+output		ep6_we, ep6_re;
+input		ep6_empty, ep6_full;
+input		ep6_bf_en;
+input	[6:0]	ep6_bf_size;
+
+input	[13:0]	ep7_cfg;
+input	[7:0]	ep7_din;
+output	[7:0]	ep7_dout;
+output		ep7_we, ep7_re;
+input		ep7_empty, ep7_full;
+input		ep7_bf_en;
+input	[6:0]	ep7_bf_size;
+
+///////////////////////////////////////////////////////////////////
+//
+// Local Wires and Registers
+//
+
+wire	[7:0]	rx_data;
+wire		rx_valid, rx_active, rx_err;
+wire	[7:0]	tx_data;
+wire		tx_valid;
+wire		tx_ready;
+wire		tx_first;
+wire		tx_valid_last;
+
+// Internal Register File Interface
+wire	[6:0]	funct_adr;	// This functions address (set by controller)
+wire	[3:0]	ep_sel;		// Endpoint Number Input
+wire		crc16_err;	// Set CRC16 error interrupt
+wire		int_to_set;	// Set time out interrupt
+wire		int_seqerr_set;	// Set PID sequence error interrupt
+wire	[31:0]	frm_nat;	// Frame Number and Time Register
+wire		nse_err;	// No Such Endpoint Error
+wire		pid_cs_err;	// PID CS error
+wire		crc5_err;	// CRC5 Error
+
+reg	[7:0]	tx_data_st;
+wire	[7:0]	rx_ctrl_data;
+wire	[7:0]	rx_ctrl_data_d;
+reg	[13:0]	cfg;
+wire            rx_ctrl_dvalid;
+wire            rx_ctrl_ddone;
+wire            idma_re;
+wire            idma_we;
+reg		ep_empty;
+reg		ep_full;
+wire	[7:0]	rx_size;
+wire		rx_done;
+
+wire	[7:0]	ep0_din;
+wire	[7:0]	ep0_dout;
+wire		ep0_re, ep0_we;
+wire	[13:0]	ep0_cfg;
+wire	[7:0]	ep0_size;
+wire	[7:0]	ep0_ctrl_dout, ep0_ctrl_din;
+wire		ep0_ctrl_re, ep0_ctrl_we;
+wire	[3:0]	ep0_ctrl_stat;
+
+wire		ctrl_setup, ctrl_in, ctrl_out;
+wire		send_stall;
+wire		token_valid;
+reg		rst_local;		// internal reset
+wire		dropped_frame;
+wire		misaligned_frame;
+wire		v_set_int;
+wire		v_set_feature;
+wire	[15:0]	wValue;
+wire	[15:0]	wIndex;
+
+reg		ep_bf_en;
+reg	[6:0]	ep_bf_size;
+wire	[6:0]	rom_adr;
+wire	[7:0]	rom_data;
+
+wire            ep0_full;
+wire            ep0_empty;
+
+///////////////////////////////////////////////////////////////////
+//
+// Misc Logic
+//
+
+// Endpoint type and Max transfer size
+assign ep0_cfg = `CTRL | ep0_size;
+
+always @(posedge clk_i)
+	rst_local <= #1 rst_i & ~usb_rst;
+
+///////////////////////////////////////////////////////////////////
+//
+// Module Instantiations
+//
+/******* Move to phy logic is move to core level
+usb_phy phy(
+		.clk(			clk_i			),
+		.rst(			rst_i			),	// ONLY external reset
+		.phy_tx_mode(		phy_tx_mode		),
+		.usb_rst(		usb_rst			),
+
+		// Transceiver Interface
+		.rxd(			rx_d			),
+		.rxdp(			rx_dp			),
+		.rxdn(			rx_dn			),
+		.txdp(			tx_dp			),
+		.txdn(			tx_dn			),
+		.txoe(			tx_oe			),
+
+		// UTMI Interface
+		.DataIn_o(		DataIn			),
+		.RxValid_o(		RxValid			),
+		.RxActive_o(		RxActive		),
+		.RxError_o(		RxError			),
+		.DataOut_i(		DataOut			),
+		.TxValid_i(		TxValid			),
+		.TxReady_o(		TxReady			),
+		.LineState_o(		LineState		)
+		);
+*******************************/
+// UTMI Interface
+usb1d_utmi_if	u0(
+		.phy_clk(		clk_i			),
+		.rst(			rst_local		),
+		// Interface towards Phy-Tx
+		.DataOut(		DataOut			),
+		.TxValid(		TxValid			),
+		.TxReady(		TxReady			),
+
+		// Interface towards Phy-rx
+		.RxValid(		RxValid			),
+		.RxActive(		RxActive		),
+		.RxError(		RxError			),
+		.DataIn(		DataIn			),
+
+		// Interfcae towards protocol layer-rx
+		.rx_data(		rx_data			),
+		.rx_valid(		rx_valid		),
+		.rx_active(		rx_active		),
+		.rx_err(		rx_err			),
+
+		// Interfcae towards protocol layer-tx
+		.tx_data(		tx_data			),
+		.tx_valid(		tx_valid		),
+		.tx_valid_last(		tx_valid_last		),
+		.tx_ready(		tx_ready		),
+		.tx_first(		tx_first		)
+		);
+
+// Protocol Layer
+usb1d_pl  u1(	.clk(			clk_i			),
+		.rst(			rst_local		),
+		// Interface towards utmi-rx
+		.rx_data(		rx_data			),
+		.rx_valid(		rx_valid		),
+		.rx_active(		rx_active		),
+		.rx_err(		rx_err			),
+
+		// Interface towards utmi-tx
+		.tx_data(		tx_data			),
+		.tx_valid(		tx_valid		),
+		.tx_valid_last(		tx_valid_last		),
+		.tx_ready(		tx_ready		),
+		.tx_first(		tx_first		),
+                 
+		// Interface towards usb-phy-tx
+		.tx_valid_out(		TxValid			),
+
+		// unused outputs
+		.token_valid(		token_valid		),
+		.int_to_set(		int_to_set		),
+		.int_seqerr_set(	int_seqerr_set		),
+		.pid_cs_err(		pid_cs_err		),
+		.nse_err(		nse_err			),
+		.crc5_err(		crc5_err		),
+		.rx_size(		rx_size			),
+		.rx_done(		rx_done			),
+
+		// Interface towards usb-ctrl
+		.fa(			funct_adr		),
+		.frm_nat(		frm_nat			),
+		.ctrl_setup(		ctrl_setup		),
+		.ctrl_in(		ctrl_in			),
+		.ctrl_out(		ctrl_out		),
+		.send_stall(		send_stall		),
+
+		// usb-status 
+		.ep_sel(		ep_sel			),
+		.x_busy(		usb_busy		),
+		.int_crc16_set(		crc16_err		),
+		.dropped_frame(		dropped_frame		),
+		.misaligned_frame(	misaligned_frame	),
+
+		.ep_bf_en(		ep_bf_en		),
+		.ep_bf_size(		ep_bf_size		),
+		.csr(			cfg			),
+		.tx_data_st(		tx_data_st		),
+
+		.rx_ctrl_data          (rx_ctrl_data		),
+		.rx_ctrl_data_d        (rx_ctrl_data_d		),
+		.rx_ctrl_dvalid        (rx_ctrl_dvalid          ),
+		.rx_ctrl_ddone         (rx_ctrl_ddone           ),
+
+		.idma_re(		idma_re			),
+		.idma_we(		idma_we			),
+		.ep_empty(		ep_empty		),
+		.ep_full(		ep_full			)
+		);
+
+usb1d_ctrl  u4(	.clk(			clk_i			),
+		.rst(			rst_local		),
+
+		.rom_adr(		rom_adr			),
+		.rom_data(		rom_data		),
+
+		.ctrl_setup(		ctrl_setup		),
+		.ctrl_in(		ctrl_in			),
+		.ctrl_out(		ctrl_out		),
+
+		.rx_ctrl_data          (rx_ctrl_data            ),
+		.rx_ctrl_dvalid        (rx_ctrl_dvalid          ),
+		.rx_ctrl_ddone         (rx_ctrl_ddone           ),
+
+
+		.ep0_din(		ep0_ctrl_dout		),
+		.ep0_dout(		ep0_ctrl_din		),
+		.ep0_re(		ep0_ctrl_re		),
+		.ep0_we(		ep0_ctrl_we		),
+		.ep0_stat(		ep0_ctrl_stat		),
+		.ep0_size(		ep0_size		),
+
+		.send_stall(		send_stall		),
+		.frame_no(		frm_nat[26:16]		),
+		.funct_adr(		funct_adr 		),
+		.configured(					),
+		.halt(						),
+
+		.v_set_int(		v_set_int		),
+		.v_set_feature(		v_set_feature		),
+		.wValue(		wValue			),
+		.wIndex(		wIndex			),
+		.vendor_data(		vendor_data		),
+
+                // Register Interface
+	        .reg_addr    (reg_addr),
+	        .reg_rdwrn   (reg_rdwrn),
+	        .reg_req     (reg_req),
+	        .reg_wdata   (reg_wdata),
+	        .reg_rdata   (reg_rdata),
+	        .reg_ack     (reg_ack)
+
+		);
+
+
+usb1d_rom1 rom1(	.clk(		clk_i		),
+		.adr(		rom_adr		),
+		.dout(		rom_data	)
+		);
+
+// CTRL Endpoint FIFO
+/*************
+usb1d_generic_fifo #(8,6,0) u10(
+		.clk(			clk_i			),
+		.rst(			rst_i			),
+		.clr(			usb_rst			),
+		.din(			rx_ctrl_data_d		),
+		.we(			ep0_we			),
+		.dout(			ep0_ctrl_dout		),
+		.re(			ep0_ctrl_re		),
+		.full_r(					),
+		.empty_r(					),
+		.full(			ep0_full		),
+		.empty(			ep0_ctrl_stat[1]	),
+		.full_n(					),
+		.empty_n(					),
+		.full_n_r(					),
+		.empty_n_r(					),
+		.level(						)
+		);
+
+*************/
+// CTRL Endpoint FIFO
+usb1d_sync_fifo  #(8,8) u10(
+	          .clk          (clk_i),
+	          .reset_n      (rst_i),
+		  .clr          (usb_rst),
+		  .wr_en        (ep0_we),
+		  .wr_data      (rx_ctrl_data_d),
+		  .full         (ep0_full),
+		  .empty        (ep0_ctrl_stat[1]),
+		  .rd_en        (ep0_ctrl_re),
+		  .rd_data      (ep0_ctrl_dout)
+	  );
+usb1d_generic_fifo #(8,6,0) u11(
+		.clk(			clk_i			),
+		.rst(			rst_i			),
+		.clr(			usb_rst			),
+		.din(			ep0_ctrl_din		),
+		.we(			ep0_ctrl_we		),
+		.dout(			ep0_dout		),
+		.re(			ep0_re			),
+		.full_r(					),
+		.empty_r(					),
+		.full(			ep0_ctrl_stat[2]	),
+		.empty(			ep0_empty		),
+		.full_n(					),
+		.empty_n(					),
+		.full_n_r(					),
+		.empty_n_r(					),
+		.level(						)
+		);
+
+
+///////////////////////////////////////////////////////////////////
+//
+// Endpoint FIFO Interfaces
+//
+
+always @(ep_sel or ep0_cfg or ep1_cfg or ep2_cfg or ep3_cfg or
+		ep4_cfg or ep5_cfg or ep6_cfg or ep7_cfg)
+	case(ep_sel)	// synopsys full_case parallel_case
+	   4'h0:	cfg = ep0_cfg;
+	   4'h1:	cfg = ep1_cfg;
+	   4'h2:	cfg = ep2_cfg;
+	   4'h3:	cfg = ep3_cfg;
+	   4'h4:	cfg = ep4_cfg;
+	   4'h5:	cfg = ep5_cfg;
+	   4'h6:	cfg = ep6_cfg;
+	   4'h7:	cfg = ep7_cfg;
+	endcase
+
+// In endpoints only
+always @(posedge clk_i)
+	case(ep_sel)	// synopsys full_case parallel_case
+	   4'h0:	tx_data_st <= #1 ep0_dout;
+	   4'h1:	tx_data_st <= #1 ep1_din;
+	   4'h2:	tx_data_st <= #1 ep2_din;
+	   4'h3:	tx_data_st <= #1 ep3_din;
+	   4'h4:	tx_data_st <= #1 ep4_din;
+	   4'h5:	tx_data_st <= #1 ep5_din;
+	   4'h6:	tx_data_st <= #1 ep6_din;
+	   4'h7:	tx_data_st <= #1 ep7_din;
+	endcase
+
+// In endpoints only
+always @(posedge clk_i)
+	case(ep_sel)	// synopsys full_case parallel_case
+	   4'h0:	ep_empty <= #1 ep0_empty;
+	   4'h1:	ep_empty <= #1 ep1_empty;
+	   4'h2:	ep_empty <= #1 ep2_empty;
+	   4'h3:	ep_empty <= #1 ep3_empty;
+	   4'h4:	ep_empty <= #1 ep4_empty;
+	   4'h5:	ep_empty <= #1 ep5_empty;
+	   4'h6:	ep_empty <= #1 ep6_empty;
+	   4'h7:	ep_empty <= #1 ep7_empty;
+	endcase
+
+// OUT endpoints only
+always @(ep_sel or ep0_full or ep1_full or ep2_full or ep3_full or
+		ep4_full or ep5_full or ep6_full or ep7_full)
+	case(ep_sel)	// synopsys full_case parallel_case
+	   4'h0:	ep_full = ep0_full;
+	   4'h1:	ep_full = ep1_full;
+	   4'h2:	ep_full = ep2_full;
+	   4'h3:	ep_full = ep3_full;
+	   4'h4:	ep_full = ep4_full;
+	   4'h5:	ep_full = ep5_full;
+	   4'h6:	ep_full = ep6_full;
+	   4'h7:	ep_full = ep7_full;
+	endcase
+
+always @(posedge clk_i)
+	case(ep_sel)	// synopsys full_case parallel_case
+	   4'h0:	ep_bf_en = 1'b0;
+	   4'h1:	ep_bf_en = ep1_bf_en;
+	   4'h2:	ep_bf_en = ep2_bf_en;
+	   4'h3:	ep_bf_en = ep3_bf_en;
+	   4'h4:	ep_bf_en = ep4_bf_en;
+	   4'h5:	ep_bf_en = ep5_bf_en;
+	   4'h6:	ep_bf_en = ep6_bf_en;
+	   4'h7:	ep_bf_en = ep7_bf_en;
+	endcase
+
+always @(posedge clk_i)
+	case(ep_sel)	// synopsys full_case parallel_case
+	   4'h1:	ep_bf_size = ep1_bf_size;
+	   4'h2:	ep_bf_size = ep2_bf_size;
+	   4'h3:	ep_bf_size = ep3_bf_size;
+	   4'h4:	ep_bf_size = ep4_bf_size;
+	   4'h5:	ep_bf_size = ep5_bf_size;
+	   4'h6:	ep_bf_size = ep6_bf_size;
+	   4'h7:	ep_bf_size = ep7_bf_size;
+	endcase
+
+assign ep1_dout = rx_ctrl_data_d;
+assign ep2_dout = rx_ctrl_data_d;
+assign ep3_dout = rx_ctrl_data_d;
+assign ep4_dout = rx_ctrl_data_d;
+assign ep5_dout = rx_ctrl_data_d;
+assign ep6_dout = rx_ctrl_data_d;
+assign ep7_dout = rx_ctrl_data_d;
+
+assign ep0_re = idma_re & (ep_sel == 4'h0);
+assign ep1_re = idma_re & (ep_sel == 4'h1) & !ep1_empty;
+assign ep2_re = idma_re & (ep_sel == 4'h2) & !ep2_empty;
+assign ep3_re = idma_re & (ep_sel == 4'h3) & !ep3_empty;
+assign ep4_re = idma_re & (ep_sel == 4'h4) & !ep4_empty;
+assign ep5_re = idma_re & (ep_sel == 4'h5) & !ep5_empty;
+assign ep6_re = idma_re & (ep_sel == 4'h6) & !ep6_empty;
+assign ep7_re = idma_re & (ep_sel == 4'h7) & !ep7_empty;
+
+assign ep0_we = idma_we & (ep_sel == 4'h0);
+assign ep1_we = idma_we & (ep_sel == 4'h1) & !ep1_full;
+assign ep2_we = idma_we & (ep_sel == 4'h2) & !ep2_full;
+assign ep3_we = idma_we & (ep_sel == 4'h3) & !ep3_full;
+assign ep4_we = idma_we & (ep_sel == 4'h4) & !ep4_full;
+assign ep5_we = idma_we & (ep_sel == 4'h5) & !ep5_full;
+assign ep6_we = idma_we & (ep_sel == 4'h6) & !ep6_full;
+assign ep7_we = idma_we & (ep_sel == 4'h7) & !ep7_full;
+
+endmodule
diff --git a/verilog/dv/bfm/usb_device/core/usb1d_crc16.v b/verilog/dv/bfm/usb_device/core/usb1d_crc16.v
new file mode 100755
index 0000000..acee4d7
--- /dev/null
+++ b/verilog/dv/bfm/usb_device/core/usb1d_crc16.v
@@ -0,0 +1,98 @@
+/////////////////////////////////////////////////////////////////////
+////                                                             ////
+////  USB CRC16 Modules                                          ////
+////                                                             ////
+////                                                             ////
+////  Author: Rudolf Usselmann                                   ////
+////          rudi@asics.ws                                      ////
+////                                                             ////
+////                                                             ////
+////  Downloaded from: http://www.opencores.org/cores/usb1_funct/////
+////                                                             ////
+/////////////////////////////////////////////////////////////////////
+////                                                             ////
+//// Copyright (C) 2000-2002 Rudolf Usselmann                    ////
+////                         www.asics.ws                        ////
+////                         rudi@asics.ws                       ////
+////                                                             ////
+//// This source file may be used and distributed without        ////
+//// restriction provided that this copyright statement is not   ////
+//// removed from the file and that any derivative work contains ////
+//// the original copyright notice and the associated disclaimer.////
+////                                                             ////
+////     THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY     ////
+//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   ////
+//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   ////
+//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      ////
+//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         ////
+//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    ////
+//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   ////
+//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        ////
+//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  ////
+//// LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  ////
+//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  ////
+//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         ////
+//// POSSIBILITY OF SUCH DAMAGE.                                 ////
+////                                                             ////
+/////////////////////////////////////////////////////////////////////
+
+//  CVS Log
+//
+//  $Id: usb1_crc16.v,v 1.1.1.1 2002-09-19 12:07:39 rudi Exp $
+//
+//  $Date: 2002-09-19 12:07:39 $
+//  $Revision: 1.1.1.1 $
+//  $Author: rudi $
+//  $Locker:  $
+//  $State: Exp $
+//
+// Change History:
+//               $Log: not supported by cvs2svn $
+//
+//
+//
+//
+//
+//
+//                            
+
+`include "usb1d_defines.v"
+
+///////////////////////////////////////////////////////////////////
+//
+// CRC16
+//
+///////////////////////////////////////////////////////////////////
+
+module usb1d_crc16(crc_in, din, crc_out);
+input	[15:0]	crc_in;
+input	[7:0]	din;
+output	[15:0]	crc_out;
+
+assign crc_out[0] =	din[7] ^ din[6] ^ din[5] ^ din[4] ^ din[3] ^
+			din[2] ^ din[1] ^ din[0] ^ crc_in[8] ^ crc_in[9] ^
+			crc_in[10] ^ crc_in[11] ^ crc_in[12] ^ crc_in[13] ^
+			crc_in[14] ^ crc_in[15];
+assign crc_out[1] =	din[7] ^ din[6] ^ din[5] ^ din[4] ^ din[3] ^ din[2] ^
+			din[1] ^ crc_in[9] ^ crc_in[10] ^ crc_in[11] ^
+			crc_in[12] ^ crc_in[13] ^ crc_in[14] ^ crc_in[15];
+assign crc_out[2] =	din[1] ^ din[0] ^ crc_in[8] ^ crc_in[9];
+assign crc_out[3] =	din[2] ^ din[1] ^ crc_in[9] ^ crc_in[10];
+assign crc_out[4] =	din[3] ^ din[2] ^ crc_in[10] ^ crc_in[11];
+assign crc_out[5] =	din[4] ^ din[3] ^ crc_in[11] ^ crc_in[12];
+assign crc_out[6] =	din[5] ^ din[4] ^ crc_in[12] ^ crc_in[13];
+assign crc_out[7] =	din[6] ^ din[5] ^ crc_in[13] ^ crc_in[14];
+assign crc_out[8] =	din[7] ^ din[6] ^ crc_in[0] ^ crc_in[14] ^ crc_in[15];
+assign crc_out[9] =	din[7] ^ crc_in[1] ^ crc_in[15];
+assign crc_out[10] =	crc_in[2];
+assign crc_out[11] =	crc_in[3];
+assign crc_out[12] =	crc_in[4];
+assign crc_out[13] =	crc_in[5];
+assign crc_out[14] =	crc_in[6];
+assign crc_out[15] =	din[7] ^ din[6] ^ din[5] ^ din[4] ^ din[3] ^ din[2] ^
+			din[1] ^ din[0] ^ crc_in[7] ^ crc_in[8] ^ crc_in[9] ^
+			crc_in[10] ^ crc_in[11] ^ crc_in[12] ^ crc_in[13] ^
+			crc_in[14] ^ crc_in[15];
+
+endmodule
+
diff --git a/verilog/dv/bfm/usb_device/core/usb1d_crc5.v b/verilog/dv/bfm/usb_device/core/usb1d_crc5.v
new file mode 100755
index 0000000..4aec0d2
--- /dev/null
+++ b/verilog/dv/bfm/usb_device/core/usb1d_crc5.v
@@ -0,0 +1,89 @@
+/////////////////////////////////////////////////////////////////////
+////                                                             ////
+////  USB CRC5 Modules                                           ////
+////                                                             ////
+////                                                             ////
+////  Author: Rudolf Usselmann                                   ////
+////          rudi@asics.ws                                      ////
+////                                                             ////
+////                                                             ////
+////  Downloaded from: http://www.opencores.org/cores/usb1_funct/////
+////                                                             ////
+/////////////////////////////////////////////////////////////////////
+////                                                             ////
+//// Copyright (C) 2000-2002 Rudolf Usselmann                    ////
+////                         www.asics.ws                        ////
+////                         rudi@asics.ws                       ////
+////                                                             ////
+//// This source file may be used and distributed without        ////
+//// restriction provided that this copyright statement is not   ////
+//// removed from the file and that any derivative work contains ////
+//// the original copyright notice and the associated disclaimer.////
+////                                                             ////
+////     THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY     ////
+//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   ////
+//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   ////
+//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      ////
+//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         ////
+//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    ////
+//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   ////
+//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        ////
+//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  ////
+//// LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  ////
+//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  ////
+//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         ////
+//// POSSIBILITY OF SUCH DAMAGE.                                 ////
+////                                                             ////
+/////////////////////////////////////////////////////////////////////
+
+//  CVS Log
+//
+//  $Id: usb1_crc5.v,v 1.1.1.1 2002-09-19 12:07:05 rudi Exp $
+//
+//  $Date: 2002-09-19 12:07:05 $
+//  $Revision: 1.1.1.1 $
+//  $Author: rudi $
+//  $Locker:  $
+//  $State: Exp $
+//
+// Change History:
+//               $Log: not supported by cvs2svn $
+//
+//
+//
+//
+//
+//
+//                            
+
+`include "usb1d_defines.v"
+
+///////////////////////////////////////////////////////////////////
+//
+// CRC5
+//
+///////////////////////////////////////////////////////////////////
+
+module usb1d_crc5(crc_in, din, crc_out);
+input	[4:0]	crc_in;
+input	[10:0]	din;
+output	[4:0]	crc_out;
+
+assign crc_out[0] =	din[10] ^ din[9] ^ din[6] ^ din[5] ^ din[3] ^
+			din[0] ^ crc_in[0] ^ crc_in[3] ^ crc_in[4];
+
+assign crc_out[1] =	din[10] ^ din[7] ^ din[6] ^ din[4] ^ din[1] ^
+			crc_in[0] ^ crc_in[1] ^ crc_in[4];
+
+assign crc_out[2] =	din[10] ^ din[9] ^ din[8] ^ din[7] ^ din[6] ^
+			din[3] ^ din[2] ^ din[0] ^ crc_in[0] ^ crc_in[1] ^
+			crc_in[2] ^ crc_in[3] ^ crc_in[4];
+
+assign crc_out[3] =	din[10] ^ din[9] ^ din[8] ^ din[7] ^ din[4] ^ din[3] ^
+			din[1] ^ crc_in[1] ^ crc_in[2] ^ crc_in[3] ^ crc_in[4];
+
+assign crc_out[4] =	din[10] ^ din[9] ^ din[8] ^ din[5] ^ din[4] ^ din[2] ^
+			crc_in[2] ^ crc_in[3] ^ crc_in[4];
+
+endmodule
+
diff --git a/verilog/dv/bfm/usb_device/core/usb1d_ctrl.v b/verilog/dv/bfm/usb_device/core/usb1d_ctrl.v
new file mode 100755
index 0000000..6f1a66c
--- /dev/null
+++ b/verilog/dv/bfm/usb_device/core/usb1d_ctrl.v
@@ -0,0 +1,844 @@
+/**********************************************************************
+*  Ported to USB2UART project
+*  Author:  Dinesh Annayya
+*           Email:- dinesha@opencores.org
+*
+*     Date: 4th Feb 2013
+*     Changes:
+*     A. Warning Clean Up
+*
+**********************************************************************/
+/////////////////////////////////////////////////////////////////////
+////                                                             ////
+////  Internal Setup Engine                                      ////
+////                                                             ////
+////                                                             ////
+////  Author: Rudolf Usselmann                                   ////
+////          rudi@asics.ws                                      ////
+////                                                             ////
+////                                                             ////
+////  Downloaded from: http://www.opencores.org/cores/usb1_funct/////
+////                                                             ////
+/////////////////////////////////////////////////////////////////////
+////                                                             ////
+//// Copyright (C) 2000-2002 Rudolf Usselmann                    ////
+////                         www.asics.ws                        ////
+////                         rudi@asics.ws                       ////
+////                                                             ////
+//// This source file may be used and distributed without        ////
+//// restriction provided that this copyright statement is not   ////
+//// removed from the file and that any derivative work contains ////
+//// the original copyright notice and the associated disclaimer.////
+////                                                             ////
+////     THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY     ////
+//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   ////
+//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   ////
+//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      ////
+//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         ////
+//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    ////
+//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   ////
+//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        ////
+//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  ////
+//// LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  ////
+//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  ////
+//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         ////
+//// POSSIBILITY OF SUCH DAMAGE.                                 ////
+////                                                             ////
+/////////////////////////////////////////////////////////////////////
+
+//  CVS Log
+//
+//  $Id: usb1_ctrl.v,v 1.2 2002-09-25 06:06:49 rudi Exp $
+//
+//  $Date: 2002-09-25 06:06:49 $
+//  $Revision: 1.2 $
+//  $Author: rudi $
+//  $Locker:  $
+//  $State: Exp $
+//
+// Change History:
+//               $Log: not supported by cvs2svn $
+//               Revision 1.1.1.1  2002/09/19 12:07:09  rudi
+//               Initial Checkin
+//
+//
+//
+//
+//
+//
+
+`include "usb1d_defines.v"
+
+module usb1d_ctrl(	clk, rst,
+
+			rom_adr, rom_data,
+
+			ctrl_setup, ctrl_in, ctrl_out,
+
+			rx_ctrl_data, rx_ctrl_dvalid,rx_ctrl_ddone,
+
+			ep0_din, ep0_dout, ep0_re, ep0_we, ep0_stat,
+			ep0_size,
+
+			send_stall, frame_no,
+			funct_adr, configured, halt,
+
+			v_set_int, v_set_feature, wValue, wIndex, vendor_data,
+
+	       // Register Interface
+		reg_addr,
+		reg_rdwrn,
+		reg_req,
+		reg_wdata,
+		reg_rdata,
+		reg_ack
+
+
+		);
+
+input		clk, rst;
+
+output	[6:0]	rom_adr;
+input	[7:0]	rom_data;
+
+input		ctrl_setup;
+input		ctrl_in;
+input		ctrl_out;
+
+
+input [7:0]     rx_ctrl_data; 
+input           rx_ctrl_dvalid;
+input           rx_ctrl_ddone;
+
+input	[7:0]	ep0_din;
+output	[7:0]	ep0_dout;
+output		ep0_re, ep0_we;
+input	[3:0]	ep0_stat;
+output	[7:0]	ep0_size;
+
+output		send_stall;
+input	[10:0]	frame_no;
+output	[6:0]	funct_adr;
+output		configured, halt;
+
+output		v_set_int;
+output		v_set_feature;
+output	[15:0]	wValue;
+output	[15:0]	wIndex;
+input	[15:0]	vendor_data;
+
+//-----------------------------------
+// Register Interface
+// ----------------------------------
+output [31:0]   reg_addr;   // Register Address
+output		reg_rdwrn;  // 0 -> write, 1-> read
+output		reg_req;    //  Register Req
+output [31:0]	reg_wdata;  // Register write data
+input  [31:0]	reg_rdata;  // Register Read Data
+input		reg_ack;    // Register Ack
+
+
+
+///////////////////////////////////////////////////////////////////
+//
+// Local Wires and Registers
+//
+
+parameter	IDLE			=	20'b0000_0000_0000_0000_0001,
+		GET_HDR			=	20'b0000_0000_0000_0000_0010,
+		GET_STATUS_S		=	20'b0000_0000_0000_0000_0100,
+		CLEAR_FEATURE_S		=	20'b0000_0000_0000_0000_1000,
+		SET_FEATURE_S		=	20'b0000_0000_0000_0001_0000,
+		SET_ADDRESS_S		=	20'b0000_0000_0000_0010_0000,
+		GET_DESCRIPTOR_S	=	20'b0000_0000_0000_0100_0000,
+		SET_DESCRIPTOR_S	=	20'b0000_0000_0000_1000_0000,
+		GET_CONFIG_S		=	20'b0000_0000_0001_0000_0000,
+		SET_CONFIG_S		=	20'b0000_0000_0010_0000_0000,
+		GET_INTERFACE_S		=	20'b0000_0000_0100_0000_0000,
+		SET_INTERFACE_S		=	20'b0000_0000_1000_0000_0000,
+		SYNCH_FRAME_S		=	20'b0000_0001_0000_0000_0000,
+		WAIT_IN_DATA		=	20'b0000_0010_0000_0000_0000,
+		STATUS_IN		=	20'b0000_0100_0000_0000_0000,
+		STATUS_OUT		=	20'b0000_1000_0000_0000_0000,
+		V_SET_INT_S		=	20'b0001_0000_0000_0000_0000,
+		V_GET_STATUS_S		=	20'b0010_0000_0000_0000_0000,
+		V_GET_REG_RDATA_S	=	20'b0100_0000_0000_0000_0000,
+		V_WAIT_RDATA_DONE_S     =	20'b1000_0000_0000_0000_0000;
+
+
+wire	[7:0]	bmReqType, bRequest;
+wire	[15:0]	wValue, wIndex, wLength;
+wire		bm_req_dir;
+wire	[1:0]	bm_req_type;
+wire	[4:0]	bm_req_recp;
+
+reg		get_status, clear_feature, set_feature, set_address;
+reg		get_descriptor, set_descriptor, get_config, set_config;
+reg		get_interface, set_interface, synch_frame;
+reg		hdr_done_r, config_err;
+reg		v_set_int, v_set_feature, v_get_status;
+
+reg             v_set_reg_waddr; // Set the Reg Bus Address
+reg             v_set_reg_raddr; // Set the Reg Bus Address
+
+wire		fifo_re1, fifo_full, fifo_empty;
+reg		fifo_we_d;
+reg	[5:0]	data_sel;
+reg		ep0_we;
+reg	[7:0]	ep0_dout;
+reg	[7:0]	ep0_size;
+reg		send_stall;
+reg	[19:0]	state, next_state;
+reg		get_hdr;
+reg	[7:0]	le;
+wire		hdr_done;
+reg		adv;
+reg	[7:0]	hdr0, hdr1, hdr2, hdr3, hdr4, hdr5, hdr6, hdr7;
+reg	[6:0]	funct_adr;
+reg		set_adr_pending;
+reg	[6:0]	funct_adr_tmp;
+
+reg		in_size_0;
+reg		in_size_1;
+reg		in_size_2;
+reg		in_size_4;
+wire		high_sel;
+reg		write_done;
+
+//----------------------------
+// Register Interface
+// ----------------------------
+reg   [31:0]    reg_addr;
+reg   [31:0]    reg_wdata;
+reg   [31:0]    reg_rdata_r;
+reg             reg_req;
+reg             reg_rdwrn; // 0 - write, 1 -> read
+reg             reg_wphase; // register write phase
+reg             reg_rphase; // register read phase
+reg [3:0]       tx_bcnt; // transmit byte count
+///////////////////////////////////////////////////////////////////
+//
+// FIFO interface
+//
+
+assign ep0_re = fifo_re1;
+assign fifo_empty = ep0_stat[1];
+assign fifo_full = ep0_stat[2];
+
+///////////////////////////////////////////////////////////////////
+//
+// Current States
+//
+reg	addressed;
+reg	configured;
+reg	halt;
+wire	clr_halt;
+wire	set_halt=0;	// FIX_ME
+
+// For this implementation we do not implement HALT for the
+// device nor for any of the endpoints. This is useless for
+// this device, but can be added here later ...
+// FYI, we report device/endpoint errors via interrupts,
+// instead of halting the entire or part of the device, much
+// nicer for non-critical errors.
+
+assign clr_halt = ctrl_setup;
+
+always @(posedge clk)
+	if(!rst)	addressed <= #1 1'b0;
+	else
+	if(set_address)	addressed <= #1 1'b1;
+
+always @(posedge clk)
+	if(!rst)	configured <= #1 1'b0;
+	else
+	if(set_config)	configured <= #1 1'b1;
+
+always @(posedge clk)
+	if(!rst)	halt <= #1 1'b0;
+	else
+	if(clr_halt)	halt <= #1 1'b0;
+	else
+	if(set_halt)	halt <= #1 1'b1;
+
+///////////////////////////////////////////////////////////////////
+//
+// Descriptor ROM
+//
+reg	[6:0]	rom_adr;
+reg		rom_sel, rom_sel_r;
+wire		rom_done;
+reg	[6:0]	rom_size;
+reg		fifo_we_rom_r;
+reg		fifo_we_rom_r2;
+wire		fifo_we_rom;
+reg	[7:0]	rom_start_d;
+reg	[6:0]	rom_size_dd;
+wire	[6:0]	rom_size_d;
+
+always @(wValue)
+	case(wValue[11:8])		// synopsys full_case parallel_case
+	   4'h1:	rom_start_d = `ROM_START0;
+	   4'h2:	rom_start_d = `ROM_START1;
+	   4'h3:
+		case(wValue[3:0])	// synopsys full_case parallel_case
+		   4'h0:	rom_start_d = `ROM_START2A;
+		   4'h1:	rom_start_d = `ROM_START2B;
+		   4'h2:	rom_start_d = `ROM_START2C;
+		   4'h3:	rom_start_d = `ROM_START2D;
+		   default:	rom_start_d = `ROM_START2A;
+		endcase
+	   default:	rom_start_d = 7'h00;
+	endcase
+
+always @(wValue)
+	case(wValue[11:8])		// synopsys full_case parallel_case
+	   4'h1:	rom_size_dd = `ROM_SIZE0;
+	   4'h2:	rom_size_dd = `ROM_SIZE1;
+	   4'h3:
+		case(wValue[3:0])	// synopsys full_case parallel_case
+		   4'h0:	rom_size_dd = `ROM_SIZE2A;
+		   4'h1:	rom_size_dd = `ROM_SIZE2B;
+		   4'h2:	rom_size_dd = `ROM_SIZE2C;
+		   4'h3:	rom_size_dd = `ROM_SIZE2D;
+		   default:	rom_size_dd = `ROM_SIZE2A;
+		endcase
+	   default:	rom_size_dd = 7'h01;
+	endcase
+
+assign rom_size_d = (rom_size_dd > wLength[6:0]) ? wLength[6:0] : rom_size_dd;
+
+always @(posedge clk)
+	rom_sel_r <= #1 rom_sel;
+
+always @(posedge clk)
+	if(!rst)			rom_adr <= #1 7'h0;
+	else
+	if(rom_sel & !rom_sel_r)	rom_adr <= #1 rom_start_d;
+	else
+	if(rom_sel & !fifo_full)	rom_adr <= #1 rom_adr + 7'h1;
+
+always @(posedge clk)
+	if(!rst)			rom_size <= #1 7'h0;
+	else
+	if(rom_sel & !rom_sel_r)	rom_size <= #1 rom_size_d;
+	else
+	if(rom_sel & !fifo_full)	rom_size <= #1 rom_size - 7'h01;
+
+always @(posedge clk)
+	fifo_we_rom_r <= #1 rom_sel;
+
+always @(posedge clk)
+	fifo_we_rom_r2 <= #1 fifo_we_rom_r;
+
+assign fifo_we_rom = rom_sel & fifo_we_rom_r2;
+
+assign rom_done = (rom_size == 7'h0) & !(rom_sel & !rom_sel_r);
+
+///////////////////////////////////////////////////////////////////
+//
+// Get Header
+//
+
+assign fifo_re1 = (get_hdr | reg_wphase) & !fifo_empty;
+
+always @(posedge clk)
+	adv <= #1 get_hdr & !fifo_empty & !adv;
+
+always @(posedge clk)
+	if(!rst)	le <= #1 8'h0;
+	else
+	if(!get_hdr)	le <= #1 8'h0;
+	else
+	if(!(|le))	le <= #1 8'h1;
+	else
+	if(fifo_re1 && get_hdr)	le <= #1 {le[6:0], 1'b0};
+
+always @(posedge clk)
+	if(le[0])	hdr0 <= #1 ep0_din;
+
+always @(posedge clk)
+	if(le[1])	hdr1 <= #1 ep0_din;
+
+always @(posedge clk)
+	if(le[2])	hdr2 <= #1 ep0_din;
+
+always @(posedge clk)
+	if(le[3])	hdr3 <= #1 ep0_din;
+
+always @(posedge clk)
+	if(le[4])	hdr4 <= #1 ep0_din;
+
+always @(posedge clk)
+	if(le[5])	hdr5 <= #1 ep0_din;
+
+always @(posedge clk)
+	if(le[6])	hdr6 <= #1 ep0_din;
+
+always @(posedge clk)
+	if(le[7])	hdr7 <= #1 ep0_din;
+
+assign hdr_done = le[7] & fifo_re1 & get_hdr;
+
+///////////////////////////////////////////////////////////////////
+//
+// Send Data to Host
+//
+parameter	ZERO_DATA	=	6'b000001,
+		ZERO_ONE_DATA	=	6'b000010,
+		CONFIG_DATA	=	6'b000100,
+		SYNC_FRAME_DATA	=	6'b001000,
+		VEND_DATA	=	6'b010000,
+		REG_RDATA	=	6'b100000;
+
+assign high_sel = write_done;
+
+always @(posedge clk)
+	case(data_sel)		// synopsys full_case parallel_case
+	   ZERO_DATA:		ep0_dout <= #1 rom_sel ? rom_data : 8'h0;
+	   ZERO_ONE_DATA:	ep0_dout <= #1 high_sel ? 8'h1 : 8'h0;
+	   CONFIG_DATA:		ep0_dout <= #1 {7'h0, configured};	// return configuration
+	   SYNC_FRAME_DATA:	ep0_dout <= #1 high_sel ? {5'h0, frame_no[10:8]} : frame_no[7:0];
+	   VEND_DATA:		ep0_dout <= #1 high_sel ? vendor_data[15:8] : vendor_data[7:0];
+	   REG_RDATA:		ep0_dout <= #1 (tx_bcnt==0) ? reg_rdata_r[31:24] : 
+		                               (tx_bcnt==1) ? reg_rdata_r[23:16] :
+		                               (tx_bcnt==2) ? reg_rdata_r[15:8] : reg_rdata_r[7:0];
+	endcase
+
+always @(posedge clk)
+	ep0_we <= #1 (fifo_we_d & !write_done) | fifo_we_rom;
+
+always @(posedge clk)
+	if(in_size_0)	        ep0_size <= #1 8'h0;
+	else if(in_size_1)	ep0_size <= #1 8'h1;
+	else if(in_size_2)	ep0_size <= #1 8'h2;
+	else if(in_size_4)	ep0_size <= #1 8'h4;
+	else
+	if(rom_sel)	ep0_size <= #1 {1'b0, rom_size_d};
+
+
+always @(posedge clk) begin
+   if(!rst) begin
+       tx_bcnt    <= 0;
+       write_done <= 0;
+    end else begin
+       if(state == IDLE)  begin
+	  tx_bcnt <= 0;
+	  write_done <= 0; 
+      end else if((ep0_size == (tx_bcnt+1))  && (!fifo_full && fifo_we_d))
+	  write_done <= 1;
+      else if(!fifo_full && fifo_we_d ) 
+	  tx_bcnt <= tx_bcnt+1;
+      else 
+	  write_done <= 0;
+   end
+end
+
+///////////////////////////////////////////////////////////////////
+//
+// Decode Header
+//
+
+// Valid bRequest Codes
+parameter	GET_STATUS	=	8'h00,
+		CLEAR_FEATURE	=	8'h01,
+		SET_FEATURE	=	8'h03,
+		SET_ADDRESS	=	8'h05,
+		GET_DESCRIPTOR	=	8'h06,
+		SET_DESCRIPTOR	=	8'h07,
+		GET_CONFIG	=	8'h08,
+		SET_CONFIG	=	8'h09,
+		GET_INTERFACE	=	8'h0a,
+		SET_INTERFACE	=	8'h0b,
+		SYNCH_FRAME	=	8'h0c,
+		CUSTOM_REG_WADDR=	8'h10, // Added by Dinesh-A, 19th Feb 2013
+		CUSTOM_REG_RADDR=	8'h11; // Added by Dinesh-A, 19th Feb 2013
+
+parameter	V_SET_INT	=	8'h0f;
+
+/*************************************************
+*  Author: Dinesh-A: 18th Feb 2013  
+*  Setup Byte Details
+Byte	Field	Description
+0	bmRequest Type	 
+           Bit 7: Request direction (0=Host to device – Out, 1=Device to host – In).
+           Bits 5-6: Request type (0=standard, 1=class, 2=vendor, 3=reserved).
+           Bits 0-4: Recipient (0=device, 1=interface, 2=endpoint,3=other).
+1	bRequest	 The actual request (see the Standard Device Request Codes table [9.2.1.5].
+2	wValueL	 A word-size value that varies according to the request. For example, 
+        in the CLEAR_FEATURE request the value is used to select the feature, 
+	in the GET_DESCRIPTOR request the value indicates the descriptor type and in the 
+	SET_ADDRESS request the value contains the device address.
+3	wValueH	The upper byte of the Value word.
+4	wIndexL	 A word-size value that varies according to the request. 
+        The index is generally used to specify an endpoint or an interface.
+5	wIndexH	The upper byte of the Index word.
+6	wLengthL  A word-size value that indicates the number of bytes to be transferred if there is a data stage.
+7	wLengthH  The upper byte of the Length word.
+**************************************************/
+/*******
+bRequest	               Value
+GET_STATUS	               0
+CLEAR_FEATURE	               1
+Reserved for future use	       2
+SET_FEATURE	               3
+Reserved for future use	       4
+SET_ADDRESS	               5
+GET_DESCRIPTOR	               6
+SET_DESCRIPTOR	               7
+GET_CONFIGURATION	       8
+SET_CONFIGURATION	       9
+GET_INTERFACE	               10
+SET_INTERFACE	               11
+SYNCH_FRAME	               12
+
+*******************************/
+
+assign bmReqType = hdr0;
+assign bm_req_dir = bmReqType[7];	// 0-Host to device; 1-device to host 
+assign bm_req_type = bmReqType[6:5];	// 0-standard; 1-class; 2-vendor; 3-RESERVED
+assign bm_req_recp = bmReqType[4:0];	// 0-device; 1-interface; 2-endpoint; 3-other
+					// 4..31-reserved
+assign bRequest =  hdr1;
+assign wValue   = {hdr3, hdr2};
+assign wIndex   = {hdr5, hdr4};
+assign wLength  = {hdr7, hdr6};
+
+always @(posedge clk)
+	hdr_done_r <= #1 hdr_done;
+
+// Standard commands that MUST support
+always @(posedge clk)
+	get_status <= #1	hdr_done & (bRequest == GET_STATUS) & (bm_req_type==2'h0);
+
+always @(posedge clk)
+	clear_feature <= #1	hdr_done & (bRequest == CLEAR_FEATURE) & (bm_req_type==2'h0);
+
+always @(posedge clk)
+	set_feature <= #1	hdr_done & (bRequest == SET_FEATURE) & (bm_req_type==2'h0);
+
+always @(posedge clk)
+	set_address <= #1	hdr_done & (bRequest == SET_ADDRESS) & (bm_req_type==2'h0);
+
+always @(posedge clk)
+	get_descriptor <= #1	hdr_done & (bRequest == GET_DESCRIPTOR) & (bm_req_type==2'h0);
+
+always @(posedge clk)
+	set_descriptor <= #1	hdr_done & (bRequest == SET_DESCRIPTOR) & (bm_req_type==2'h0);
+
+always @(posedge clk)
+	get_config <= #1	hdr_done & (bRequest == GET_CONFIG) & (bm_req_type==2'h0);
+
+always @(posedge clk)
+	set_config <= #1	hdr_done & (bRequest == SET_CONFIG) & (bm_req_type==2'h0);
+
+always @(posedge clk)
+	get_interface <= #1	hdr_done & (bRequest == GET_INTERFACE) & (bm_req_type==2'h0);
+
+always @(posedge clk)
+	set_interface <= #1	hdr_done & (bRequest == SET_INTERFACE) & (bm_req_type==2'h0);
+
+always @(posedge clk)
+	synch_frame <= #1	hdr_done & (bRequest == SYNCH_FRAME) & (bm_req_type==2'h0);
+
+always @(posedge clk)
+	v_set_int <= #1		hdr_done & (bRequest == V_SET_INT) & (bm_req_type==2'h2);
+
+always @(posedge clk)
+	v_set_feature <= #1	hdr_done & (bRequest == SET_FEATURE) & (bm_req_type==2'h2);
+
+always @(posedge clk)
+	v_get_status <= #1	hdr_done & (bRequest == GET_STATUS) & (bm_req_type==2'h2);
+always @(posedge clk)
+	v_set_reg_waddr <= #1	hdr_done & (bRequest == CUSTOM_REG_WADDR) & (bm_req_type==2'h2);
+always @(posedge clk)
+	v_set_reg_raddr <= #1	hdr_done & (bRequest == CUSTOM_REG_RADDR) & (bm_req_type==2'h2);
+
+always @(posedge clk)
+	if(v_set_reg_waddr || v_set_reg_raddr) reg_addr  <= {hdr2,hdr3,hdr4,hdr5}; 
+
+reg [1:0] reg_byte_cnt;
+always @(posedge clk) begin
+   if(!rst) begin
+       reg_byte_cnt <= 2'b0;
+   end else begin
+      if(v_set_reg_waddr) begin 
+	  reg_wphase      <= 1; 
+	  reg_byte_cnt    <= 0;
+      end else if(reg_byte_cnt == 2'b11 && fifo_re1) begin
+	  reg_wphase  <= 0; 
+	  reg_byte_cnt    <= 0;
+      end else if(reg_wphase && fifo_re1) begin
+	 reg_byte_cnt <= reg_byte_cnt+1;
+      end
+   end
+end
+
+
+always @(posedge clk)
+      if(reg_wphase && fifo_re1) reg_wdata  <= {reg_wdata[23:0],ep0_din[7:0]}; 
+
+always @(posedge clk)
+      if(reg_rdwrn && reg_ack) reg_rdata_r  <= {reg_rdata}; 
+
+always @(posedge clk) begin
+   if(!rst) begin
+      reg_req  <= 0; 
+   end else begin
+      if(fifo_re1 && reg_wphase && reg_byte_cnt== 2'b11) reg_req  <= 1; 
+      else if(v_set_reg_raddr)         reg_req  <= 1; 
+      else reg_req <= 0;
+   end
+end
+
+always @(posedge clk)
+	if(v_set_reg_raddr)      reg_rdwrn <= 1'b1 ;
+	else if(v_set_reg_waddr) reg_rdwrn <= 1'b0 ;
+
+// A config err must cause the device to send a STALL for an ACK
+always @(posedge clk)
+	config_err <= #1 hdr_done_r & !(get_status | clear_feature |
+			set_feature | set_address | get_descriptor |
+			set_descriptor | get_config | set_config |
+			get_interface | set_interface | synch_frame |
+			v_set_int | v_set_feature | v_get_status | v_set_reg_waddr | v_set_reg_raddr);
+
+always @(posedge clk)
+	send_stall <= #1 config_err;
+
+///////////////////////////////////////////////////////////////////
+//
+// Set address
+//
+
+always @(posedge clk)
+	if(!rst)				set_adr_pending <= #1 1'b0;
+	else
+	if(ctrl_in | ctrl_out | ctrl_setup)	set_adr_pending <= #1 1'b0;
+	else
+	if(set_address)				set_adr_pending <= #1 1'b1;
+
+always @(posedge clk)
+	if(!rst)			funct_adr_tmp <= #1 7'h0;
+	else
+	if(set_address)			funct_adr_tmp <= #1 wValue[6:0];
+
+always @(posedge clk)
+	if(!rst)			funct_adr <= #1 7'h0;
+	else
+	if(set_adr_pending & ctrl_in)	funct_adr <= #1 funct_adr_tmp;
+
+///////////////////////////////////////////////////////////////////
+//
+// Main FSM
+//
+
+always @(posedge clk)
+	if(!rst)	state <= #1 IDLE;
+	else		state <= next_state;
+
+always @(state or ctrl_setup or ctrl_in or ctrl_out or hdr_done or
+	fifo_full or rom_done or write_done or wValue or bm_req_recp or
+	get_status or clear_feature or set_feature or set_address or
+	get_descriptor or set_descriptor or get_config or set_config or
+	get_interface or set_interface or synch_frame or v_set_int or
+	v_set_feature or v_get_status or v_set_reg_waddr or v_set_reg_raddr, reg_ack
+	)
+   begin
+	next_state = state;
+	get_hdr  = 1'b0;
+	data_sel = ZERO_DATA;
+	fifo_we_d = 1'b0;
+	in_size_0 = 1'b0;
+	in_size_1 = 1'b0;
+	in_size_2 = 1'b0;
+	in_size_4 = 1'b0;
+	rom_sel = 1'b0;
+
+	case(state)	// synopsys full_case parallel_case
+
+		// Wait for Setup token
+	   IDLE:
+		   begin
+			if(ctrl_setup)		next_state = GET_HDR;
+			if(get_status)		next_state = GET_STATUS_S;
+			if(clear_feature)	next_state = CLEAR_FEATURE_S;
+			if(set_feature)		next_state = SET_FEATURE_S;
+			if(set_address)		next_state = SET_ADDRESS_S;
+			if(get_descriptor)	next_state = GET_DESCRIPTOR_S;
+			if(set_descriptor)	next_state = SET_DESCRIPTOR_S;
+			if(get_config)		next_state = GET_CONFIG_S;
+			if(set_config)		next_state = SET_CONFIG_S;
+			if(get_interface)	next_state = GET_INTERFACE_S;
+			if(set_interface)	next_state = SET_INTERFACE_S;
+			if(synch_frame)		next_state = SYNCH_FRAME_S;
+			if(v_set_int)		next_state = V_SET_INT_S;
+			if(v_set_feature)	next_state = V_SET_INT_S;
+			if(v_get_status)	next_state = V_GET_STATUS_S;
+			if(v_set_reg_waddr)	next_state = STATUS_IN;
+			if(v_set_reg_raddr)	next_state = V_WAIT_RDATA_DONE_S;
+		   end
+
+		// Retrieve Setup Header
+	   GET_HDR:
+		   begin
+			get_hdr = 1'b1;
+			if(hdr_done)	next_state = IDLE;
+		   end
+
+
+		// Actions for supported commands
+	   GET_STATUS_S:
+		   begin
+			// Returns to host
+			// 16'h0001 for device
+			// 16'h0000 for interface
+			// 16'h0000 for endpoint
+			if(bm_req_recp == 5'h00)	data_sel = ZERO_ONE_DATA;
+			else				data_sel = ZERO_DATA;
+
+			in_size_2 = 1'b1;
+			if(!fifo_full)
+			   begin
+				fifo_we_d = 1'b1;
+				if(write_done)	next_state = WAIT_IN_DATA;
+			   end
+
+		   end
+	   V_GET_STATUS_S:
+		   begin
+			data_sel = VEND_DATA;
+			in_size_2 = 1'b1;
+			if(!fifo_full)
+			   begin
+				fifo_we_d = 1'b1;
+				if(write_done)	next_state = WAIT_IN_DATA;
+			   end
+		   end
+
+	V_WAIT_RDATA_DONE_S: begin // Wait for Register Read Access Completion
+		     if(reg_ack)
+			next_state = V_GET_REG_RDATA_S;
+		   end
+
+	   V_GET_REG_RDATA_S: // Register Access Read Data
+		   begin
+			data_sel = REG_RDATA;
+			in_size_4 = 1'b1;
+			if(!fifo_full)
+			   begin
+				fifo_we_d = 1'b1;
+				if(write_done)	next_state = WAIT_IN_DATA;
+			   end
+		   end		   
+	   CLEAR_FEATURE_S:
+		   begin
+			// just ignore this for now
+			next_state = STATUS_IN;
+		   end
+
+	   SET_FEATURE_S:
+		   begin
+			// just ignore this for now
+			next_state = STATUS_IN;
+		   end
+
+	   SET_ADDRESS_S:
+		   begin
+			// done elsewhere ....
+			next_state = STATUS_IN;
+		   end
+
+	   GET_DESCRIPTOR_S:
+		   begin
+			if(	wValue[15:8] == 8'h01 |
+				wValue[15:8] == 8'h02 | 
+				wValue[15:8] == 8'h03	)
+				rom_sel = 1'b1;
+			else
+				next_state = IDLE;
+
+			if(rom_done)
+				next_state = IDLE;
+		   end
+
+	   SET_DESCRIPTOR_S:
+		   begin
+			// This doesn't do anything since we do not support
+			// setting the descriptor
+			next_state = IDLE;
+		   end
+
+	   GET_CONFIG_S:
+		   begin
+			// Send one byte back that indicates current status
+			in_size_1 = 1'b1;
+			data_sel = CONFIG_DATA;
+			if(!fifo_full)
+			   begin
+				fifo_we_d = 1'b1;
+				next_state = WAIT_IN_DATA;
+			   end
+		   end
+
+	   SET_CONFIG_S:
+		   begin
+			// done elsewhere ....
+			next_state = STATUS_IN;
+		   end
+
+	   GET_INTERFACE_S:
+		   begin
+			// Return interface '0'
+			in_size_1 = 1'b1;
+			if(!fifo_full)
+			   begin
+				fifo_we_d = 1'b1;
+				next_state = WAIT_IN_DATA;
+			   end
+		   end
+
+	   SET_INTERFACE_S:
+		   begin
+			// just ignore this for now
+			next_state = STATUS_IN;
+		   end
+
+	   SYNCH_FRAME_S:
+		   begin
+			// Return Frame current frame number
+			data_sel = SYNC_FRAME_DATA;
+			in_size_2 = 1'b1;
+			if(!fifo_full)
+			   begin
+				fifo_we_d = 1'b1;
+				if(write_done)	next_state = WAIT_IN_DATA;
+			   end
+		   end
+
+	   V_SET_INT_S:
+		   begin
+			// done elsewhere ....
+			next_state = STATUS_IN;
+		   end
+
+	   WAIT_IN_DATA:
+		   begin
+			if(ctrl_in)	next_state = STATUS_OUT;
+		   end
+
+	   STATUS_IN:
+		   begin
+			in_size_0 = 1'b1;
+			if(ctrl_in)	next_state = IDLE;
+		   end
+
+	   STATUS_OUT:
+		   begin
+			if(ctrl_out)	next_state = IDLE;
+		   end
+	endcase
+   end
+
+endmodule
+
diff --git a/verilog/dv/bfm/usb_device/core/usb1d_fifo2.v b/verilog/dv/bfm/usb_device/core/usb1d_fifo2.v
new file mode 100755
index 0000000..631acb4
--- /dev/null
+++ b/verilog/dv/bfm/usb_device/core/usb1d_fifo2.v
@@ -0,0 +1,104 @@
+/////////////////////////////////////////////////////////////////////
+////                                                             ////
+////  Fast FIFO 2 entries deep                                   ////
+////                                                             ////
+////                                                             ////
+////  Author: Rudolf Usselmann                                   ////
+////          rudi@asics.ws                                      ////
+////                                                             ////
+////                                                             ////
+////  Downloaded from: http://www.opencores.org/cores/usb1_funct/////
+////                                                             ////
+/////////////////////////////////////////////////////////////////////
+////                                                             ////
+//// Copyright (C) 2000-2002 Rudolf Usselmann                    ////
+////                         www.asics.ws                        ////
+////                         rudi@asics.ws                       ////
+////                                                             ////
+//// This source file may be used and distributed without        ////
+//// restriction provided that this copyright statement is not   ////
+//// removed from the file and that any derivative work contains ////
+//// the original copyright notice and the associated disclaimer.////
+////                                                             ////
+////     THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY     ////
+//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   ////
+//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   ////
+//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      ////
+//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         ////
+//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    ////
+//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   ////
+//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        ////
+//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  ////
+//// LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  ////
+//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  ////
+//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         ////
+//// POSSIBILITY OF SUCH DAMAGE.                                 ////
+////                                                             ////
+/////////////////////////////////////////////////////////////////////
+
+//  CVS Log
+//
+//  $Id: usb1_fifo2.v,v 1.1.1.1 2002-09-19 12:07:31 rudi Exp $
+//
+//  $Date: 2002-09-19 12:07:31 $
+//  $Revision: 1.1.1.1 $
+//  $Author: rudi $
+//  $Locker:  $
+//  $State: Exp $
+//
+// Change History:
+//               $Log: not supported by cvs2svn $
+//
+//
+//
+//
+//
+
+
+module usb1d_fifo2(clk, rst, clr,  din, we, dout, re);
+
+input		clk, rst;
+input		clr;
+input   [7:0]	din;
+input		we;
+output  [7:0]	dout;
+input		re;
+
+
+////////////////////////////////////////////////////////////////////
+//
+// Local Wires
+//
+
+reg     [7:0]	mem[0:1];
+reg		wp;
+reg		rp;
+
+////////////////////////////////////////////////////////////////////
+//
+// Misc Logic
+//
+
+always @(posedge clk or negedge rst)
+        if(!rst)	wp <= #1 1'h0;
+        else
+        if(clr)		wp <= #1 1'h0;
+        else
+        if(we)		wp <= #1 ~wp;
+
+always @(posedge clk or negedge rst)
+        if(!rst)	rp <= #1 1'h0;
+        else
+        if(clr)		rp <= #1 1'h0;
+        else
+        if(re)		rp <= #1 ~rp;
+
+// Fifo Output
+assign  dout = mem[ rp ];
+
+// Fifo Input 
+always @(posedge clk)
+        if(we)     mem[ wp ] <= #1 din;
+
+endmodule
+
diff --git a/verilog/dv/bfm/usb_device/core/usb1d_generic_dpram.v b/verilog/dv/bfm/usb_device/core/usb1d_generic_dpram.v
new file mode 100755
index 0000000..431a447
--- /dev/null
+++ b/verilog/dv/bfm/usb_device/core/usb1d_generic_dpram.v
@@ -0,0 +1,502 @@
+//////////////////////////////////////////////////////////////////////

+////                                                              ////

+////  Generic Dual-Port Synchronous RAM                           ////

+////                                                              ////

+////  This file is part of memory library available from          ////

+////  http://www.opencores.org/cvsweb.shtml/generic_memories/     ////

+////                                                              ////

+////  Description                                                 ////

+////  This block is a wrapper with common dual-port               ////

+////  synchronous memory interface for different                  ////

+////  types of ASIC and FPGA RAMs. Beside universal memory        ////

+////  interface it also provides behavioral model of generic      ////

+////  dual-port synchronous RAM.                                  ////

+////  It also contains a fully synthesizeable model for FPGAs.    ////

+////  It should be used in all OPENCORES designs that want to be  ////

+////  portable accross different target technologies and          ////

+////  independent of target memory.                               ////

+////                                                              ////

+////  Supported ASIC RAMs are:                                    ////

+////  - Artisan Dual-Port Sync RAM                                ////

+////  - Avant! Two-Port Sync RAM (*)                              ////

+////  - Virage 2-port Sync RAM                                    ////

+////                                                              ////

+////  Supported FPGA RAMs are:                                    ////

+////  - Generic FPGA (VENDOR_FPGA)                                ////

+////    Tested RAMs: Altera, Xilinx                               ////

+////    Synthesis tools: LeonardoSpectrum, Synplicity             ////

+////  - Xilinx (VENDOR_XILINX)                                    ////

+////  - Altera (VENDOR_ALTERA)                                    ////

+////                                                              ////

+////  To Do:                                                      ////

+////   - fix Avant!                                               ////

+////   - add additional RAMs (VS etc)                             ////

+////                                                              ////

+////  Author(s):                                                  ////

+////      - Richard Herveille, richard@asics.ws                   ////

+////      - Damjan Lampret, lampret@opencores.org                 ////

+////                                                              ////

+//////////////////////////////////////////////////////////////////////

+////                                                              ////

+//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////

+////                                                              ////

+//// This source file may be used and distributed without         ////

+//// restriction provided that this copyright statement is not    ////

+//// removed from the file and that any derivative work contains  ////

+//// the original copyright notice and the associated disclaimer. ////

+////                                                              ////

+//// This source file is free software; you can redistribute it   ////

+//// and/or modify it under the terms of the GNU Lesser General   ////

+//// Public License as published by the Free Software Foundation; ////

+//// either version 2.1 of the License, or (at your option) any   ////

+//// later version.                                               ////

+////                                                              ////

+//// This source is distributed in the hope that it will be       ////

+//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////

+//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////

+//// PURPOSE.  See the GNU Lesser General Public License for more ////

+//// details.                                                     ////

+////                                                              ////

+//// You should have received a copy of the GNU Lesser General    ////

+//// Public License along with this source; if not, download it   ////

+//// from http://www.opencores.org/lgpl.shtml                     ////

+////                                                              ////

+//////////////////////////////////////////////////////////////////////

+//

+// CVS Revision History

+//

+// $Log: not supported by cvs2svn $

+// Revision 1.2  2001/11/08 19:11:31  samg

+// added valid checks to behvioral model

+//

+// Revision 1.1.1.1  2001/09/14 09:57:10  rherveille

+// Major cleanup.

+// Files are now compliant to Altera & Xilinx memories.

+// Memories are now compatible, i.e. drop-in replacements.

+// Added synthesizeable generic FPGA description.

+// Created "generic_memories" cvs entry.

+//

+// Revision 1.1.1.2  2001/08/21 13:09:27  damjan

+// *** empty log message ***

+//

+// Revision 1.1  2001/08/20 18:23:20  damjan

+// Initial revision

+//

+// Revision 1.1  2001/08/09 13:39:33  lampret

+// Major clean-up.

+//

+// Revision 1.2  2001/07/30 05:38:02  lampret

+// Adding empty directories required by HDL coding guidelines

+//

+//

+ 

+ 

+//`define VENDOR_FPGA

+//`define VENDOR_XILINX

+//`define VENDOR_ALTERA

+ 

+module usb1d_generic_dpram(

+	// Generic synchronous dual-port RAM interface

+	rclk, rrst, rce, oe, raddr, dout,

+	wclk, wrst, wce, we, waddr, di

+);

+ 

+	//

+	// Default address and data buses width

+	//

+	parameter aw = 5;  // number of bits in address-bus

+	parameter dw = 16; // number of bits in data-bus

+ 

+	//

+	// Generic synchronous double-port RAM interface

+	//

+	// read port

+	input           rclk;  // read clock, rising edge trigger

+	input           rrst;  // read port reset, active high

+	input           rce;   // read port chip enable, active high

+	input           oe;	   // output enable, active high

+	input  [aw-1:0] raddr; // read address

+	output [dw-1:0] dout;    // data output

+ 

+	// write port

+	input          wclk;  // write clock, rising edge trigger

+	input          wrst;  // write port reset, active high

+	input          wce;   // write port chip enable, active high

+	input          we;    // write enable, active high

+	input [aw-1:0] waddr; // write address

+	input [dw-1:0] di;    // data input

+ 

+	//

+	// Module body

+	//

+ 

+`ifdef VENDOR_FPGA

+	//

+	// Instantiation synthesizeable FPGA memory

+	//

+	// This code has been tested using LeonardoSpectrum and Synplicity.

+	// The code correctly instantiates Altera EABs and Xilinx BlockRAMs.

+	//

+ 

+	reg [dw-1 :0] mem [(1<<aw) -1:0]; // instantiate memory

+	reg [dw-1:0] dout;                  // data output registers

+ 

+	// read operation

+ 

+	/*

+	always@(posedge rclk)

+		if (rce)                      // clock enable instructs Xilinx tools to use SelectRAM (LUTS) instead of BlockRAM

+			do <= #1 mem[raddr];

+	*/

+ 

+	always@(posedge rclk)

+		dout <= #1 mem[raddr];

+ 

+	// write operation

+	always@(posedge wclk)

+		if (we && wce)

+			mem[waddr] <= #1 di;

+ 

+`else

+ 

+`ifdef VENDOR_XILINX

+	//

+	// Instantiation of FPGA memory:

+	//

+	// Virtex/Spartan2 BlockRAMs

+	//

+	xilinx_ram_dp xilinx_ram(

+		// read port

+		.CLKA(rclk),

+		.RSTA(rrst),

+		.ENA(rce),

+		.ADDRA(raddr),

+		.DIA( {dw{1'b0}} ),

+		.WEA(1'b0),

+		.DOA(dout),

+ 

+		// write port

+		.CLKB(wclk),

+		.RSTB(wrst),

+		.ENB(wce),

+		.ADDRB(waddr),

+		.DIB(di),

+		.WEB(we),

+		.DOB()

+	);

+ 

+	defparam

+		xilinx_ram.dwidth = dw,

+		xilinx_ram.awidth = aw;

+ 

+`else

+ 

+`ifdef VENDOR_ALTERA

+	//

+	// Instantiation of FPGA memory:

+	//

+	// Altera FLEX/APEX EABs

+	//

+	altera_ram_dp altera_ram(

+		// read port

+		.rdclock(rclk),

+		.rdclocken(rce),

+		.rdaddress(raddr),

+		.q(dout),

+ 

+		// write port

+		.wrclock(wclk),

+		.wrclocken(wce),

+		.wren(we),

+		.wraddress(waddr),

+		.data(di)

+	);

+ 

+	defparam

+		altera_ram.dwidth = dw,

+		altera_ram.awidth = aw;

+ 

+`else

+ 

+`ifdef VENDOR_ARTISAN

+ 

+	//

+	// Instantiation of ASIC memory:

+	//

+	// Artisan Synchronous Double-Port RAM (ra2sh)

+	//

+	art_hsdp #(dw, 1<<aw, aw) artisan_sdp(

+		// read port

+		.qa(dout),

+		.clka(rclk),

+		.cena(~rce),

+		.wena(1'b1),

+		.aa(raddr),

+		.da( {dw{1'b0}} ),

+		.oena(~oe),

+ 

+		// write port

+		.qb(),

+		.clkb(wclk),

+		.cenb(~wce),

+		.wenb(~we),

+		.ab(waddr),

+		.db(di),

+		.oenb(1'b1)

+	);

+ 

+`else

+ 

+`ifdef VENDOR_AVANT

+ 

+	//

+	// Instantiation of ASIC memory:

+	//

+	// Avant! Asynchronous Two-Port RAM

+	//

+	avant_atp avant_atp(

+		.web(~we),

+		.reb(),

+		.oeb(~oe),

+		.rcsb(),

+		.wcsb(),

+		.ra(raddr),

+		.wa(waddr),

+		.di(di),

+		.do(dout)

+	);

+ 

+`else

+ 

+`ifdef VENDOR_VIRAGE

+ 

+	//

+	// Instantiation of ASIC memory:

+	//

+	// Virage Synchronous 2-port R/W RAM

+	//

+	virage_stp virage_stp(

+		// read port

+		.CLKA(rclk),

+		.MEA(rce_a),

+		.ADRA(raddr),

+		.DA( {dw{1'b0}} ),

+		.WEA(1'b0),

+		.OEA(oe),

+		.QA(dout),

+ 

+		// write port

+		.CLKB(wclk),

+		.MEB(wce),

+		.ADRB(waddr),

+		.DB(di),

+		.WEB(we),

+		.OEB(1'b1),

+		.QB()

+	);

+ 

+`else

+ 

+	//

+	// Generic dual-port synchronous RAM model

+	//

+ 

+	//

+	// Generic RAM's registers and wires

+	//

+	reg	[dw-1:0]	mem [(1<<aw)-1:0];	// RAM content

+	reg	[dw-1:0]	do_reg;            // RAM data output register

+ 

+	//

+	// Data output drivers

+	//

+	assign dout = (oe & rce) ? do_reg : {dw{1'bz}};

+ 

+	// read operation

+	always @(posedge rclk)

+		if (rce)

+          		do_reg <= #1 (we && (waddr==raddr)) ? {dw{1'b x}} : mem[raddr];

+ 

+	// write operation

+	always @(posedge wclk)

+		if (wce && we)

+			mem[waddr] <= #1 di;

+ 

+ 

+	// Task prints range of memory

+	// *** Remember that tasks are non reentrant, don't call this task in parallel for multiple instantiations. 

+	task print_ram;

+	input [aw-1:0] start;

+	input [aw-1:0] finish;

+	integer rnum;

+  	begin

+    		for (rnum=start;rnum<=finish;rnum=rnum+1)

+      			$display("Addr %h = %h",rnum,mem[rnum]);

+  	end

+	endtask

+ 

+`endif // !VENDOR_VIRAGE

+`endif // !VENDOR_AVANT

+`endif // !VENDOR_ARTISAN

+`endif // !VENDOR_ALTERA

+`endif // !VENDOR_XILINX

+`endif // !VENDOR_FPGA

+ 

+endmodule

+ 

+//

+// Black-box modules

+//

+ 

+`ifdef VENDOR_ALTERA

+	module altera_ram_dp(

+		data,

+		wraddress,

+		rdaddress,

+		wren,

+		wrclock,

+		wrclocken,

+		rdclock,

+		rdclocken,

+		q) /* synthesis black_box */;

+ 

+		parameter awidth = 7;

+		parameter dwidth = 8;

+ 

+		input [dwidth -1:0] data;

+		input [awidth -1:0] wraddress;

+		input [awidth -1:0] rdaddress;

+		input               wren;

+		input               wrclock;

+		input               wrclocken;

+		input               rdclock;

+		input               rdclocken;

+		output [dwidth -1:0] q;

+ 

+		// synopsis translate_off

+		// exemplar translate_off

+ 

+		syn_dpram_rowr #(

+			"UNUSED",

+			dwidth,

+			awidth,

+			1 << awidth

+		)

+		altera_dpram_model (

+			// read port

+			.RdClock(rdclock),

+			.RdClken(rdclocken),

+			.RdAddress(rdaddress),

+			.RdEn(1'b1),

+			.Q(q),

+ 

+			// write port

+			.WrClock(wrclock),

+			.WrClken(wrclocken),

+			.WrAddress(wraddress),

+			.WrEn(wren),

+			.Data(data)

+		);

+ 

+		// exemplar translate_on

+		// synopsis translate_on

+ 

+	endmodule

+`endif // VENDOR_ALTERA

+ 

+`ifdef VENDOR_XILINX

+	module xilinx_ram_dp (

+		ADDRA,

+		CLKA,

+		ADDRB,

+		CLKB,

+		DIA,

+		WEA,

+		DIB,

+		WEB,

+		ENA,

+		ENB,

+		RSTA,

+		RSTB,

+		DOA,

+		DOB) /* synthesis black_box */ ;

+ 

+	parameter awidth = 7;

+	parameter dwidth = 8;

+ 

+	// port_a

+	input               CLKA;

+	input               RSTA;

+	input               ENA;

+	input [awidth-1:0]  ADDRA;

+	input [dwidth-1:0]  DIA;

+	input               WEA;

+	output [dwidth-1:0] DOA;

+ 

+	// port_b

+	input               CLKB;

+	input               RSTB;

+	input               ENB;

+	input [awidth-1:0]  ADDRB;

+	input [dwidth-1:0]  DIB;

+	input               WEB;

+	output [dwidth-1:0] DOB;

+ 

+	// insert simulation model

+ 

+ 

+	// synopsys translate_off

+	// exemplar translate_off

+ 

+	C_MEM_DP_BLOCK_V1_0 #(

+		awidth,

+		awidth,

+		1,

+		1,

+		"0",

+		1 << awidth,

+		1 << awidth,

+		1,

+		1,

+		1,

+		1,

+		1,

+		1,

+		1,

+		1,

+		1,

+		1,

+		1,

+		1,

+		1,

+		"",

+		16,

+		0,

+		0,

+		1,

+		1,

+		1,

+		1,

+		dwidth,

+		dwidth)

+	xilinx_dpram_model (

+		.ADDRA(ADDRA),

+		.CLKA(CLKA),

+		.ADDRB(ADDRB),

+		.CLKB(CLKB),

+		.DIA(DIA),

+		.WEA(WEA),

+		.DIB(DIB),

+		.WEB(WEB),

+		.ENA(ENA),

+		.ENB(ENB),

+		.RSTA(RSTA),

+		.RSTB(RSTB),

+		.DOA(DOA),

+		.DOB(DOB));

+ 

+		// exemplar translate_on

+		// synopsys translate_on

+ 

+	endmodule

+`endif // VENDOR_XILINX

diff --git a/verilog/dv/bfm/usb_device/core/usb1d_generic_fifo.v b/verilog/dv/bfm/usb_device/core/usb1d_generic_fifo.v
new file mode 100755
index 0000000..89c67e6
--- /dev/null
+++ b/verilog/dv/bfm/usb_device/core/usb1d_generic_fifo.v
@@ -0,0 +1,334 @@
+/////////////////////////////////////////////////////////////////////

+////                                                             ////

+////  Universal FIFO Single Clock                                ////

+////                                                             ////

+////                                                             ////

+////  Author: Rudolf Usselmann                                   ////

+////          rudi@asics.ws                                      ////

+////                                                             ////

+////                                                             ////

+////  D/L from: http://www.opencores.org/cores/generic_fifos/    ////

+////                                                             ////

+/////////////////////////////////////////////////////////////////////

+////                                                             ////

+//// Copyright (C) 2000-2002 Rudolf Usselmann                    ////

+////                         www.asics.ws                        ////

+////                         rudi@asics.ws                       ////

+////                                                             ////

+//// This source file may be used and distributed without        ////

+//// restriction provided that this copyright statement is not   ////

+//// removed from the file and that any derivative work contains ////

+//// the original copyright notice and the associated disclaimer.////

+////                                                             ////

+////     THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY     ////

+//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   ////

+//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   ////

+//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      ////

+//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         ////

+//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    ////

+//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   ////

+//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        ////

+//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  ////

+//// LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  ////

+//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  ////

+//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         ////

+//// POSSIBILITY OF SUCH DAMAGE.                                 ////

+////                                                             ////

+/////////////////////////////////////////////////////////////////////

+ 

+//  CVS Log

+//

+//  $Id: generic_fifo_sc_a.v,v 1.1.1.1 2002-09-25 05:42:06 rudi Exp $

+//

+//  $Date: 2002-09-25 05:42:06 $

+//  $Revision: 1.1.1.1 $

+//  $Author: rudi $

+//  $Locker:  $

+//  $State: Exp $

+//

+// Change History:

+//               $Log: not supported by cvs2svn $

+//

+//

+//

+//

+//

+//

+//

+//

+//

+//

+ 

+ 

+/*

+ 

+Description

+===========

+ 

+I/Os

+----

+rst	low active, either sync. or async. master reset (see below how to select)

+clr	synchronous clear (just like reset but always synchronous), high active

+re	read enable, synchronous, high active

+we	read enable, synchronous, high active

+din	Data Input

+dout	Data Output

+ 

+full	Indicates the FIFO is full (combinatorial output)

+full_r	same as above, but registered output (see note below)

+empty	Indicates the FIFO is empty

+empty_r	same as above, but registered output (see note below)

+ 

+full_n		Indicates if the FIFO has space for N entries (combinatorial output)

+full_n_r	same as above, but registered output (see note below)

+empty_n		Indicates the FIFO has at least N entries (combinatorial output)

+empty_n_r	same as above, but registered output (see note below)

+ 

+level		indicates the FIFO level:

+		2'b00	0-25%	 full

+		2'b01	25-50%	 full

+		2'b10	50-75%	 full

+		2'b11	%75-100% full

+ 

+combinatorial vs. registered status outputs

+-------------------------------------------

+Both the combinatorial and registered status outputs have exactly the same

+synchronous timing. Meaning they are being asserted immediately at the clock

+edge after the last read or write. The combinatorial outputs however, pass

+through several levels of logic before they are output. The registered status

+outputs are direct outputs of a flip-flop. The reason both are provided, is

+that the registered outputs require quite a bit of additional logic inside

+the FIFO. If you can meet timing of your device with the combinatorial

+outputs, use them ! The FIFO will be smaller. If the status signals are

+in the critical pass, use the registered outputs, they have a much smaller

+output delay (actually only Tcq).

+ 

+Parameters

+----------

+The FIFO takes 3 parameters:

+dw	Data bus width

+aw	Address bus width (Determines the FIFO size by evaluating 2^aw)

+n	N is a second status threshold constant for full_n and empty_n

+	If you have no need for the second status threshold, do not

+	connect the outputs and the logic should be removed by your

+	synthesis tool.

+ 

+Synthesis Results

+-----------------

+In a Spartan 2e a 8 bit wide, 8 entries deep FIFO, takes 85 LUTs and runs

+at about 116 MHz (IO insertion disabled). The registered status outputs

+are valid after 2.1NS, the combinatorial once take out to 6.5 NS to be

+available.

+ 

+ 

+Misc

+----

+This design assumes you will do appropriate status checking externally.

+ 

+IMPORTANT ! writing while the FIFO is full or reading while the FIFO is

+empty will place the FIFO in an undefined state.

+ 

+*/

+ 

+ 

+// Selecting Sync. or Async Reset

+// ------------------------------

+// Uncomment one of the two lines below. The first line for

+// synchronous reset, the second for asynchronous reset

+ 

+`define SC_FIFO_ASYNC_RESET				// Uncomment for Syncr. reset

+//`define SC_FIFO_ASYNC_RESET	or negedge rst		// Uncomment for Async. reset

+ 

+ 

+module usb1d_generic_fifo(clk, rst, clr, din, we, dout, re,

+			full, empty, full_r, empty_r,

+			full_n, empty_n, full_n_r, empty_n_r,

+			level);

+ 

+parameter dw=8;

+parameter aw=8;

+parameter n=32;

+parameter max_size = 1<<aw;

+ 

+input			clk, rst, clr;

+input	[dw-1:0]	din;

+input			we;

+output	[dw-1:0]	dout;

+input			re;

+output			full, full_r;

+output			empty, empty_r;

+output			full_n, full_n_r;

+output			empty_n, empty_n_r;

+output	[1:0]		level;

+ 

+////////////////////////////////////////////////////////////////////

+//

+// Local Wires

+//

+ 

+reg	[aw-1:0]	wp;

+wire	[aw-1:0]	wp_pl1;

+wire	[aw-1:0]	wp_pl2;

+reg	[aw-1:0]	rp;

+wire	[aw-1:0]	rp_pl1;

+reg			full_r;

+reg			empty_r;

+reg			gb;

+reg			gb2;

+reg	[aw:0]		cnt;

+wire			full_n, empty_n;

+reg			full_n_r, empty_n_r;

+ 

+////////////////////////////////////////////////////////////////////

+//

+// Memory Block

+//

+ 

+usb1d_generic_dpram  #(aw,dw) u0(

+	.rclk(		clk		),

+	.rrst(		!rst		),

+	.rce(		1'b1		),

+	.oe(		1'b1		),

+	.raddr(		rp		),

+	.dout(		dout		),

+	.wclk(		clk		),

+	.wrst(		!rst		),

+	.wce(		1'b1		),

+	.we(		we		),

+	.waddr(		wp		),

+	.di(		din		)

+	);

+ 

+////////////////////////////////////////////////////////////////////

+//

+// Misc Logic

+//

+ 

+always @(posedge clk `SC_FIFO_ASYNC_RESET)

+	if(!rst)	wp <= #1 {aw{1'b0}};

+	else

+	if(clr)		wp <= #1 {aw{1'b0}};

+	else

+	if(we)		wp <= #1 wp_pl1;

+ 

+assign wp_pl1 = wp + { {aw-1{1'b0}}, 1'b1};

+assign wp_pl2 = wp + { {aw-2{1'b0}}, 2'b10};

+ 

+always @(posedge clk `SC_FIFO_ASYNC_RESET)

+	if(!rst)	rp <= #1 {aw{1'b0}};

+	else

+	if(clr)		rp <= #1 {aw{1'b0}};

+	else

+	if(re)		rp <= #1 rp_pl1;

+ 

+assign rp_pl1 = rp + { {aw-1{1'b0}}, 1'b1};

+ 

+////////////////////////////////////////////////////////////////////

+//

+// Combinatorial Full & Empty Flags

+//

+ 

+assign empty = ((wp == rp) & !gb);

+assign full  = ((wp == rp) &  gb);

+ 

+// Guard Bit ...

+always @(posedge clk `SC_FIFO_ASYNC_RESET)

+	if(!rst)			gb <= #1 1'b0;

+	else

+	if(clr)				gb <= #1 1'b0;

+	else

+	if((wp_pl1 == rp) & we)		gb <= #1 1'b1;

+	else

+	if(re)				gb <= #1 1'b0;

+ 

+////////////////////////////////////////////////////////////////////

+//

+// Registered Full & Empty Flags

+//

+ 

+// Guard Bit ...

+always @(posedge clk `SC_FIFO_ASYNC_RESET)

+	if(!rst)			gb2 <= #1 1'b0;

+	else

+	if(clr)				gb2 <= #1 1'b0;

+	else

+	if((wp_pl2 == rp) & we)		gb2 <= #1 1'b1;

+	else

+	if((wp != rp) & re)		gb2 <= #1 1'b0;

+ 

+always @(posedge clk `SC_FIFO_ASYNC_RESET)

+	if(!rst)				full_r <= #1 1'b0;

+	else

+	if(clr)					full_r <= #1 1'b0;

+	else

+	if(we & ((wp_pl1 == rp) & gb2) & !re)	full_r <= #1 1'b1;

+	else

+	if(re & ((wp_pl1 != rp) | !gb2) & !we)	full_r <= #1 1'b0;

+ 

+always @(posedge clk `SC_FIFO_ASYNC_RESET)

+	if(!rst)				empty_r <= #1 1'b1;

+	else

+	if(clr)					empty_r <= #1 1'b1;

+	else

+	if(we & ((wp != rp_pl1) | gb2) & !re)	empty_r <= #1 1'b0;

+	else

+	if(re & ((wp == rp_pl1) & !gb2) & !we)	empty_r <= #1 1'b1;

+ 

+////////////////////////////////////////////////////////////////////

+//

+// Combinatorial Full_n & Empty_n Flags

+//

+ 

+assign empty_n = cnt < n;

+assign full_n  = !(cnt < (max_size-n+1));

+assign level = {2{cnt[aw]}} | cnt[aw-1:aw-2];

+ 

+// N entries status

+always @(posedge clk `SC_FIFO_ASYNC_RESET)

+	if(!rst)	cnt <= #1 {aw+1{1'b0}};

+	else

+	if(clr)		cnt <= #1 {aw+1{1'b0}};

+	else

+	if( re & !we)	cnt <= #1 cnt + { {aw{1'b1}}, 1'b1};

+	else

+	if(!re &  we)	cnt <= #1 cnt + { {aw{1'b0}}, 1'b1};

+ 

+////////////////////////////////////////////////////////////////////

+//

+// Registered Full_n & Empty_n Flags

+//

+ 

+always @(posedge clk `SC_FIFO_ASYNC_RESET)

+	if(!rst)				empty_n_r <= #1 1'b1;

+	else

+	if(clr)					empty_n_r <= #1 1'b1;

+	else

+	if(we & (cnt >= (n-1) ) & !re)		empty_n_r <= #1 1'b0;

+	else

+	if(re & (cnt <= n ) & !we)		empty_n_r <= #1 1'b1;

+ 

+always @(posedge clk `SC_FIFO_ASYNC_RESET)

+	if(!rst)				full_n_r <= #1 1'b0;

+	else

+	if(clr)					full_n_r <= #1 1'b0;

+	else

+	if(we & (cnt >= (max_size-n) ) & !re)	full_n_r <= #1 1'b1;

+	else

+	if(re & (cnt <= (max_size-n+1)) & !we)	full_n_r <= #1 1'b0;

+ 

+////////////////////////////////////////////////////////////////////

+//

+// Sanity Check

+//

+ 

+// synopsys translate_off

+always @(posedge clk)

+	if(we & full)

+		$display("%m WARNING: Writing while fifo is FULL (%t)",$time);

+ 

+always @(posedge clk)

+	if(re & empty)

+		$display("%m WARNING: Reading while fifo is EMPTY (%t)",$time);

+// synopsys translate_on

+ 

+endmodule

diff --git a/verilog/dv/bfm/usb_device/core/usb1d_idma.v b/verilog/dv/bfm/usb_device/core/usb1d_idma.v
new file mode 100755
index 0000000..2a6207c
--- /dev/null
+++ b/verilog/dv/bfm/usb_device/core/usb1d_idma.v
@@ -0,0 +1,387 @@
+/////////////////////////////////////////////////////////////////////
+////                                                             ////
+////  Internal DMA Engine                                        ////
+////                                                             ////
+////                                                             ////
+////  Author: Rudolf Usselmann                                   ////
+////          rudi@asics.ws                                      ////
+////                                                             ////
+////                                                             ////
+////  Downloaded from: http://www.opencores.org/cores/usb1_funct/////
+////                                                             ////
+/////////////////////////////////////////////////////////////////////
+////                                                             ////
+//// Copyright (C) 2000-2002 Rudolf Usselmann                    ////
+////                         www.asics.ws                        ////
+////                         rudi@asics.ws                       ////
+////                                                             ////
+//// This source file may be used and distributed without        ////
+//// restriction provided that this copyright statement is not   ////
+//// removed from the file and that any derivative work contains ////
+//// the original copyright notice and the associated disclaimer.////
+////                                                             ////
+////     THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY     ////
+//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   ////
+//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   ////
+//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      ////
+//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         ////
+//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    ////
+//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   ////
+//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        ////
+//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  ////
+//// LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  ////
+//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  ////
+//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         ////
+//// POSSIBILITY OF SUCH DAMAGE.                                 ////
+////                                                             ////
+/////////////////////////////////////////////////////////////////////
+
+//  CVS Log
+//
+//  $Id: usb1_idma.v,v 1.2 2002-09-25 06:06:49 rudi Exp $
+//
+//  $Date: 2002-09-25 06:06:49 $
+//  $Revision: 1.2 $
+//  $Author: rudi $
+//  $Locker:  $
+//  $State: Exp $
+//
+// Change History:
+//               $Log: not supported by cvs2svn $
+//               Revision 1.1.1.1  2002/09/19 12:07:38  rudi
+//               Initial Checkin
+//
+//
+//
+//
+//
+//
+
+`include "usb1d_defines.v"
+
+module usb1d_idma(	clk, rst,
+
+		// Packet Disassembler/Assembler interface
+		rx_data_valid,
+		rx_data_done, 
+		send_data,
+		rd_next,
+
+		tx_valid,
+		tx_data_st_i,
+		tx_data_st_o,
+
+		// Protocol Engine
+		tx_dma_en, rx_dma_en, idma_done,
+		ep_sel,
+
+		// Register File Manager Interface
+		size,
+		rx_cnt, rx_done,
+		tx_busy,
+
+		// Block Frames
+		ep_bf_en, ep_bf_size,
+		dropped_frame, misaligned_frame,
+
+		// Memory Arb interface
+		mwe, mre, ep_empty, ep_empty_int, ep_full
+		);
+
+
+// Packet Disassembler/Assembler interface
+input		clk, rst;
+input		rx_data_valid;
+input		rx_data_done;
+output		send_data;
+input		rd_next;
+
+input		tx_valid;
+input	[7:0]	tx_data_st_i;
+output	[7:0]	tx_data_st_o;
+
+// Protocol Engine
+input		tx_dma_en;
+input		rx_dma_en;
+output		idma_done;	// DMA is done
+input	[3:0]	ep_sel;
+
+// Register File Manager Interface
+input	[8:0]	size;		// MAX PL Size in bytes
+output	[7:0]	rx_cnt;
+output		rx_done;
+output		tx_busy;
+
+input		ep_bf_en;
+input	[6:0]	ep_bf_size;
+output		dropped_frame;
+output		misaligned_frame;
+
+// Memory Arb interface
+output		mwe;
+output		mre;
+input		ep_empty;
+output		ep_empty_int;
+input		ep_full;
+
+///////////////////////////////////////////////////////////////////
+//
+// Local Wires and Registers
+//
+
+reg		tx_dma_en_r;
+reg	[8:0]	sizd_c;			// Internal size counter
+wire		adr_incw;
+wire		adr_incb;
+wire		siz_dec;
+wire		mwe;			// Memory Write enable
+wire		mre;			// Memory Read enable
+reg		mwe_r;
+reg		sizd_is_zero;		// Indicates when all bytes have been
+					// transferred
+wire		sizd_is_zero_d;
+reg		idma_done;		// DMA transfer is done
+wire		send_data;		// Enable UTMI Transmitter
+reg		rx_data_done_r;
+reg		rx_data_valid_r;
+wire		ff_re, ff_full, ff_empty;
+reg		ff_we, ff_we1;
+reg		tx_dma_en_r1;
+reg		tx_dma_en_r2;
+reg		tx_dma_en_r3;
+reg		send_data_r;
+wire		ff_clr;
+reg	[7:0]	rx_cnt;
+reg	[7:0]	rx_cnt_r;
+reg		ep_empty_r;
+reg		ep_empty_latched;
+wire		ep_empty_int;
+reg	[6:0]	ec;
+wire		ec_clr;
+reg		dropped_frame;
+reg	[6:0]	rc_cnt;
+wire		rc_clr;
+reg		ep_full_latched;
+wire		ep_full_int;
+reg		misaligned_frame;
+reg		tx_valid_r;
+wire		tx_valid_e;
+
+///////////////////////////////////////////////////////////////////
+//
+// For IN Block Frames transmit frames in [ep_bf_size] byte quantities
+//
+
+`ifdef USB1_BF_ENABLE
+
+always @(posedge clk)
+	if(!rst)		ec <= #1 7'h0;
+	else
+	if(!ep_bf_en | ec_clr)	ec <= #1 7'h0;
+	else
+	if(mre)			ec <= #1 ec + 7'h1;
+
+assign ec_clr = (ec == ep_bf_size) | tx_dma_en; 
+
+always @(posedge clk)
+	if(!rst)	ep_empty_latched <= #1 1'b0;
+	else
+	if(ec_clr)	ep_empty_latched <= #1 ep_empty;
+
+assign ep_empty_int = ep_bf_en ? ep_empty_latched : ep_empty;
+`else
+assign ep_empty_int = ep_empty;
+`endif
+///////////////////////////////////////////////////////////////////
+//
+// For OUT Block Frames always store in [ep_bf_size] byte chunks
+// if fifo can't accept [ep_bf_size] bytes junk the entire [ep_bf_size]
+// byte frame
+//
+
+`ifdef USB1_BF_ENABLE
+always @(posedge clk)
+	if(!rst)		rc_cnt <= #1 7'h0;
+	else
+	if(!ep_bf_en | rc_clr)	rc_cnt <= #1 7'h0;
+	else
+	if(mwe_r)		rc_cnt <= #1 rc_cnt + 7'h1;
+
+assign rc_clr = ((rc_cnt == ep_bf_size) & mwe_r) | rx_dma_en; 
+
+always @(posedge clk)
+	if(!rst)	ep_full_latched <= #1 1'b0;
+	else
+	if(rc_clr)	ep_full_latched <= #1 ep_full;
+
+assign ep_full_int = ep_bf_en ? ep_full_latched : ep_full;
+
+always @(posedge clk)
+	dropped_frame <= #1 rc_clr & ep_full & ep_bf_en;
+
+always @(posedge clk)
+	misaligned_frame <= #1 rx_data_done_r & ep_bf_en & (rc_cnt!=7'd00);
+`else
+assign ep_full_int = ep_full;
+
+always @(posedge clk)
+	dropped_frame <= #1 1'b0;
+
+always @(posedge clk)
+	misaligned_frame <= #1 1'b0;
+
+`endif
+
+// synopsys translate_off
+`ifdef USBF_VERBOSE_DEBUG
+always @(posedge dropped_frame)
+	$display("WARNING: BF: Droped one OUT frame (no space in FIFO) (%t)",$time);
+
+always @(posedge misaligned_frame)
+	$display("WARNING: BF: Received misaligned frame (%t)",$time);
+`endif
+// synopsys translate_on
+
+///////////////////////////////////////////////////////////////////
+//
+// FIFO interface
+//
+
+always @(posedge clk)
+	mwe_r <= #1 rx_data_valid;
+
+assign mwe = mwe_r & !ep_full_int;
+
+///////////////////////////////////////////////////////////////////
+//
+// Misc Logic
+//
+
+always @(posedge clk)
+	rx_data_valid_r <= #1 rx_data_valid;
+
+always @(posedge clk)
+	rx_data_done_r <= #1 rx_data_done;
+
+// Generate one cycle pulses for tx and rx dma enable
+always @(posedge clk)
+	tx_dma_en_r <= #1 tx_dma_en;
+
+always @(posedge clk)
+	tx_dma_en_r1 <= tx_dma_en_r;
+
+always @(posedge clk)
+	tx_dma_en_r2 <= tx_dma_en_r1;
+
+always @(posedge clk)
+	tx_dma_en_r3 <= tx_dma_en_r2;
+
+// DMA Done Indicator
+always @(posedge clk)
+	idma_done <= #1 (rx_data_done_r | sizd_is_zero_d | ep_empty_int);
+
+///////////////////////////////////////////////////////////////////
+//
+// RX Size Counter
+//
+
+always @(posedge clk or negedge rst)
+	if(!rst)			rx_cnt_r <= #1 8'h00;
+	else
+	if(rx_data_done_r)		rx_cnt_r <= #1 8'h00;
+	else
+	if(rx_data_valid)		rx_cnt_r <= #1 rx_cnt_r + 8'h01;
+
+always @(posedge clk or negedge rst)
+	if(!rst)		rx_cnt <= #1 8'h00;
+	else
+	if(rx_data_done_r)	rx_cnt <= #1 rx_cnt_r;
+
+assign rx_done = rx_data_done_r;
+
+///////////////////////////////////////////////////////////////////
+//
+// Transmit Size Counter (counting backward from input size)
+// For MAX packet size
+//
+
+always @(posedge clk or negedge rst)
+	if(!rst)			sizd_c <= #1 9'h1ff;
+	else
+	if(tx_dma_en)			sizd_c <= #1 size;
+	else
+	if(siz_dec)			sizd_c <= #1 sizd_c - 9'h1;
+
+assign siz_dec = (tx_dma_en_r | tx_dma_en_r1 | rd_next) & !sizd_is_zero_d;
+
+assign sizd_is_zero_d = sizd_c == 9'h0;
+
+always @(posedge clk)
+	sizd_is_zero <= #1 sizd_is_zero_d;
+
+///////////////////////////////////////////////////////////////////
+//
+// TX Logic
+//
+
+assign tx_busy = send_data | tx_dma_en_r | tx_dma_en;
+
+always @(posedge clk)
+	tx_valid_r <= #1 tx_valid;
+
+assign tx_valid_e = tx_valid_r & !tx_valid;
+
+// Since we are prefetching two entries in to our fast fifo, we
+// need to know when exactly ep_empty was asserted, as we might
+// only need 1 or 2 bytes. This is for ep_empty_r
+
+always @(posedge clk or negedge rst)
+	if(!rst)				ep_empty_r <= #1 1'b0;
+	else
+	if(!tx_valid)				ep_empty_r <= #1 1'b0;
+	else
+	if(tx_dma_en_r2)			ep_empty_r <= #1 ep_empty_int;
+
+always @(posedge clk or negedge rst)
+	if(!rst)				send_data_r <= #1 1'b0;
+	else
+	if((tx_dma_en_r & !ep_empty_int))		send_data_r <= #1 1'b1;
+	else
+	if(rd_next & (sizd_is_zero_d | (ep_empty_int & !sizd_is_zero_d)) )
+						send_data_r <= #1 1'b0;
+
+assign send_data = (send_data_r & !ep_empty_r & 
+		!(sizd_is_zero & size==9'h01)) | tx_dma_en_r1;
+
+assign mre = (tx_dma_en_r1 | tx_dma_en_r | rd_next) &
+		!sizd_is_zero_d & !ep_empty_int & (send_data | tx_dma_en_r1 | tx_dma_en_r);
+
+always @(posedge clk)
+	ff_we1 <= mre;
+
+always @(posedge clk)
+	ff_we <= ff_we1;
+
+assign ff_re = rd_next;
+
+assign ff_clr = !tx_valid;
+
+///////////////////////////////////////////////////////////////////
+//
+// IDMA fast prefetch fifo
+//
+
+// tx fifo
+usb1d_fifo2 ff(
+	.clk(		clk		),
+	.rst(		rst		),
+	.clr(		ff_clr		),
+	.din(		tx_data_st_i	),
+	.we(		ff_we		),
+	.dout(		tx_data_st_o	),
+	.re(		ff_re		)
+	);
+
+endmodule
+
+
diff --git a/verilog/dv/bfm/usb_device/core/usb1d_pa.v b/verilog/dv/bfm/usb_device/core/usb1d_pa.v
new file mode 100755
index 0000000..7bb70de
--- /dev/null
+++ b/verilog/dv/bfm/usb_device/core/usb1d_pa.v
@@ -0,0 +1,332 @@
+/////////////////////////////////////////////////////////////////////
+////                                                             ////
+////  Packet Assembler                                           ////
+////  Assembles Token and Data USB packets                       ////
+////                                                             ////
+////  Author: Rudolf Usselmann                                   ////
+////          rudi@asics.ws                                      ////
+////                                                             ////
+////                                                             ////
+////  Downloaded from: http://www.opencores.org/cores/usb1_funct/////
+////                                                             ////
+/////////////////////////////////////////////////////////////////////
+////                                                             ////
+//// Copyright (C) 2000-2002 Rudolf Usselmann                    ////
+////                         www.asics.ws                        ////
+////                         rudi@asics.ws                       ////
+////                                                             ////
+//// This source file may be used and distributed without        ////
+//// restriction provided that this copyright statement is not   ////
+//// removed from the file and that any derivative work contains ////
+//// the original copyright notice and the associated disclaimer.////
+////                                                             ////
+////     THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY     ////
+//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   ////
+//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   ////
+//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      ////
+//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         ////
+//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    ////
+//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   ////
+//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        ////
+//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  ////
+//// LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  ////
+//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  ////
+//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         ////
+//// POSSIBILITY OF SUCH DAMAGE.                                 ////
+////                                                             ////
+/////////////////////////////////////////////////////////////////////
+
+//  CVS Log
+//
+//  $Id: usb1_pa.v,v 1.1.1.1 2002-09-19 12:07:13 rudi Exp $
+//
+//  $Date: 2002-09-19 12:07:13 $
+//  $Revision: 1.1.1.1 $
+//  $Author: rudi $
+//  $Locker:  $
+//  $State: Exp $
+//
+// Change History:
+//               $Log: not supported by cvs2svn $
+//
+//
+//
+//
+//
+//
+
+`include "usb1d_defines.v"
+
+module usb1d_pa(	clk, rst,
+
+		// UTMI TX I/F
+		tx_data, tx_valid, tx_valid_last, tx_ready,
+		tx_first,
+
+		// Protocol Engine Interface
+		send_token, token_pid_sel,
+		send_data, data_pid_sel,
+
+		// IDMA Interface
+		tx_data_st, rd_next,
+
+		ep_empty
+		);
+
+input		clk, rst;
+
+// UTMI TX Interface
+output	[7:0]	tx_data;
+output		tx_valid;
+output		tx_valid_last;
+input		tx_ready;
+output		tx_first;
+
+// Protocol Engine Interface
+input		send_token;
+input	[1:0]	token_pid_sel;
+input		send_data;
+input	[1:0]	data_pid_sel;
+
+// IDMA Interface
+input	[7:0]	tx_data_st;
+output		rd_next;
+
+input		ep_empty;
+
+///////////////////////////////////////////////////////////////////
+//
+// Local Wires and Registers
+//
+
+parameter	[3:0]	// synopsys enum state
+		IDLE   = 4'b0001,
+		DATA   = 4'b0010,
+		CRC1   = 4'b0100,
+		CRC2   = 4'b1000;
+
+reg	[3:0]	/* synopsys enum state */ state, next_state;
+// synopsys state_vector state
+
+reg		last;
+reg		rd_next;
+
+reg	[7:0]	token_pid, data_pid;	// PIDs from selectors
+reg	[7:0]	tx_data_d;
+reg	[7:0]	tx_data_data;
+reg		dsel;
+reg		tx_valid_d;
+reg		send_token_r;
+reg	[7:0]	tx_spec_data;
+reg		crc_sel1, crc_sel2;
+reg		tx_first_r;
+reg		send_data_r;
+wire		crc16_clr;
+reg	[15:0]	crc16;
+wire	[15:0]	crc16_next;
+wire	[15:0]	crc16_rev;
+reg		crc16_add;
+reg		send_data_r2;
+reg		tx_valid_r;
+reg		tx_valid_r1;
+
+wire		zero_length;
+
+///////////////////////////////////////////////////////////////////
+//
+// Misc Logic
+//
+reg		zero_length_r;
+assign		zero_length = ep_empty;
+
+always @(posedge clk or negedge rst)
+	if(!rst)	zero_length_r <= #1 1'b0;
+	else
+	if(last)	zero_length_r <= #1 1'b0;
+	else
+	if(crc16_clr)	zero_length_r <= #1 zero_length;
+
+always @(posedge clk)
+	tx_valid_r1 <= #1 tx_valid;
+
+always @(posedge clk)
+	tx_valid_r <= #1 tx_valid_r1;
+
+always @(posedge clk or negedge rst)
+	if(!rst)	send_token_r <= #1 1'b0;
+	else
+	if(send_token)	send_token_r <= #1 1'b1;
+	else
+	if(tx_ready)	send_token_r <= #1 1'b0;
+
+// PID Select
+always @(token_pid_sel)
+	case(token_pid_sel)		// synopsys full_case parallel_case
+	   2'd0: token_pid = {  ~`USBF_T_PID_ACK,   `USBF_T_PID_ACK};
+	   2'd1: token_pid = { ~`USBF_T_PID_NACK,  `USBF_T_PID_NACK};
+	   2'd2: token_pid = {~`USBF_T_PID_STALL, `USBF_T_PID_STALL};
+	   2'd3: token_pid = { ~`USBF_T_PID_NYET,  `USBF_T_PID_NYET};
+	endcase
+
+always @(data_pid_sel)
+	case(data_pid_sel)		// synopsys full_case parallel_case
+	   2'd0: data_pid = { ~`USBF_T_PID_DATA0, `USBF_T_PID_DATA0};
+	   2'd1: data_pid = { ~`USBF_T_PID_DATA1, `USBF_T_PID_DATA1};
+	   2'd2: data_pid = { ~`USBF_T_PID_DATA2, `USBF_T_PID_DATA2};
+	   2'd3: data_pid = { ~`USBF_T_PID_MDATA, `USBF_T_PID_MDATA};
+	endcase
+
+// Data path Muxes
+
+always @(send_token or send_token_r or token_pid or tx_data_data)
+	if(send_token | send_token_r)	tx_data_d = token_pid;
+	else				tx_data_d = tx_data_data;
+
+always @(dsel or tx_data_st or tx_spec_data)
+	if(dsel)	tx_data_data = tx_spec_data;
+	else		tx_data_data = tx_data_st;
+
+always @(crc_sel1 or crc_sel2 or data_pid or crc16_rev)
+	if(!crc_sel1 & !crc_sel2)	tx_spec_data = data_pid;
+	else
+	if(crc_sel1)			tx_spec_data = crc16_rev[15:8];	// CRC 1
+	else				tx_spec_data = crc16_rev[7:0];	// CRC 2
+
+assign tx_data = tx_data_d;
+
+// TX Valid assignment
+assign tx_valid_last = send_token | last;
+assign tx_valid = tx_valid_d;
+
+always @(posedge clk)
+	tx_first_r <= #1 send_token | send_data;
+
+assign tx_first = (send_token | send_data) & ! tx_first_r;
+
+// CRC Logic
+always @(posedge clk)
+	send_data_r <= #1 send_data;
+
+always @(posedge clk)
+	send_data_r2 <= #1 send_data_r;
+
+assign crc16_clr = send_data & !send_data_r;
+
+always @(posedge clk)
+	crc16_add <= #1 !zero_length_r &
+			((send_data_r & !send_data_r2) | (rd_next & !crc_sel1));
+
+always @(posedge clk)
+	if(crc16_clr)		crc16 <= #1 16'hffff;
+	else
+	if(crc16_add)		crc16 <= #1 crc16_next;
+
+usb1d_crc16 u1(
+	.crc_in(	crc16		),
+	.din(	{tx_data_st[0], tx_data_st[1],
+		tx_data_st[2], tx_data_st[3],
+		tx_data_st[4], tx_data_st[5],
+		tx_data_st[6], tx_data_st[7]}	),
+	.crc_out(	crc16_next		) );
+
+assign crc16_rev[15] = ~crc16[8];
+assign crc16_rev[14] = ~crc16[9];
+assign crc16_rev[13] = ~crc16[10];
+assign crc16_rev[12] = ~crc16[11];
+assign crc16_rev[11] = ~crc16[12];
+assign crc16_rev[10] = ~crc16[13];
+assign crc16_rev[9]  = ~crc16[14];
+assign crc16_rev[8]  = ~crc16[15];
+assign crc16_rev[7]  = ~crc16[0];
+assign crc16_rev[6]  = ~crc16[1];
+assign crc16_rev[5]  = ~crc16[2];
+assign crc16_rev[4]  = ~crc16[3];
+assign crc16_rev[3]  = ~crc16[4];
+assign crc16_rev[2]  = ~crc16[5];
+assign crc16_rev[1]  = ~crc16[6];
+assign crc16_rev[0]  = ~crc16[7];
+
+///////////////////////////////////////////////////////////////////
+//
+// Transmit/Encode state machine
+//
+
+always @(posedge clk or negedge rst)
+	if(!rst)	state <= #1 IDLE;
+	else		state <= #1 next_state;
+
+always @(state or send_data or tx_ready or tx_valid_r or zero_length)
+   begin
+	next_state = state;	// Default don't change current state
+	tx_valid_d = 1'b0;
+	dsel = 1'b0;
+	rd_next = 1'b0;
+	last = 1'b0;
+	crc_sel1 = 1'b0;
+	crc_sel2 = 1'b0;
+	case(state)		// synopsys full_case parallel_case
+	   IDLE:
+		   begin
+			if(zero_length & send_data)
+			   begin
+				tx_valid_d = 1'b1;
+				dsel = 1'b1;
+				next_state = CRC1;
+			   end
+			else
+			if(send_data)		// Send DATA packet
+			   begin
+				tx_valid_d = 1'b1;
+				dsel = 1'b1;
+				next_state = DATA;
+			   end
+		   end
+	   DATA:
+		   begin
+			if(tx_ready & tx_valid_r)
+				rd_next = 1'b1;
+
+			tx_valid_d = 1'b1;
+			if(!send_data & tx_ready & tx_valid_r)
+			   begin
+				dsel = 1'b1;
+				crc_sel1 = 1'b1;
+				next_state = CRC1;
+			   end
+		   end
+	   CRC1:
+		   begin
+			dsel = 1'b1;
+			tx_valid_d = 1'b1;
+			if(tx_ready)
+			   begin
+				last = 1'b1;
+				crc_sel2 = 1'b1;
+				next_state = CRC2;
+			   end
+			else
+			   begin
+				tx_valid_d = 1'b1;
+				crc_sel1 = 1'b1;
+			   end
+
+		   end
+	   CRC2:
+		   begin
+			dsel = 1'b1;
+			crc_sel2 = 1'b1;
+			if(tx_ready)
+			   begin
+				next_state = IDLE;
+			   end
+			else
+			   begin
+				last = 1'b1;
+			   end
+
+		   end
+	endcase
+   end
+
+endmodule
+
diff --git a/verilog/dv/bfm/usb_device/core/usb1d_pd.v b/verilog/dv/bfm/usb_device/core/usb1d_pd.v
new file mode 100755
index 0000000..7b2572b
--- /dev/null
+++ b/verilog/dv/bfm/usb_device/core/usb1d_pd.v
@@ -0,0 +1,398 @@
+/////////////////////////////////////////////////////////////////////
+////                                                             ////
+////  Packet Disassembler                                        ////
+////  Disassembles Token and Data USB packets                    ////
+////                                                             ////
+////  Author: Rudolf Usselmann                                   ////
+////          rudi@asics.ws                                      ////
+////                                                             ////
+////                                                             ////
+////  Downloaded from: http://www.opencores.org/cores/usb1_funct/////
+////                                                             ////
+/////////////////////////////////////////////////////////////////////
+////                                                             ////
+//// Copyright (C) 2000-2002 Rudolf Usselmann                    ////
+////                         www.asics.ws                        ////
+////                         rudi@asics.ws                       ////
+////                                                             ////
+//// This source file may be used and distributed without        ////
+//// restriction provided that this copyright statement is not   ////
+//// removed from the file and that any derivative work contains ////
+//// the original copyright notice and the associated disclaimer.////
+////                                                             ////
+////     THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY     ////
+//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   ////
+//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   ////
+//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      ////
+//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         ////
+//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    ////
+//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   ////
+//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        ////
+//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  ////
+//// LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  ////
+//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  ////
+//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         ////
+//// POSSIBILITY OF SUCH DAMAGE.                                 ////
+////                                                             ////
+/////////////////////////////////////////////////////////////////////
+
+//  CVS Log
+//
+//  $Id: usb1_pd.v,v 1.2 2002-09-25 06:06:49 rudi Exp $
+//
+//  $Date: 2002-09-25 06:06:49 $
+//  $Revision: 1.2 $
+//  $Author: rudi $
+//  $Locker:  $
+//  $State: Exp $
+//
+// Change History:
+//               $Log: not supported by cvs2svn $
+//               Revision 1.1.1.1  2002/09/19 12:07:17  rudi
+//               Initial Checkin
+//
+//
+//
+//
+//
+//
+//
+//
+
+`include "usb1d_defines.v"
+
+module usb1d_pd(	clk, rst,
+
+		// UTMI RX I/F
+		rx_data, rx_valid, rx_active, rx_err,
+
+		// PID Information
+		pid_OUT, pid_IN, pid_SOF, pid_SETUP,
+		pid_DATA0, pid_DATA1, pid_DATA2, pid_MDATA,
+		pid_ACK, pid_NACK, pid_STALL, pid_NYET,
+		pid_PRE, pid_ERR, pid_SPLIT, pid_PING,
+		pid_cks_err,
+
+		// Token Information
+		token_fadr, token_endp, token_valid, crc5_err,
+		frame_no,
+
+		// Receive Data Output
+		rx_data_st, rx_data_valid, rx_data_done, crc16_err,
+
+		// Misc.
+		seq_err, rx_busy
+		);
+
+input		clk, rst;
+
+		//UTMI RX Interface
+input	[7:0]	rx_data;
+input		rx_valid, rx_active, rx_err;
+
+		// Decoded PIDs (used when token_valid is asserted)
+output		pid_OUT, pid_IN, pid_SOF, pid_SETUP;
+output		pid_DATA0, pid_DATA1, pid_DATA2, pid_MDATA;
+output		pid_ACK, pid_NACK, pid_STALL, pid_NYET;
+output		pid_PRE, pid_ERR, pid_SPLIT, pid_PING;
+output		pid_cks_err;		// Indicates a PID checksum error
+
+
+output	[6:0]	token_fadr;		// Function address from token
+output	[3:0]	token_endp;		// Endpoint number from token
+output		token_valid;		// Token is valid
+output		crc5_err;		// Token crc5 error
+output	[10:0]	frame_no;		// Frame number for SOF tokens
+
+output	[7:0]	rx_data_st;		// Data to memory store unit
+output		rx_data_valid;		// Data on rx_data_st is valid
+output		rx_data_done;		// Indicates end of a transfer
+output		crc16_err;		// Data packet CRC 16 error
+
+output		seq_err;		// State Machine Sequence Error
+output		rx_busy;		// Receivig Data Packet
+
+///////////////////////////////////////////////////////////////////
+//
+// Local Wires and Registers
+//
+
+parameter	[3:0]	// synopsys enum state
+		IDLE   = 4'b0001,
+		ACTIVE = 4'b0010,
+		TOKEN  = 4'b0100,
+		DATA   = 4'b1000;
+
+reg	[3:0]	/* synopsys enum state */ state, next_state;
+// synopsys state_vector state
+
+reg	[7:0]	pid;			// Packet PDI
+reg		pid_le_sm;		// PID Load enable from State Machine
+wire		pid_ld_en;		// Enable loading of PID (all conditions)
+wire		pid_cks_err;		// Indicates a pid checksum err
+
+		// Decoded PID values
+wire		pid_OUT, pid_IN, pid_SOF, pid_SETUP;
+wire		pid_DATA0, pid_DATA1, pid_DATA2, pid_MDATA;
+wire		pid_ACK, pid_NACK, pid_STALL, pid_NYET;
+wire		pid_PRE, pid_ERR, pid_SPLIT, pid_PING, pid_RES;
+wire		pid_TOKEN;		// All TOKEN packet that we recognize
+wire		pid_DATA;		// All DATA packets that we recognize
+
+reg	[7:0]	token0, token1;		// Token Registers
+reg		token_le_1, token_le_2;	// Latch enables for token storage registers
+wire	[4:0]	token_crc5;
+
+reg	[7:0]	d0, d1, d2;		// Data path delay line (used to filter out crcs)
+reg		data_valid_d;		// Data Valid output from State Machine
+reg		data_done;		// Data cycle complete output from State Machine
+reg		data_valid0; 		// Data valid delay line
+reg		rxv1;
+reg		rxv2;
+
+reg		seq_err;		// State machine sequence error
+
+reg		pid_ack;
+
+reg		token_valid_r1;
+reg		token_valid_str1, token_valid_str2;
+
+reg		rx_active_r;
+
+wire	[4:0]	crc5_out;
+wire	[4:0]	crc5_out2;
+wire		crc16_clr;
+reg	[15:0]	crc16_sum;
+wire	[15:0]	crc16_out;
+
+///////////////////////////////////////////////////////////////////
+//
+// Misc Logic
+//
+
+reg	rx_busy, rx_busy_d;
+
+always @(posedge clk or negedge rst)
+	if(!rst)			rx_busy_d <= #1 1'b0;
+	else
+	if(rx_valid & (state == DATA))	rx_busy_d <= #1 1'b1;
+	else
+	if(state != DATA)		rx_busy_d <= #1 1'b0;
+
+always @(posedge clk)
+	rx_busy <= #1 rx_busy_d;
+
+// PID Decoding Logic
+assign pid_ld_en = pid_le_sm & rx_active & rx_valid;
+
+always @(posedge clk or negedge rst)
+	if(!rst)		pid <= #1 8'hf0;
+	else
+	if(pid_ld_en)		pid <= #1 rx_data;
+
+assign	pid_cks_err = (pid[3:0] != ~pid[7:4]);
+
+assign	pid_OUT   = pid[3:0] == `USBF_T_PID_OUT;
+assign	pid_IN    = pid[3:0] == `USBF_T_PID_IN;
+assign	pid_SOF   = pid[3:0] == `USBF_T_PID_SOF;
+assign	pid_SETUP = pid[3:0] == `USBF_T_PID_SETUP;
+assign	pid_DATA0 = pid[3:0] == `USBF_T_PID_DATA0;
+assign	pid_DATA1 = pid[3:0] == `USBF_T_PID_DATA1;
+assign	pid_DATA2 = pid[3:0] == `USBF_T_PID_DATA2;
+assign	pid_MDATA = pid[3:0] == `USBF_T_PID_MDATA;
+assign	pid_ACK   = pid[3:0] == `USBF_T_PID_ACK;
+assign	pid_NACK  = pid[3:0] == `USBF_T_PID_NACK;
+assign	pid_STALL = pid[3:0] == `USBF_T_PID_STALL;
+assign	pid_NYET  = pid[3:0] == `USBF_T_PID_NYET;
+assign	pid_PRE   = pid[3:0] == `USBF_T_PID_PRE;
+assign	pid_ERR   = pid[3:0] == `USBF_T_PID_ERR;
+assign	pid_SPLIT = pid[3:0] == `USBF_T_PID_SPLIT;
+assign	pid_PING  = pid[3:0] == `USBF_T_PID_PING;
+assign	pid_RES   = pid[3:0] == `USBF_T_PID_RES;
+
+assign	pid_TOKEN = pid_OUT | pid_IN | pid_SOF | pid_SETUP | pid_PING;
+assign	pid_DATA = pid_DATA0 | pid_DATA1 | pid_DATA2 | pid_MDATA;
+
+// Token Decoding LOGIC
+always @(posedge clk)
+	if(token_le_1)	token0 <= #1 rx_data;
+
+always @(posedge clk)
+	if(token_le_2)	token1 <= #1 rx_data;
+
+always @(posedge clk)
+	token_valid_r1 <= #1 token_le_2;
+
+always @(posedge clk)
+	token_valid_str1 <= #1 token_valid_r1 | pid_ack;
+
+always @(posedge clk)
+	token_valid_str2 <= #1 token_valid_str1;
+
+assign token_valid = token_valid_str1;
+
+// CRC 5 should perform the check in one cycle (flow through logic)
+// 11 bits and crc5 input, 1 bit output
+assign crc5_err = token_valid & (crc5_out2 != token_crc5);
+
+usb1d_crc5 u0(
+	.crc_in(	5'h1f			),
+	.din(	{	token_fadr[0],
+			token_fadr[1],
+			token_fadr[2],
+			token_fadr[3],
+			token_fadr[4],
+			token_fadr[5],
+			token_fadr[6],
+			token_endp[0],
+			token_endp[1],
+			token_endp[2],
+			token_endp[3]   }	),
+	.crc_out(	crc5_out		) );
+
+// Invert and reverse result bits
+assign	crc5_out2 = ~{crc5_out[0], crc5_out[1], crc5_out[2], crc5_out[3],
+			crc5_out[4]};
+
+assign frame_no = { token1[2:0], token0};
+assign token_fadr = token0[6:0];
+assign token_endp = {token1[2:0], token0[7]};
+assign token_crc5 = token1[7:3];
+
+// Data receiving logic
+// build a delay line and stop when we are about to get crc
+always @(posedge clk or negedge rst)
+	if(!rst)		rxv1 <= #1 1'b0;
+	else
+	if(data_valid_d)	rxv1 <= #1 1'b1;
+	else
+	if(data_done)		rxv1 <= #1 1'b0;
+
+always @(posedge clk or negedge rst)
+	if(!rst)		rxv2 <= #1 1'b0;
+	else
+	if(rxv1 & data_valid_d)	rxv2 <= #1 1'b1;
+	else
+	if(data_done)		rxv2 <= #1 1'b0;
+
+always @(posedge clk)
+	data_valid0 <= #1 rxv2 & data_valid_d;
+
+always @(posedge clk)
+   begin
+	if(data_valid_d)	d0 <= #1 rx_data;
+	if(data_valid_d)	d1 <= #1 d0;
+	if(data_valid_d)	d2 <= #1 d1;
+   end
+
+assign rx_data_st = d2;
+assign rx_data_valid = data_valid0;
+assign rx_data_done = data_done;
+
+// crc16 accumulates rx_data as long as data_valid_d is asserted.
+// when data_done is asserted, crc16 reports status, and resets itself
+// next cycle.
+always @(posedge clk)
+	rx_active_r <= #1 rx_active;
+
+assign crc16_clr = rx_active & !rx_active_r;
+
+always @(posedge clk)
+	if(crc16_clr)		crc16_sum <= #1 16'hffff;
+	else
+	if(data_valid_d)	crc16_sum <= #1 crc16_out;
+
+usb1d_crc16 u1(
+	.crc_in(	crc16_sum		),
+	.din(	{rx_data[0], rx_data[1], rx_data[2], rx_data[3],
+		rx_data[4], rx_data[5], rx_data[6], rx_data[7]}	),
+	.crc_out(	crc16_out		) );
+
+// Verify against polynomial 
+assign crc16_err = data_done & (crc16_sum != 16'h800d);
+
+///////////////////////////////////////////////////////////////////
+//
+// Receive/Decode State machine
+//
+
+always @(posedge clk or negedge rst)
+	if(!rst)	state <= #1 IDLE;
+	else		state <= #1 next_state;
+
+always @(state or rx_valid or rx_active or rx_err or pid_ACK or pid_TOKEN
+	or pid_DATA)
+   begin
+	next_state = state;	// Default don't change current state
+	pid_le_sm = 1'b0;
+	token_le_1 = 1'b0;
+	token_le_2 = 1'b0;
+	data_valid_d = 1'b0;
+	data_done = 1'b0;
+	seq_err = 1'b0;
+	pid_ack = 1'b0;
+	case(state)		// synopsys full_case parallel_case
+	   IDLE:
+		   begin
+			pid_le_sm = 1'b1;
+			if(rx_valid & rx_active)	next_state = ACTIVE;
+		   end
+	   ACTIVE:
+		   begin
+			// Received a ACK from Host
+			if(pid_ACK & !rx_err)
+			   begin
+				pid_ack = 1'b1;
+				if(!rx_active)	next_state = IDLE;
+			   end
+			else
+			// Receiving a TOKEN
+			if(pid_TOKEN & rx_valid & rx_active & !rx_err)
+			   begin
+				token_le_1 = 1'b1;
+				next_state = TOKEN;
+			   end
+			else
+			// Receiving DATA
+			if(pid_DATA & rx_valid & rx_active & !rx_err)
+			   begin
+				data_valid_d = 1'b1;
+				next_state = DATA;
+			   end
+			else
+			if(	!rx_active | rx_err |
+				(rx_valid & !(pid_TOKEN | pid_DATA)) )	// ERROR
+			   begin
+				seq_err = !rx_err;
+				if(!rx_active)	next_state = IDLE;
+			   end
+		   end
+	   TOKEN:
+		   begin
+			if(rx_valid & rx_active & !rx_err)
+			   begin
+				token_le_2 = 1'b1;
+				next_state = IDLE;
+			   end
+			else
+			if(!rx_active | rx_err)	// ERROR
+			   begin
+				seq_err = !rx_err;
+				if(!rx_active)	next_state = IDLE;
+			   end
+		   end
+	   DATA:
+		   begin
+			if(rx_valid & rx_active & !rx_err)	data_valid_d = 1'b1;
+			if(!rx_active | rx_err)
+			   begin
+				data_done = 1'b1;
+				if(!rx_active)	next_state = IDLE;
+			   end
+		   end
+		
+	endcase
+   end
+
+endmodule
+
diff --git a/verilog/dv/bfm/usb_device/core/usb1d_pe.v b/verilog/dv/bfm/usb_device/core/usb1d_pe.v
new file mode 100755
index 0000000..c0daaff
--- /dev/null
+++ b/verilog/dv/bfm/usb_device/core/usb1d_pe.v
@@ -0,0 +1,836 @@
+/////////////////////////////////////////////////////////////////////
+////                                                             ////
+////  Protocol Engine                                            ////
+////  Performs automatic protocol functions                      ////
+////                                                             ////
+////  Author: Rudolf Usselmann                                   ////
+////          rudi@asics.ws                                      ////
+////                                                             ////
+////                                                             ////
+////  Downloaded from: http://www.opencores.org/cores/usb1_funct/////
+////                                                             ////
+/////////////////////////////////////////////////////////////////////
+////                                                             ////
+//// Copyright (C) 2000-2002 Rudolf Usselmann                    ////
+////                         www.asics.ws                        ////
+////                         rudi@asics.ws                       ////
+////                                                             ////
+//// This source file may be used and distributed without        ////
+//// restriction provided that this copyright statement is not   ////
+//// removed from the file and that any derivative work contains ////
+//// the original copyright notice and the associated disclaimer.////
+////                                                             ////
+////     THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY     ////
+//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   ////
+//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   ////
+//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      ////
+//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         ////
+//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    ////
+//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   ////
+//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        ////
+//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  ////
+//// LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  ////
+//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  ////
+//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         ////
+//// POSSIBILITY OF SUCH DAMAGE.                                 ////
+////                                                             ////
+/////////////////////////////////////////////////////////////////////
+
+//  CVS Log
+//
+//  $Id: usb1_pe.v,v 1.1.1.1 2002-09-19 12:07:24 rudi Exp $
+//
+//  $Date: 2002-09-19 12:07:24 $
+//  $Revision: 1.1.1.1 $
+//  $Author: rudi $
+//  $Locker:  $
+//  $State: Exp $
+//
+// Change History:
+//               $Log: not supported by cvs2svn $
+//
+//
+//
+//
+//
+//
+
+`include "usb1d_defines.v"
+
+module usb1d_pe(	clk, rst,
+
+		// UTMI Interfaces
+		tx_valid, rx_active,
+
+		// PID Information
+		pid_OUT, pid_IN, pid_SOF, pid_SETUP,
+		pid_DATA0, pid_DATA1, pid_DATA2, pid_MDATA,
+		pid_ACK, pid_PING,
+
+		// Token Information
+		token_valid, 
+
+		// Receive Data Output
+		rx_data_done, crc16_err,
+
+		// Packet Assembler Interface
+		send_token, token_pid_sel,
+		data_pid_sel,
+
+		// IDMA Interface
+		rx_dma_en, tx_dma_en,
+		abort,
+		idma_done,
+
+		// Register File Interface
+
+		fsel,
+		ep_sel, match, nse_err,
+		ep_full, ep_empty,
+
+		int_upid_set, int_crc16_set, int_to_set, int_seqerr_set,
+		csr,
+		send_stall
+
+		);
+
+input		clk, rst;
+input		tx_valid, rx_active;
+
+// Packet Disassembler Interface
+		// Decoded PIDs (used when token_valid is asserted)
+input		pid_OUT, pid_IN, pid_SOF, pid_SETUP;
+input		pid_DATA0, pid_DATA1, pid_DATA2, pid_MDATA;
+input		pid_ACK, pid_PING;
+
+input		token_valid;		// Token is valid
+
+input		rx_data_done;		// Indicates end of a transfer
+input		crc16_err;		// Data packet CRC 16 error
+
+// Packet Assembler Interface
+output		send_token;
+output	[1:0]	token_pid_sel;
+output	[1:0]	data_pid_sel;
+
+// IDMA Interface
+output		rx_dma_en;	// Allows the data to be stored
+output		tx_dma_en;	// Allows for data to be retrieved
+output		abort;		// Abort Transfer (time_out, crc_err or rx_error)
+input		idma_done;	// DMA is done indicator
+
+input		ep_full;	// Indicates the endpoints fifo is full
+input		ep_empty;	// Indicates the endpoints fifo is empty
+
+// Register File interface
+input		fsel;		// This function is selected
+input	[3:0]	ep_sel;		// Endpoint Number Input
+input		match;		// Endpoint Matched
+output		nse_err;	// no such endpoint error
+
+output		int_upid_set;	// Set unsupported PID interrupt
+output		int_crc16_set;	// Set CRC16 error interrupt
+output		int_to_set;	// Set time out interrupt
+output		int_seqerr_set;	// Set PID sequence error interrupt
+
+input	[13:0]	csr;		// Internal CSR Output
+
+input		send_stall;	// Force sending a STALL during setup
+
+
+///////////////////////////////////////////////////////////////////
+//
+// Local Wires and Registers
+//
+
+// tx token decoding
+parameter	ACK   = 0,
+		NACK  = 1,
+		STALL = 2,
+		NYET  = 3;
+
+// State decoding
+parameter	[9:0]	// synopsys enum state
+		IDLE	= 10'b000000_0001,
+		TOKEN	= 10'b000000_0010,
+		IN	= 10'b000000_0100,
+		IN2	= 10'b000000_1000,
+		OUT	= 10'b000001_0000,
+		OUT2A	= 10'b000010_0000,
+		OUT2B	= 10'b000100_0000,
+		UPDATEW	= 10'b001000_0000,
+		UPDATE	= 10'b010000_0000,
+		UPDATE2	= 10'b100000_0000;
+
+reg	[1:0]	token_pid_sel;
+reg	[1:0]	token_pid_sel_d;
+reg		send_token;
+reg		send_token_d;
+reg		rx_dma_en, tx_dma_en;
+reg		int_seqerr_set_d;
+reg		int_seqerr_set;
+reg		int_upid_set;
+
+reg		match_r;
+
+// Endpoint Decoding
+wire		IN_ep, OUT_ep, CTRL_ep;		// Endpoint Types
+wire		txfr_iso, txfr_bulk, txfr_int;	// Transfer Types
+
+reg	[1:0]	uc_dpd;
+
+// Buffer checks
+reg	[9:0]	/* synopsys enum state */ state, next_state;
+// synopsys state_vector state
+
+// PID next and current decoders
+reg	[1:0]	next_dpid;
+reg	[1:0]	this_dpid;
+reg		pid_seq_err;
+wire	[1:0]	tr_fr_d;
+
+wire	[13:0]	size_next;
+wire		buf_smaller;
+
+// After sending Data in response to an IN token from host, the
+// host must reply with an ack. The host has XXXnS to reply.
+// "rx_ack_to" indicates when this time has expired.
+// rx_ack_to_clr, clears the timer
+reg		rx_ack_to_clr;
+reg		rx_ack_to_clr_d;
+reg		rx_ack_to;
+reg	[7:0]	rx_ack_to_cnt;
+
+// After sending a OUT token the host must send a data packet.
+// The host has XX nS to send the packet. "tx_data_to" indicates
+// when this time has expired.
+// tx_data_to_clr, clears the timer
+wire		tx_data_to_clr;
+reg		tx_data_to;
+reg	[7:0]	tx_data_to_cnt;
+
+wire	[7:0]	rx_ack_to_val, tx_data_to_val;
+
+
+wire	[1:0]	next_bsel;
+reg		uc_stat_set_d;
+reg		uc_dpd_set;
+
+reg		in_token;
+reg		out_token;
+reg		setup_token;
+
+wire		in_op, out_op;	// Indicate a IN or OUT operation
+
+reg	[1:0]	allow_pid;
+
+reg		nse_err;
+reg		abort;
+
+wire	[1:0]	ep_type, txfr_type;
+
+///////////////////////////////////////////////////////////////////
+//
+// Misc Logic
+//
+
+// Endpoint/CSR Decoding
+assign IN_ep   = csr[9];
+assign OUT_ep  = csr[10];
+assign CTRL_ep = csr[11];
+
+assign txfr_iso  = csr[12];
+assign txfr_bulk = csr[13];
+assign txfr_int = !csr[12] & !csr[13];
+
+assign ep_type = csr[10:9];
+assign txfr_type = csr[13:12];
+
+always @(posedge clk)
+	match_r <= #1 match  & fsel;
+
+// No Such Endpoint Indicator
+always @(posedge clk)
+	nse_err <= #1 token_valid & (pid_OUT | pid_IN | pid_SETUP) & !match;
+
+always @(posedge clk)
+	send_token <= #1 send_token_d;
+
+always @(posedge clk)
+	token_pid_sel <= #1 token_pid_sel_d;
+
+///////////////////////////////////////////////////////////////////
+//
+// Data Pid Storage
+//
+
+reg	[1:0]	ep0_dpid, ep1_dpid, ep2_dpid, ep3_dpid;
+reg	[1:0]	ep4_dpid, ep5_dpid, ep6_dpid, ep7_dpid;
+
+always @(posedge clk or negedge rst)
+	if(!rst)				ep0_dpid <= 2'b00;
+	else
+	if(uc_dpd_set & (ep_sel == 4'h0))	ep0_dpid <= next_dpid;
+
+always @(posedge clk or negedge rst)
+	if(!rst)				ep1_dpid <= 2'b00;
+	else
+	if(uc_dpd_set & (ep_sel == 4'h1))	ep1_dpid <= next_dpid;
+
+always @(posedge clk or negedge rst)
+	if(!rst)				ep2_dpid <= 2'b00;
+	else
+	if(uc_dpd_set & (ep_sel == 4'h2))	ep2_dpid <= next_dpid;
+
+always @(posedge clk or negedge rst)
+	if(!rst)				ep3_dpid <= 2'b00;
+	else
+	if(uc_dpd_set & (ep_sel == 4'h3))	ep3_dpid <= next_dpid;
+
+always @(posedge clk or negedge rst)
+	if(!rst)				ep4_dpid <= 2'b00;
+	else
+	if(uc_dpd_set & (ep_sel == 4'h4))	ep4_dpid <= next_dpid;
+
+always @(posedge clk or negedge rst)
+	if(!rst)				ep5_dpid <= 2'b00;
+	else
+	if(uc_dpd_set & (ep_sel == 4'h5))	ep5_dpid <= next_dpid;
+
+always @(posedge clk or negedge rst)
+	if(!rst)				ep6_dpid <= 2'b00;
+	else
+	if(uc_dpd_set & (ep_sel == 4'h6))	ep6_dpid <= next_dpid;
+
+always @(posedge clk or negedge rst)
+	if(!rst)				ep7_dpid <= 2'b00;
+	else
+	if(uc_dpd_set & (ep_sel == 4'h7))	ep7_dpid <= next_dpid;
+
+always @(posedge clk)
+	case(ep_sel)
+	   4'h0: uc_dpd <= ep0_dpid;
+	   4'h1: uc_dpd <= ep1_dpid;
+	   4'h2: uc_dpd <= ep2_dpid;
+	   4'h3: uc_dpd <= ep3_dpid;
+	   4'h4: uc_dpd <= ep4_dpid;
+	   4'h5: uc_dpd <= ep5_dpid;
+	   4'h6: uc_dpd <= ep6_dpid;
+	   4'h7: uc_dpd <= ep7_dpid;
+	endcase
+
+///////////////////////////////////////////////////////////////////
+//
+// Data Pid Sequencer
+//
+
+assign tr_fr_d = 2'h0;
+
+always @(posedge clk)	// tr/mf:ep/type:tr/type:last dpd
+	casex({tr_fr_d,ep_type,txfr_type,uc_dpd})	// synopsys full_case parallel_case
+	   8'b0?_01_01_??: next_dpid <= #1 2'b00;	// ISO txfr. IN, 1 tr/mf
+
+	   8'b10_01_01_?0: next_dpid <= #1 2'b01;	// ISO txfr. IN, 2 tr/mf
+	   8'b10_01_01_?1: next_dpid <= #1 2'b00;	// ISO txfr. IN, 2 tr/mf
+
+	   8'b11_01_01_00: next_dpid <= #1 2'b01;	// ISO txfr. IN, 3 tr/mf
+	   8'b11_01_01_01: next_dpid <= #1 2'b10;	// ISO txfr. IN, 3 tr/mf
+	   8'b11_01_01_10: next_dpid <= #1 2'b00;	// ISO txfr. IN, 3 tr/mf
+
+	   8'b0?_10_01_??: next_dpid <= #1 2'b00;	// ISO txfr. OUT, 1 tr/mf
+
+	   8'b10_10_01_??: 				// ISO txfr. OUT, 2 tr/mf
+			   begin	// Resynchronize in case of PID error
+				case({pid_MDATA, pid_DATA1})	// synopsys full_case parallel_case
+				  2'b10: next_dpid <= #1 2'b01;
+				  2'b01: next_dpid <= #1 2'b00;
+				endcase
+			   end
+
+	   8'b11_10_01_00: 				// ISO txfr. OUT, 3 tr/mf
+			   begin	// Resynchronize in case of PID error
+				case({pid_MDATA, pid_DATA2})	// synopsys full_case parallel_case
+				  2'b10: next_dpid <= #1 2'b01;
+				  2'b01: next_dpid <= #1 2'b00;
+				endcase
+			   end
+	   8'b11_10_01_01: 				// ISO txfr. OUT, 3 tr/mf
+			   begin	// Resynchronize in case of PID error
+				case({pid_MDATA, pid_DATA2})	// synopsys full_case parallel_case
+				  2'b10: next_dpid <= #1 2'b10;
+				  2'b01: next_dpid <= #1 2'b00;
+				endcase
+			   end
+	   8'b11_10_01_10: 				// ISO txfr. OUT, 3 tr/mf
+			   begin	// Resynchronize in case of PID error
+				case({pid_MDATA, pid_DATA2})	// synopsys full_case parallel_case
+				  2'b10: next_dpid <= #1 2'b01;
+				  2'b01: next_dpid <= #1 2'b00;
+				endcase
+			   end
+
+	   8'b??_01_00_?0,				// IN/OUT endpoint only
+	   8'b??_10_00_?0: next_dpid <= #1 2'b01;	// INT transfers
+
+	   8'b??_01_00_?1,				// IN/OUT endpoint only
+	   8'b??_10_00_?1: next_dpid <= #1 2'b00;	// INT transfers
+
+	   8'b??_01_10_?0,				// IN/OUT endpoint only
+	   8'b??_10_10_?0: next_dpid <= #1 2'b01;	// BULK transfers
+
+	   8'b??_01_10_?1,				// IN/OUT endpoint only
+	   8'b??_10_10_?1: next_dpid <= #1 2'b00;	// BULK transfers
+
+	   8'b??_00_??_??:				// CTRL Endpoint
+		casex({setup_token, in_op, out_op, uc_dpd})	// synopsys full_case parallel_case
+		   5'b1_??_??: next_dpid <= #1 2'b11;	// SETUP operation
+		   5'b0_10_0?: next_dpid <= #1 2'b11;	// IN operation
+		   5'b0_10_1?: next_dpid <= #1 2'b01;	// IN operation
+		   5'b0_01_?0: next_dpid <= #1 2'b11;	// OUT operation
+		   5'b0_01_?1: next_dpid <= #1 2'b10;	// OUT operation
+		endcase
+
+	endcase
+
+// Current PID decoder
+
+// Allow any PID for ISO. transfers when mode full speed or tr_fr is zero
+always @(pid_DATA0 or pid_DATA1 or pid_DATA2 or pid_MDATA)
+	case({pid_DATA0, pid_DATA1, pid_DATA2, pid_MDATA} ) // synopsys full_case parallel_case
+	   4'b1000: allow_pid = 2'b00;
+	   4'b0100: allow_pid = 2'b01;
+	   4'b0010: allow_pid = 2'b10;
+	   4'b0001: allow_pid = 2'b11;
+	endcase
+
+always @(posedge clk)	// tf/mf:ep/type:tr/type:last dpd
+	casex({tr_fr_d,ep_type,txfr_type,uc_dpd})	// synopsys full_case parallel_case
+	   8'b0?_01_01_??: this_dpid <= #1 2'b00;	// ISO txfr. IN, 1 tr/mf
+
+	   8'b10_01_01_?0: this_dpid <= #1 2'b01;	// ISO txfr. IN, 2 tr/mf
+	   8'b10_01_01_?1: this_dpid <= #1 2'b00;	// ISO txfr. IN, 2 tr/mf
+
+	   8'b11_01_01_00: this_dpid <= #1 2'b10;	// ISO txfr. IN, 3 tr/mf
+	   8'b11_01_01_01: this_dpid <= #1 2'b01;	// ISO txfr. IN, 3 tr/mf
+	   8'b11_01_01_10: this_dpid <= #1 2'b00;	// ISO txfr. IN, 3 tr/mf
+
+	   8'b00_10_01_??: this_dpid <= #1 allow_pid;	// ISO txfr. OUT, 0 tr/mf
+	   8'b01_10_01_??: this_dpid <= #1 2'b00;	// ISO txfr. OUT, 1 tr/mf
+
+	   8'b10_10_01_?0: this_dpid <= #1 2'b11;	// ISO txfr. OUT, 2 tr/mf
+	   8'b10_10_01_?1: this_dpid <= #1 2'b01;	// ISO txfr. OUT, 2 tr/mf
+
+	   8'b11_10_01_00: this_dpid <= #1 2'b11;	// ISO txfr. OUT, 3 tr/mf
+	   8'b11_10_01_01: this_dpid <= #1 2'b11;	// ISO txfr. OUT, 3 tr/mf
+	   8'b11_10_01_10: this_dpid <= #1 2'b10;	// ISO txfr. OUT, 3 tr/mf
+
+	   8'b??_01_00_?0,				// IN/OUT endpoint only
+	   8'b??_10_00_?0: this_dpid <= #1 2'b00;	// INT transfers
+	   8'b??_01_00_?1,				// IN/OUT endpoint only
+	   8'b??_10_00_?1: this_dpid <= #1 2'b01;	// INT transfers
+
+	   8'b??_01_10_?0,				// IN/OUT endpoint only
+	   8'b??_10_10_?0: this_dpid <= #1 2'b00;	// BULK transfers
+	   8'b??_01_10_?1,				// IN/OUT endpoint only
+	   8'b??_10_10_?1: this_dpid <= #1 2'b01;	// BULK transfers
+
+	   8'b??_00_??_??:				// CTRL Endpoint
+		casex({setup_token,in_op, out_op, uc_dpd})	// synopsys full_case parallel_case
+		   5'b1_??_??: this_dpid <= #1 2'b00;	// SETUP operation
+		   5'b0_10_0?: this_dpid <= #1 2'b00;	// IN operation
+		   5'b0_10_1?: this_dpid <= #1 2'b01;	// IN operation
+		   5'b0_01_?0: this_dpid <= #1 2'b00;	// OUT operation
+		   5'b0_01_?1: this_dpid <= #1 2'b01;	// OUT operation
+		endcase
+	endcase
+
+// Assign PID for outgoing packets
+assign data_pid_sel = this_dpid;
+
+// Verify PID for incoming data packets
+always @(posedge clk)
+	pid_seq_err <= #1 !(	(this_dpid==2'b00 & pid_DATA0) |
+				(this_dpid==2'b01 & pid_DATA1) |
+				(this_dpid==2'b10 & pid_DATA2) |
+				(this_dpid==2'b11 & pid_MDATA)	);
+
+///////////////////////////////////////////////////////////////////
+//
+// IDMA Setup & src/dst buffer select
+//
+
+// For Control endpoints things are different:
+// buffer0 is used for OUT (incoming) data packets
+// buffer1 is used for IN (outgoing) data packets
+
+// Keep track of last token for control endpoints
+always @(posedge clk or negedge rst)
+	if(!rst)		in_token <= #1 1'b0;
+	else
+	if(pid_IN)		in_token <= #1 1'b1;
+	else
+	if(pid_OUT | pid_SETUP)	in_token <= #1 1'b0;
+
+always @(posedge clk or negedge rst)
+	if(!rst)		out_token <= #1 1'b0;
+	else
+	if(pid_OUT | pid_SETUP)	out_token <= #1 1'b1;
+	else
+	if(pid_IN)		out_token <= #1 1'b0;
+
+always @(posedge clk or negedge rst)
+	if(!rst)		setup_token <= #1 1'b0;
+	else
+	if(pid_SETUP)		setup_token <= #1 1'b1;
+	else
+	if(pid_OUT | pid_IN)	setup_token <= #1 1'b0;
+
+// Indicates if we are performing an IN operation
+assign	in_op = IN_ep | (CTRL_ep & in_token);
+
+// Indicates if we are performing an OUT operation
+assign	out_op = OUT_ep | (CTRL_ep & out_token);
+
+
+///////////////////////////////////////////////////////////////////
+//
+// Determine if packet is to small or to large
+// This is used to NACK and ignore packet for OUT endpoints
+//
+
+
+///////////////////////////////////////////////////////////////////
+//
+// Register File Update Logic
+//
+
+always @(posedge clk)
+	uc_dpd_set <= #1 uc_stat_set_d;
+
+// Abort signal
+always @(posedge clk)
+	abort <= #1 match & fsel & (state != IDLE);
+
+///////////////////////////////////////////////////////////////////
+//
+// TIME OUT TIMERS
+//
+
+// After sending Data in response to an IN token from host, the
+// host must reply with an ack. The host has 622nS in Full Speed
+// mode and 400nS in High Speed mode to reply.
+// "rx_ack_to" indicates when this time has expired.
+// rx_ack_to_clr, clears the timer
+
+always @(posedge clk)
+	rx_ack_to_clr <= #1 tx_valid | rx_ack_to_clr_d;
+
+always @(posedge clk)
+	if(rx_ack_to_clr)	rx_ack_to_cnt <= #1 8'h0;
+	else			rx_ack_to_cnt <= #1 rx_ack_to_cnt + 8'h1;
+
+always @(posedge clk)
+	rx_ack_to <= #1 (rx_ack_to_cnt == rx_ack_to_val);
+
+assign rx_ack_to_val = `USBF_RX_ACK_TO_VAL_FS;
+
+// After sending a OUT token the host must send a data packet.
+// The host has 622nS in Full Speed mode and 400nS in High Speed
+// mode to send the data packet.
+// "tx_data_to" indicates when this time has expired.
+// "tx_data_to_clr" clears the timer
+
+assign	tx_data_to_clr = rx_active;
+
+always @(posedge clk)
+	if(tx_data_to_clr)	tx_data_to_cnt <= #1 8'h0;
+	else			tx_data_to_cnt <= #1 tx_data_to_cnt + 8'h1;
+
+always @(posedge clk)
+	tx_data_to <= #1 (tx_data_to_cnt == tx_data_to_val);
+
+assign tx_data_to_val = `USBF_TX_DATA_TO_VAL_FS;
+
+///////////////////////////////////////////////////////////////////
+//
+// Interrupts
+//
+reg	pid_OUT_r, pid_IN_r, pid_PING_r, pid_SETUP_r;
+
+always @(posedge clk)
+	pid_OUT_r <= #1 pid_OUT;
+
+always @(posedge clk)
+	pid_IN_r <= #1 pid_IN;
+
+always @(posedge clk)
+	pid_PING_r <= #1 pid_PING;
+
+always @(posedge clk)
+	pid_SETUP_r <= #1 pid_SETUP;
+
+always @(posedge clk)
+	int_upid_set <= #1 match_r & !pid_SOF & (
+				( OUT_ep & !(pid_OUT_r | pid_PING_r))		|
+				(  IN_ep &  !pid_IN_r)				|
+				(CTRL_ep & !(pid_IN_r | pid_OUT_r | pid_PING_r | pid_SETUP_r))
+					);
+
+
+assign int_to_set  = ((state == IN2) & rx_ack_to) | ((state == OUT) & tx_data_to);
+
+assign int_crc16_set = rx_data_done & crc16_err;
+
+always @(posedge clk)
+	int_seqerr_set <= #1 int_seqerr_set_d;
+
+reg	send_stall_r;
+
+always @(posedge clk or negedge rst)
+	if(!rst)	send_stall_r <= #1 1'b0;
+	else
+	if(send_stall)	send_stall_r <= #1 1'b1;
+	else	
+	if(send_token)	send_stall_r <= #1 1'b0;
+
+///////////////////////////////////////////////////////////////////
+//
+// Main Protocol State Machine
+//
+
+always @(posedge clk or negedge rst)
+	if(!rst)	state <= #1 IDLE;
+	else
+	if(match)	state <= #1 IDLE;
+	else		state <= #1 next_state;
+
+always @(state or 
+	pid_seq_err or idma_done or ep_full or ep_empty or
+	token_valid or pid_ACK or rx_data_done or
+	tx_data_to or crc16_err or 
+	rx_ack_to or pid_PING or txfr_iso or txfr_int or
+	CTRL_ep or pid_IN or pid_OUT or IN_ep or OUT_ep or pid_SETUP or pid_SOF
+	or match_r or abort or send_stall_r
+	)
+   begin
+	next_state = state;
+	token_pid_sel_d = ACK;
+	send_token_d = 1'b0;
+	rx_dma_en = 1'b0;
+	tx_dma_en = 1'b0;
+	uc_stat_set_d = 1'b0;
+	rx_ack_to_clr_d = 1'b1;
+	int_seqerr_set_d = 1'b0;
+
+	case(state)	// synopsys full_case parallel_case
+	   IDLE:
+		   begin
+// synopsys translate_off
+`ifdef USBF_VERBOSE_DEBUG
+		$display("PE: Entered state IDLE (%t)", $time);
+`endif
+`ifdef USBF_DEBUG
+		if(rst & match_r & !pid_SOF)
+		begin
+		if(match_r === 1'bx)	$display("ERROR: IDLE: match_r is unknown. (%t)", $time);
+		if(pid_SOF === 1'bx)	$display("ERROR: IDLE: pid_SOF is unknown. (%t)", $time);
+		if(CTRL_ep === 1'bx)	$display("ERROR: IDLE: CTRL_ep is unknown. (%t)", $time);
+		if(pid_IN === 1'bx)	$display("ERROR: IDLE: pid_IN is unknown. (%t)", $time);
+		if(pid_OUT === 1'bx)	$display("ERROR: IDLE: pid_OUT is unknown. (%t)", $time);
+		if(pid_SETUP === 1'bx)	$display("ERROR: IDLE: pid_SETUP is unknown. (%t)", $time);
+		if(pid_PING === 1'bx)	$display("ERROR: IDLE: pid_PING is unknown. (%t)", $time);
+		if(IN_ep === 1'bx)	$display("ERROR: IDLE: IN_ep is unknown. (%t)", $time);
+		if(OUT_ep === 1'bx)	$display("ERROR: IDLE: OUT_ep is unknown. (%t)", $time);
+		end
+`endif
+// synopsys translate_on
+
+			if(match_r & !pid_SOF)
+			   begin
+/*
+				if(ep_stall)		// Halt Forced send STALL
+				   begin
+					token_pid_sel_d = STALL;
+					send_token_d = 1'b1;
+					next_state = TOKEN;
+				   end
+				else
+*/
+				if(IN_ep | (CTRL_ep & pid_IN))
+				   begin
+					if(txfr_int & ep_empty)
+					   begin
+						token_pid_sel_d = NACK;
+						send_token_d = 1'b1;
+						next_state = TOKEN;
+					   end
+					else
+					   begin
+						tx_dma_en = 1'b1;
+						next_state = IN;
+					   end
+				   end
+				else
+				if(OUT_ep | (CTRL_ep & (pid_OUT | pid_SETUP)))
+				   begin
+					rx_dma_en = 1'b1;
+					next_state = OUT;
+				   end
+			   end
+		   end
+
+	   TOKEN:
+		   begin
+// synopsys translate_off
+`ifdef USBF_VERBOSE_DEBUG
+		$display("PE: Entered state TOKEN (%t)", $time);
+`endif
+// synopsys translate_on
+			next_state = IDLE;
+		   end
+
+	   IN:
+		   begin
+// synopsys translate_off
+`ifdef USBF_VERBOSE_DEBUG
+		$display("PE: Entered state IN (%t)", $time);
+`endif
+`ifdef USBF_DEBUG
+		if(idma_done === 1'bx)	$display("ERROR: IN: idma_done is unknown. (%t)", $time);
+		if(txfr_iso === 1'bx)	$display("ERROR: IN: txfr_iso is unknown. (%t)", $time);
+`endif
+// synopsys translate_on
+			rx_ack_to_clr_d = 1'b0;
+			if(idma_done)
+			   begin
+				if(txfr_iso)	next_state = UPDATE;
+				else		next_state = IN2;
+			   end
+
+		   end
+	   IN2:
+		   begin
+// synopsys translate_off
+`ifdef USBF_VERBOSE_DEBUG
+		$display("PE: Entered state IN2 (%t)", $time);
+`endif
+`ifdef USBF_DEBUG
+		if(rx_ack_to === 1'bx)	$display("ERROR: IN2: rx_ack_to is unknown. (%t)", $time);
+		if(token_valid === 1'bx)$display("ERROR: IN2: token_valid is unknown. (%t)", $time);
+		if(pid_ACK === 1'bx)	$display("ERROR: IN2: pid_ACK is unknown. (%t)", $time);
+`endif
+// synopsys translate_on
+			rx_ack_to_clr_d = 1'b0;
+			// Wait for ACK from HOST or Timeout
+			if(rx_ack_to)	next_state = IDLE;
+			else
+			if(token_valid & pid_ACK)
+			   begin
+				next_state = UPDATE;
+			   end
+		   end
+
+	   OUT:
+		   begin
+// synopsys translate_off
+`ifdef USBF_VERBOSE_DEBUG
+		$display("PE: Entered state OUT (%t)", $time);
+`endif
+`ifdef USBF_DEBUG
+		if(tx_data_to === 1'bx)	$display("ERROR: OUT: tx_data_to is unknown. (%t)", $time);
+		if(crc16_err === 1'bx)	$display("ERROR: OUT: crc16_err is unknown. (%t)", $time);
+		if(abort === 1'bx)	$display("ERROR: OUT: abort is unknown. (%t)", $time);
+		if(rx_data_done === 1'bx)$display("ERROR: OUT: rx_data_done is unknown. (%t)", $time);
+		if(txfr_iso === 1'bx)	$display("ERROR: OUT: txfr_iso is unknown. (%t)", $time);
+		if(pid_seq_err === 1'bx)$display("ERROR: OUT: rx_data_done is unknown. (%t)", $time);
+`endif
+// synopsys translate_on
+			if(tx_data_to | crc16_err | abort )
+				next_state = IDLE;
+			else
+			if(rx_data_done)
+			   begin		// Send Ack
+				if(txfr_iso)
+				   begin
+					if(pid_seq_err)		int_seqerr_set_d = 1'b1;
+					next_state = UPDATEW;
+				   end
+				else		next_state = OUT2A;
+			   end
+		   end
+
+	   OUT2B:
+		   begin	// This is a delay State to NACK to small or to
+				// large packets. this state could be skipped
+// synopsys translate_off
+`ifdef USBF_VERBOSE_DEBUG
+		$display("PE: Entered state OUT2B (%t)", $time);
+`endif
+`ifdef USBF_DEBUG
+		if(abort === 1'bx)	$display("ERROR: OUT2A: abort is unknown. (%t)", $time);
+`endif
+// synopsys translate_on
+			if(abort)	next_state = IDLE;
+			else		next_state = OUT2B;
+		   end
+	   OUT2A:
+		   begin	// Send ACK/NACK/NYET
+// synopsys translate_off
+`ifdef USBF_VERBOSE_DEBUG
+		$display("PE: Entered state OUT2A (%t)", $time);
+`endif
+`ifdef USBF_DEBUG
+		if(abort === 1'bx)	$display("ERROR: OUT2A: abort is unknown. (%t)", $time);
+		if(pid_seq_err === 1'bx)$display("ERROR: OUT2A: rx_data_done is unknown. (%t)", $time);
+`endif
+// synopsys translate_on
+			if(abort)	next_state = IDLE;
+			else
+
+			if(send_stall_r)
+			   begin
+				token_pid_sel_d = STALL;
+				send_token_d = 1'b1;
+				next_state = IDLE;
+			   end
+			else
+			if(ep_full)
+			   begin
+				token_pid_sel_d = NACK;
+				send_token_d = 1'b1;
+				next_state = IDLE;
+			   end
+			else
+			   begin
+				token_pid_sel_d = ACK;
+				send_token_d = 1'b1;
+				if(pid_seq_err)	next_state = IDLE;
+				else		next_state = UPDATE;
+			   end
+		   end
+
+	   UPDATEW:
+		   begin
+// synopsys translate_off
+`ifdef USBF_VERBOSE_DEBUG
+		$display("PE: Entered state UPDATEW (%t)", $time);
+`endif
+// synopsys translate_on
+			next_state = UPDATE;
+		   end
+
+	   UPDATE:
+		   begin
+// synopsys translate_off
+`ifdef USBF_VERBOSE_DEBUG
+		$display("PE: Entered state UPDATE (%t)", $time);
+`endif
+// synopsys translate_on
+			uc_stat_set_d = 1'b1;
+			next_state = IDLE;
+		   end
+	endcase
+   end
+
+endmodule
+
diff --git a/verilog/dv/bfm/usb_device/core/usb1d_pl.v b/verilog/dv/bfm/usb_device/core/usb1d_pl.v
new file mode 100755
index 0000000..a8a281c
--- /dev/null
+++ b/verilog/dv/bfm/usb_device/core/usb1d_pl.v
@@ -0,0 +1,419 @@
+/////////////////////////////////////////////////////////////////////
+////                                                             ////
+////  Protocol Layer                                             ////
+////  This block is typically referred to as the SEI in USB      ////
+////  Specification. It encapsulates the Packet Assembler,       ////
+////  disassembler, protocol engine and internal DMA             ////
+////                                                             ////
+////  Author: Rudolf Usselmann                                   ////
+////          rudi@asics.ws                                      ////
+////                                                             ////
+////                                                             ////
+////  Downloaded from: http://www.opencores.org/cores/usb1_fucnt/////
+////                                                             ////
+/////////////////////////////////////////////////////////////////////
+////                                                             ////
+//// Copyright (C) 2000-2002 Rudolf Usselmann                    ////
+////                         www.asics.ws                        ////
+////                         rudi@asics.ws                       ////
+////                                                             ////
+//// This source file may be used and distributed without        ////
+//// restriction provided that this copyright statement is not   ////
+//// removed from the file and that any derivative work contains ////
+//// the original copyright notice and the associated disclaimer.////
+////                                                             ////
+////     THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY     ////
+//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   ////
+//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   ////
+//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      ////
+//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         ////
+//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    ////
+//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   ////
+//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        ////
+//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  ////
+//// LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  ////
+//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  ////
+//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         ////
+//// POSSIBILITY OF SUCH DAMAGE.                                 ////
+////                                                             ////
+/////////////////////////////////////////////////////////////////////
+
+//  CVS Log
+//
+//  $Id: usb1_pl.v,v 1.2 2002-09-25 06:06:49 rudi Exp $
+//
+//  $Date: 2002-09-25 06:06:49 $
+//  $Revision: 1.2 $
+//  $Author: rudi $
+//  $Locker:  $
+//  $State: Exp $
+//
+// Change History:
+//               $Log: not supported by cvs2svn $
+//               Revision 1.1.1.1  2002/09/19 12:07:28  rudi
+//               Initial Checkin
+//
+//
+//
+//
+//
+//
+//
+//
+//
+`include "usb1d_defines.v"
+
+module usb1d_pl(	clk, rst,
+
+		// UTMI Interface
+		rx_data, rx_valid, rx_active, rx_err,
+		tx_data, tx_valid, tx_valid_last, tx_ready,
+		tx_first, tx_valid_out,
+
+		token_valid,
+
+		// Register File Interface
+		fa,
+		ep_sel, 
+		x_busy,
+		int_crc16_set, int_to_set, int_seqerr_set,
+
+		// Misc
+		frm_nat,
+		pid_cs_err, nse_err,
+		crc5_err,
+		rx_size, rx_done,
+		ctrl_setup, ctrl_in, ctrl_out,
+
+		// Block Frames
+		ep_bf_en, ep_bf_size,
+		dropped_frame, misaligned_frame,
+
+		// EP Interface
+		csr,
+		tx_data_st, 
+		rx_ctrl_data, 
+		rx_ctrl_data_d, 
+		rx_ctrl_dvalid,
+		rx_ctrl_ddone,
+		idma_re, idma_we,
+		ep_empty, ep_full, send_stall
+
+		);
+
+// UTMI Interface
+input		clk, rst;
+input	[7:0]	rx_data;
+input		rx_valid, rx_active, rx_err;
+output	[7:0]	tx_data;
+output		tx_valid;
+output		tx_valid_last;
+input		tx_ready;
+output		tx_first;
+input		tx_valid_out;
+
+output		token_valid;
+
+// Register File interface
+input	[6:0]	fa;		// Function Address (as set by the controller)
+output	[3:0]	ep_sel;		// Endpoint Number Input
+output		x_busy;		// Indicates USB is busy
+
+output		int_crc16_set;	// Set CRC16 error interrupt
+output		int_to_set;	// Set time out interrupt
+output		int_seqerr_set;	// Set PID sequence error interrupt
+
+// Misc
+output		pid_cs_err;	// pid checksum error
+output		crc5_err;	// crc5 error
+output	[31:0]	frm_nat;
+output		nse_err;	// no such endpoint error
+output	[7:0]	rx_size;
+output		rx_done;
+output		ctrl_setup;
+output		ctrl_in;
+output		ctrl_out;
+input		ep_bf_en;
+input	[6:0]	ep_bf_size;
+output		dropped_frame, misaligned_frame;
+
+// Endpoint Interfaces
+input	[13:0]	csr;	
+input	[7:0]	tx_data_st;
+output	[7:0]	rx_ctrl_data;
+output	[7:0]	rx_ctrl_data_d;
+output		rx_ctrl_dvalid;
+output		rx_ctrl_ddone;
+output		idma_re, idma_we;
+input		ep_empty;
+input		ep_full;
+
+input		send_stall;
+
+///////////////////////////////////////////////////////////////////
+//
+// Local Wires and Registers
+//
+
+// Packet Disassembler Interface
+wire		clk, rst;
+wire	[7:0]	rx_data;
+wire		pid_OUT, pid_IN, pid_SOF, pid_SETUP;
+wire		pid_DATA0, pid_DATA1, pid_DATA2, pid_MDATA;
+wire		pid_ACK, pid_NACK, pid_STALL, pid_NYET;
+wire		pid_PRE, pid_ERR, pid_SPLIT, pid_PING;
+wire	[6:0]	token_fadr;
+wire		token_valid;
+wire		crc5_err;
+wire	[10:0]	frame_no;
+wire	[7:0]	rx_ctrl_data;
+reg	[7:0]	rx_ctrl_data_d;
+wire		rx_ctrl_dvalid;
+wire		rx_ctrl_ddone;
+wire		crc16_err;
+wire		rx_seq_err;
+
+// Packet Assembler Interface
+wire		send_token;
+wire	[1:0]	token_pid_sel;
+wire		send_data;
+wire	[1:0]	data_pid_sel;
+wire	[7:0]	tx_data_st;
+wire	[7:0]	tx_data_st_o;
+wire		rd_next;
+
+// IDMA Interface
+wire		rx_dma_en;	// Allows the data to be stored
+wire		tx_dma_en;	// Allows for data to be retrieved
+wire		abort;		// Abort Transfer (time_out, crc_err or rx_error)
+wire		idma_done;	// DMA is done
+
+// Memory Arbiter Interface
+wire		idma_we;
+wire		idma_re;
+
+// Local signals
+wire		pid_bad;
+
+reg		hms_clk;	// 0.5 Micro Second Clock
+reg	[4:0]	hms_cnt;
+reg	[10:0]	frame_no_r;	// Current Frame Number register
+wire		frame_no_we;
+reg	[11:0]	sof_time;	// Time since last sof
+reg		clr_sof_time;
+wire		fsel;		// This Function is selected
+wire		match_o;
+
+reg		frame_no_we_r;
+reg		ctrl_setup;
+reg		ctrl_in;
+reg		ctrl_out;
+
+wire		idma_we_d;
+wire		ep_empty_int;
+wire		rx_busy;
+wire		tx_busy;
+
+///////////////////////////////////////////////////////////////////
+//
+// Misc Logic
+//
+
+assign x_busy = tx_busy | rx_busy;
+
+// PIDs we should never receive
+assign pid_bad = pid_ACK | pid_NACK | pid_STALL | pid_NYET | pid_PRE |
+			pid_ERR | pid_SPLIT |  pid_PING;
+
+assign match_o = !pid_bad & token_valid & !crc5_err;
+
+// Receiving Setup
+always @(posedge clk)
+	ctrl_setup <= #1 token_valid & pid_SETUP & (ep_sel==4'h0);
+
+always @(posedge clk)
+	ctrl_in <= #1 token_valid & pid_IN & (ep_sel==4'h0);
+
+always @(posedge clk)
+	ctrl_out <= #1 token_valid & pid_OUT & (ep_sel==4'h0);
+
+// Frame Number (from SOF token)
+assign frame_no_we = token_valid & !crc5_err & pid_SOF;
+
+always @(posedge clk)
+	frame_no_we_r <= #1 frame_no_we;
+
+always @(posedge clk or negedge rst)
+	if(!rst)		frame_no_r <= #1 11'h0;
+	else
+	if(frame_no_we_r)	frame_no_r <= #1 frame_no;
+
+//SOF delay counter
+always @(posedge clk)
+	clr_sof_time <= #1 frame_no_we;
+
+always @(posedge clk)
+	if(clr_sof_time)	sof_time <= #1 12'h0;
+	else
+	if(hms_clk)		sof_time <= #1 sof_time + 12'h1;
+
+assign frm_nat = {4'h0, 1'b0, frame_no_r, 4'h0, sof_time};
+
+// 0.5 Micro Seconds Clock Generator
+always @(posedge clk or negedge rst)
+	if(!rst)				hms_cnt <= #1 5'h0;
+	else
+	if(hms_clk | frame_no_we_r)		hms_cnt <= #1 5'h0;
+	else					hms_cnt <= #1 hms_cnt + 5'h1;
+
+always @(posedge clk)
+	hms_clk <= #1 (hms_cnt == `USBF_HMS_DEL);
+
+always @(posedge clk)
+	rx_ctrl_data_d <= rx_ctrl_data;
+
+///////////////////////////////////////////////////////////////////
+
+// This function is addressed
+assign fsel = (token_fadr == fa);
+
+// Only write when we are addressed !!!
+assign idma_we = idma_we_d & fsel; // moved full check to idma ...  & !ep_full;
+
+///////////////////////////////////////////////////////////////////
+//
+// Module Instantiations
+//
+
+//Packet Decoder
+usb1d_pd	u0(	.clk(		clk		),
+		.rst(		rst		),
+
+		.rx_data(	rx_data		),
+		.rx_valid(	rx_valid	),
+		.rx_active(	rx_active	),
+		.rx_err(	rx_err		),
+		.pid_OUT(	pid_OUT		),
+		.pid_IN(	pid_IN		),
+		.pid_SOF(	pid_SOF		),
+		.pid_SETUP(	pid_SETUP	),
+		.pid_DATA0(	pid_DATA0	),
+		.pid_DATA1(	pid_DATA1	),
+		.pid_DATA2(	pid_DATA2	),
+		.pid_MDATA(	pid_MDATA	),
+		.pid_ACK(	pid_ACK		),
+		.pid_NACK(	pid_NACK	),
+		.pid_STALL(	pid_STALL	),
+		.pid_NYET(	pid_NYET	),
+		.pid_PRE(	pid_PRE		),
+		.pid_ERR(	pid_ERR		),
+		.pid_SPLIT(	pid_SPLIT	),
+		.pid_PING(	pid_PING	),
+		.pid_cks_err(	pid_cs_err	),
+		.token_fadr(	token_fadr	),
+		.token_endp(	ep_sel		),
+		.token_valid(	token_valid	),
+		.crc5_err(	crc5_err	),
+		.frame_no(	frame_no	),
+		.rx_data_st(	rx_ctrl_data	),
+		.rx_data_valid(	rx_ctrl_dvalid	),
+		.rx_data_done(	rx_ctrl_ddone	),
+		.crc16_err(	crc16_err	),
+		.seq_err(	rx_seq_err	),
+		.rx_busy(	rx_busy		)
+		);
+
+// Packet Assembler
+usb1d_pa	u1(	.clk(		clk		),
+		.rst(		rst		),
+		.tx_data(	tx_data		),
+		.tx_valid(	tx_valid	),
+		.tx_valid_last(	tx_valid_last	),
+		.tx_ready(	tx_ready	),
+		.tx_first(	tx_first	),
+		.send_token(	send_token	),
+		.token_pid_sel(	token_pid_sel	),
+		.send_data(	send_data	),
+		.data_pid_sel(	data_pid_sel	),
+		.tx_data_st(	tx_data_st_o	),
+		.rd_next(	rd_next		),
+		.ep_empty(	ep_empty_int)
+		);
+
+// Internal DMA / Memory Arbiter Interface
+usb1d_idma
+	u2(	.clk(		clk		),
+		.rst(		rst		),
+
+		.tx_valid(	tx_valid	),
+		.rx_data_valid(	rx_ctrl_dvalid	),
+		.rx_data_done(	rx_ctrl_ddone	),
+		.send_data(	send_data	),
+		.rd_next(	rd_next		),
+
+		.tx_data_st_i(	tx_data_st	),
+		.tx_data_st_o(	tx_data_st_o	),
+		.ep_sel(	ep_sel		),
+
+		.ep_bf_en(	ep_bf_en	),
+		.ep_bf_size(	ep_bf_size	),
+		.dropped_frame(dropped_frame	),
+		.misaligned_frame(misaligned_frame),
+
+		.tx_busy(	tx_busy		),
+
+		.tx_dma_en(	tx_dma_en	),
+		.rx_dma_en(	rx_dma_en	),
+		.idma_done(	idma_done	),
+		.size(		csr[8:0]	),
+		.rx_cnt(	rx_size		),
+		.rx_done(	rx_done		),
+		.mwe(		idma_we_d	),
+		.mre(		idma_re		),
+		.ep_empty(	ep_empty	),
+		.ep_empty_int(	ep_empty_int	),
+		.ep_full(	ep_full		)
+		);
+
+// Protocol Engine
+usb1d_pe
+	u3(	.clk(			clk			),
+		.rst(			rst			),
+
+		.tx_valid(		tx_valid_out		),
+		.rx_active(		rx_active		),
+		.pid_OUT(		pid_OUT			),
+		.pid_IN(		pid_IN			),
+		.pid_SOF(		pid_SOF			),
+		.pid_SETUP(		pid_SETUP		),
+		.pid_DATA0(		pid_DATA0		),
+		.pid_DATA1(		pid_DATA1		),
+		.pid_DATA2(		pid_DATA2		),
+		.pid_MDATA(		pid_MDATA		),
+		.pid_ACK(		pid_ACK			),
+		.pid_PING(		pid_PING		),
+		.token_valid(		token_valid		),
+		.rx_data_done(		rx_ctrl_ddone		),
+		.crc16_err(		crc16_err		),
+		.send_token(		send_token		),
+		.token_pid_sel(		token_pid_sel		),
+		.data_pid_sel(		data_pid_sel		),
+		.rx_dma_en(		rx_dma_en		),
+		.tx_dma_en(		tx_dma_en		),
+		.abort(			abort			),
+		.idma_done(		idma_done		),
+		.fsel(			fsel			),
+		.ep_sel(		ep_sel			),
+		.ep_full(		ep_full			),
+		.ep_empty(		ep_empty		),
+		.match(			match_o			),
+		.nse_err(		nse_err			),
+		.int_upid_set(		         		),
+		.int_crc16_set(		int_crc16_set		),
+		.int_to_set(		int_to_set		),
+		.int_seqerr_set(	int_seqerr_set		),
+		.csr(			csr			),
+		.send_stall(		send_stall		)
+		);
+
+endmodule
diff --git a/verilog/dv/bfm/usb_device/core/usb1d_rom1.v b/verilog/dv/bfm/usb_device/core/usb1d_rom1.v
new file mode 100755
index 0000000..9e753f6
--- /dev/null
+++ b/verilog/dv/bfm/usb_device/core/usb1d_rom1.v
@@ -0,0 +1,243 @@
+/////////////////////////////////////////////////////////////////////
+////                                                             ////
+////  Descriptor ROM                                             ////
+////                                                             ////
+////                                                             ////
+////  Author: Rudolf Usselmann                                   ////
+////          rudi@asics.ws                                      ////
+////                                                             ////
+////                                                             ////
+////  Downloaded from: http://www.opencores.org/cores/usb1_funct/////
+////                                                             ////
+/////////////////////////////////////////////////////////////////////
+////                                                             ////
+//// Copyright (C) 2000-2002 Rudolf Usselmann                    ////
+////                         www.asics.ws                        ////
+////                         rudi@asics.ws                       ////
+////                                                             ////
+//// This source file may be used and distributed without        ////
+//// restriction provided that this copyright statement is not   ////
+//// removed from the file and that any derivative work contains ////
+//// the original copyright notice and the associated disclaimer.////
+////                                                             ////
+////     THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY     ////
+//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   ////
+//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   ////
+//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      ////
+//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         ////
+//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    ////
+//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   ////
+//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        ////
+//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  ////
+//// LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  ////
+//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  ////
+//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         ////
+//// POSSIBILITY OF SUCH DAMAGE.                                 ////
+////                                                             ////
+/////////////////////////////////////////////////////////////////////
+
+//  CVS Log
+//
+//  $Id: usb1_rom1.v,v 1.1.1.1 2002-09-19 12:07:29 rudi Exp $
+//
+//  $Date: 2002-09-19 12:07:29 $
+//  $Revision: 1.1.1.1 $
+//  $Author: rudi $
+//  $Locker:  $
+//  $State: Exp $
+//
+// Change History:
+//               $Log: not supported by cvs2svn $
+//
+//
+//
+//
+//
+
+`include "usb1d_defines.v"
+
+module usb1d_rom1(clk, adr, dout);
+input		clk;
+input	[6:0]	adr;
+output	[7:0]	dout;
+
+reg	[7:0]	dout;
+
+always @(posedge clk)
+	case(adr)	// synopsys full_case parallel_case
+
+		// ====================================
+		// =====    DEVICE Descriptor     =====
+		// ====================================
+
+	   7'h00:	dout <= #1 8'd18;	// this descriptor length
+	   7'h01:	dout <= #1 8'h01;	// descriptor type
+	   7'h02:	dout <= #1 8'h00;	// USB version low byte
+	   7'h03:	dout <= #1 8'h01;	// USB version high byte
+	   7'h04:	dout <= #1 8'hff;	// device class
+	   7'h05:	dout <= #1 8'h00;	// device sub class
+	   7'h06:	dout <= #1 8'hff;	// device protocol
+	   7'h07:	dout <= #1 8'd64;	// max packet size
+	   7'h08:	dout <= #1 8'h34;	// vendor ID low byte
+	   7'h09:	dout <= #1 8'h12;	// vendor ID high byte
+	   7'h0a:	dout <= #1 8'h78;	// product ID low byte
+	   7'h0b:	dout <= #1 8'h56;	// product ID high byte
+	   7'h0c:	dout <= #1 8'h10;	// device rel. number low byte
+	   7'h0d:	dout <= #1 8'h00;	// device rel. number high byte
+	   7'h0e:	dout <= #1 8'h00;	// Manufacturer String Index
+	   7'h0f:	dout <= #1 8'h00;	// Product Descr. String Index
+	   7'h10:	dout <= #1 8'h00;	// S/N String Index
+	   7'h11:	dout <= #1 8'h01;	// Number of possible config.
+
+		// ====================================
+		// ===== Configuration Descriptor =====
+		// ====================================
+	   7'h12:	dout <= #1 8'h09;	// this descriptor length
+	   7'h13:	dout <= #1 8'h02;	// descriptor type
+	   7'h14:	dout <= #1 8'd53;	// total data length low byte
+	   7'h15:	dout <= #1 8'd00;	// total data length high byte
+	   7'h16:	dout <= #1 8'h01;	// number of interfaces
+	   7'h17:	dout <= #1 8'h01;	// number of configurations
+	   7'h18:	dout <= #1 8'h00;	// Conf. String Index
+	   7'h19:	dout <= #1 8'h40;	// Config. Characteristics
+	   7'h1a:	dout <= #1 8'h00;	// Max. Power Consumption
+
+		// ====================================
+		// =====   Interface Descriptor   =====
+		// ====================================
+	   7'h1b:	dout <= #1 8'h09;	// this descriptor length
+	   7'h1c:	dout <= #1 8'h04;	// descriptor type
+	   7'h1d:	dout <= #1 8'h00;	// interface number
+	   7'h1e:	dout <= #1 8'h00;	// alternate setting
+	   7'h1f:	dout <= #1 8'h05;	// number of endpoints
+	   7'h20:	dout <= #1 8'hff;	// interface class
+	   7'h21:	dout <= #1 8'h01;	// interface sub class
+	   7'h22:	dout <= #1 8'hff;	// interface protocol
+	   7'h23:	dout <= #1 8'h00;	// interface string index
+
+		// ====================================
+		// =====   Endpoint 1 Descriptor  =====
+		// ====================================
+	   7'h24:	dout <= #1 8'h07;	// this descriptor length
+	   7'h25:	dout <= #1 8'h05;	// descriptor type
+	   7'h26:	dout <= #1 8'h81;	// endpoint address
+	   7'h27:	dout <= #1 8'h01;	// endpoint attributes
+	   7'h28:	dout <= #1 8'h00;	// max packet size low byte
+	   7'h29:	dout <= #1 8'h01;	// max packet size high byte
+	   7'h2a:	dout <= #1 8'h01;	// polling interval
+
+		// ====================================
+		// =====   Endpoint 2 Descriptor  =====
+		// ====================================
+	   7'h2b:	dout <= #1 8'h07;	// this descriptor length
+	   7'h2c:	dout <= #1 8'h05;	// descriptor type
+	   7'h2d:	dout <= #1 8'h02;	// endpoint address
+	   7'h2e:	dout <= #1 8'h01;	// endpoint attributes
+	   7'h2f:	dout <= #1 8'h00;	// max packet size low byte
+	   7'h30:	dout <= #1 8'h01;	// max packet size high byte
+	   7'h31:	dout <= #1 8'h01;	// polling interval
+
+		// ====================================
+		// =====   Endpoint 3 Descriptor  =====
+		// ====================================
+	   7'h32:	dout <= #1 8'h07;	// this descriptor length
+	   7'h33:	dout <= #1 8'h05;	// descriptor type
+	   7'h34:	dout <= #1 8'h83;	// endpoint address
+	   7'h35:	dout <= #1 8'h02;	// endpoint attributes
+	   7'h36:	dout <= #1 8'd64;	// max packet size low byte
+	   7'h37:	dout <= #1 8'd00;	// max packet size high byte
+	   7'h38:	dout <= #1 8'h01;	// polling interval
+
+		// ====================================
+		// =====   Endpoint 4 Descriptor  =====
+		// ====================================
+	   7'h39:	dout <= #1 8'h07;	// this descriptor length
+	   7'h3a:	dout <= #1 8'h05;	// descriptor type
+	   7'h3b:	dout <= #1 8'h04;	// endpoint address
+	   7'h3c:	dout <= #1 8'h02;	// endpoint attributes
+	   7'h3d:	dout <= #1 8'd64;	// max packet size low byte
+	   7'h3e:	dout <= #1 8'd00;	// max packet size high byte
+	   7'h3f:	dout <= #1 8'h01;	// polling interval
+
+		// ====================================
+		// =====   Endpoint 5 Descriptor  =====
+		// ====================================
+	   7'h40:	dout <= #1 8'h07;	// this descriptor length
+	   7'h41:	dout <= #1 8'h05;	// descriptor type
+	   7'h42:	dout <= #1 8'h85;	// endpoint address
+	   7'h43:	dout <= #1 8'h03;	// endpoint attributes
+	   7'h44:	dout <= #1 8'd64;	// max packet size low byte
+	   7'h45:	dout <= #1 8'd00;	// max packet size high byte
+	   7'h46:	dout <= #1 8'h01;	// polling interval
+
+/*
+		// ====================================
+		// ===== String Descriptor Lang ID=====
+		// ====================================
+
+	   7'h47:	dout <= #1 8'd06;	// this descriptor length
+	   7'h48:	dout <= #1 8'd03;	// descriptor type
+
+	   7'h49:	dout <= #1 8'd09;	// Language ID 0 low byte
+	   7'h4a:	dout <= #1 8'd04;	// Language ID 0 high byte
+
+	   7'h4b:	dout <= #1 8'd09;	// Language ID 1 low byte
+	   7'h4c:	dout <= #1 8'd04;	// Language ID 1 high byte
+
+	   7'h4d:	dout <= #1 8'd09;	// Language ID 2 low byte
+	   7'h4e:	dout <= #1 8'd04;	// Language ID 2 high byte
+
+		// ====================================
+		// =====   String Descriptor 0    =====
+		// ====================================
+
+	   7'h50:	dout <= #1 8'd010;	// this descriptor length
+	   7'h51:	dout <= #1 8'd03;	// descriptor type
+	   7'h52:	dout <= #1 "0";
+	   7'h53:	dout <= #1 " ";
+	   7'h54:	dout <= #1 "g";
+	   7'h55:	dout <= #1 "n";
+	   7'h56:	dout <= #1 "i";
+	   7'h57:	dout <= #1 "r";
+	   7'h58:	dout <= #1 "t";
+	   7'h59:	dout <= #1 "S";
+
+		// ====================================
+		// =====   String Descriptor 1    =====
+		// ====================================
+
+	   7'h60:	dout <= #1 8'd010;	// this descriptor length
+	   7'h61:	dout <= #1 8'd03;	// descriptor type
+	   7'h62:	dout <= #1 "1";
+	   7'h63:	dout <= #1 " ";
+	   7'h64:	dout <= #1 "g";
+	   7'h65:	dout <= #1 "n";
+	   7'h66:	dout <= #1 "i";
+	   7'h67:	dout <= #1 "r";
+	   7'h68:	dout <= #1 "t";
+	   7'h69:	dout <= #1 "S";
+
+		// ====================================
+		// =====   String Descriptor 2    =====
+		// ====================================
+
+	   7'h70:	dout <= #1 8'd010;	// this descriptor length
+	   7'h71:	dout <= #1 8'd03;	// descriptor type
+	   7'h72:	dout <= #1 "2";
+	   7'h73:	dout <= #1 " ";
+	   7'h74:	dout <= #1 "g";
+	   7'h75:	dout <= #1 "n";
+	   7'h76:	dout <= #1 "i";
+	   7'h77:	dout <= #1 "r";
+	   7'h78:	dout <= #1 "t";
+	   7'h79:	dout <= #1 "S";
+
+*/
+
+		// ====================================
+		// ====================================
+
+	   //default:	dout <= #1 8'd00;
+	endcase
+
+endmodule
diff --git a/verilog/dv/bfm/usb_device/core/usb1d_sync_fifo.v b/verilog/dv/bfm/usb_device/core/usb1d_sync_fifo.v
new file mode 100755
index 0000000..f35e34e
--- /dev/null
+++ b/verilog/dv/bfm/usb_device/core/usb1d_sync_fifo.v
@@ -0,0 +1,156 @@
+/*********************************************************************
+                                                              
+  This file is part of the sdram controller project           
+  http://www.opencores.org/cores/sdr_ctrl/                    
+                                                              
+  Description: SYNC FIFO 
+  Parameters:
+      W : Width (integer)
+      D : Depth (integer, power of 2, 4 to 256)
+                                                              
+  To Do:                                                      
+    nothing                                                   
+                                                              
+  Author(s):  Dinesh Annayya, dinesha@opencores.org                 
+                                                             
+ Copyright (C) 2000 Authors and OPENCORES.ORG                
+                                                             
+ This source file may be used and distributed without         
+ restriction provided that this copyright statement is not    
+ removed from the file and that any derivative work contains  
+ the original copyright notice and the associated disclaimer. 
+                                                              
+ This source file is free software; you can redistribute it   
+ and/or modify it under the terms of the GNU Lesser General   
+ Public License as published by the Free Software Foundation; 
+ either version 2.1 of the License, or (at your option) any   
+later version.                                               
+                                                              
+ This source is distributed in the hope that it will be       
+ useful, but WITHOUT ANY WARRANTY; without even the implied   
+ warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      
+ PURPOSE.  See the GNU Lesser General Public License for more 
+ details.                                                     
+                                                              
+ You should have received a copy of the GNU Lesser General    
+ Public License along with this source; if not, download it   
+ from http://www.opencores.org/lgpl.shtml                     
+                                                              
+*******************************************************************/
+
+
+module usb1d_sync_fifo (clk,
+	          reset_n,
+		  clr,
+		  wr_en,
+		  wr_data,
+		  full,
+		  empty,
+		  rd_en,
+		  rd_data);
+
+   parameter W = 8;
+   parameter D = 4;
+
+   parameter AW = (D == 4)   ? 2 :
+		  (D == 8)   ? 3 :
+		  (D == 16)  ? 4 :
+		  (D == 32)  ? 5 :
+		  (D == 64)  ? 6 :
+		  (D == 128) ? 7 :
+		  (D == 256) ? 8 : 0;
+   
+   output [W-1 : 0]  rd_data;
+   input [W-1 : 0]   wr_data;
+   input 	     clk, reset_n, clr,wr_en, rd_en;
+   output 	     full, empty;
+
+   // synopsys translate_off
+
+   initial begin
+      if (AW == 0) begin
+	 $display ("%m : ERROR!!! Fifo depth %d not in range 4 to 256", D);
+      end // if (AW == 0)
+   end // initial begin
+
+   // synopsys translate_on
+
+
+   reg [W-1 : 0]    mem[D-1 : 0];
+   reg [AW-1 : 0]   rd_ptr, wr_ptr;
+   reg	 	    full, empty;
+
+
+   wire [W-1 : 0]   rd_data;
+   
+   always @ (posedge clk or negedge reset_n) 
+      if (reset_n == 1'b0) begin
+         wr_ptr <= {AW{1'b0}} ;
+      end
+      else begin
+	 if(clr)   wr_ptr <= {AW{1'b0}} ;
+	 else begin
+	    if (wr_en & !full) begin
+               wr_ptr <= wr_ptr + 1'b1 ;
+            end
+         end
+      end
+
+   always @ (posedge clk or negedge reset_n) 
+      if (reset_n == 1'b0) begin
+         rd_ptr <= {AW{1'b0}} ;
+      end
+      else begin
+	 if(clr)   rd_ptr <= {AW{1'b0}} ;
+	 else begin
+	    if (rd_en & !empty) begin
+               rd_ptr <= rd_ptr + 1'b1 ;
+            end
+	 end
+      end
+
+
+   always @ (posedge clk or negedge reset_n) 
+      if (reset_n == 1'b0) begin
+         empty <= 1'b1 ;
+      end
+      else begin
+         empty <= (((wr_ptr - rd_ptr) == {{(AW-1){1'b0}}, 1'b1}) & rd_en & ~wr_en) ? 1'b1 : 
+                   ((wr_ptr == rd_ptr) & ~rd_en & wr_en) ? 1'b0 : empty ;
+      end
+
+   always @ (posedge clk or negedge reset_n) 
+      if (reset_n == 1'b0) begin
+         full <= 1'b0 ;
+      end
+      else begin
+         full <= (((wr_ptr - rd_ptr) == {{(AW-1){1'b1}}, 1'b0}) & ~rd_en & wr_en) ? 1'b1 : 
+                 (((wr_ptr - rd_ptr) == {AW{1'b1}}) & rd_en & ~wr_en) ? 1'b0 : full ;
+      end
+
+   always @ (posedge clk) 
+      if (wr_en)
+	 mem[wr_ptr] <= wr_data;
+
+assign  rd_data = mem[rd_ptr];
+
+
+// synopsys translate_off
+   always @(posedge clk) begin
+      if (wr_en && full) begin
+         $display("%m : Error! sfifo overflow!");
+      end
+   end
+
+   always @(posedge clk) begin
+      if (rd_en && empty) begin
+         $display("%m : error! sfifo underflow!");
+      end
+   end
+
+// synopsys translate_on
+//---------------------------------------
+
+endmodule
+
+
diff --git a/verilog/dv/bfm/usb_device/core/usb1d_utmi_if.v b/verilog/dv/bfm/usb_device/core/usb1d_utmi_if.v
new file mode 100755
index 0000000..4546906
--- /dev/null
+++ b/verilog/dv/bfm/usb_device/core/usb1d_utmi_if.v
@@ -0,0 +1,147 @@
+/////////////////////////////////////////////////////////////////////
+////                                                             ////
+////  UTMI Interface                                             ////
+////                                                             ////
+////                                                             ////
+////  Author: Rudolf Usselmann                                   ////
+////          rudi@asics.ws                                      ////
+////                                                             ////
+////                                                             ////
+////  Downloaded from: http://www.opencores.org/cores/usb1_funct/////
+////                                                             ////
+/////////////////////////////////////////////////////////////////////
+////                                                             ////
+//// Copyright (C) 2000-2002 Rudolf Usselmann                    ////
+////                         www.asics.ws                        ////
+////                         rudi@asics.ws                       ////
+////                                                             ////
+//// This source file may be used and distributed without        ////
+//// restriction provided that this copyright statement is not   ////
+//// removed from the file and that any derivative work contains ////
+//// the original copyright notice and the associated disclaimer.////
+////                                                             ////
+////     THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY     ////
+//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   ////
+//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   ////
+//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      ////
+//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         ////
+//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    ////
+//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   ////
+//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        ////
+//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  ////
+//// LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  ////
+//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  ////
+//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         ////
+//// POSSIBILITY OF SUCH DAMAGE.                                 ////
+////                                                             ////
+/////////////////////////////////////////////////////////////////////
+
+//  CVS Log
+//
+//  $Id: usb1_utmi_if.v,v 1.1.1.1 2002-09-19 12:07:14 rudi Exp $
+//
+//  $Date: 2002-09-19 12:07:14 $
+//  $Revision: 1.1.1.1 $
+//  $Author: rudi $
+//  $Locker:  $
+//  $State: Exp $
+//
+// Change History:
+//               $Log: not supported by cvs2svn $
+//
+//
+//
+//
+//
+//
+//
+
+`include "usb1d_defines.v"
+
+module usb1d_utmi_if( // UTMI Interface (EXTERNAL)
+		phy_clk, rst,
+		DataOut, TxValid, TxReady,
+		RxValid, RxActive, RxError, DataIn,
+
+		// Internal Interface
+		rx_data, rx_valid, rx_active, rx_err,
+		tx_data, tx_valid, tx_valid_last, tx_ready,
+		tx_first
+
+		);
+
+input		phy_clk;
+input		rst;
+
+output	[7:0]	DataOut;
+output		TxValid;
+input		TxReady;
+
+input	[7:0]	DataIn;
+input		RxValid;
+input		RxActive;
+input		RxError;
+
+
+output	[7:0]	rx_data;
+output		rx_valid, rx_active, rx_err;
+input	[7:0]	tx_data;
+input		tx_valid;
+input		tx_valid_last;
+output		tx_ready;
+input		tx_first;
+
+///////////////////////////////////////////////////////////////////
+//
+// Local Wires and Registers
+//
+reg	[7:0]	rx_data;
+reg		rx_valid, rx_active, rx_err;
+reg	[7:0]	DataOut;
+reg		tx_ready;
+reg		TxValid;
+
+///////////////////////////////////////////////////////////////////
+//
+// Misc Logic
+//
+
+
+///////////////////////////////////////////////////////////////////
+//
+// RX Interface Input registers
+//
+
+always @(posedge phy_clk or negedge rst)
+	if(!rst)	rx_valid <= #1 1'b0;
+	else		rx_valid <= #1 RxValid;
+
+always @(posedge phy_clk or negedge rst)
+	if(!rst)	rx_active <= #1 1'b0;
+	else		rx_active <= #1 RxActive;
+
+always @(posedge phy_clk or negedge rst)
+	if(!rst)	rx_err <= #1 1'b0;
+	else		rx_err <= #1 RxError;
+
+always @(posedge phy_clk)
+		rx_data <= #1 DataIn;
+
+///////////////////////////////////////////////////////////////////
+//
+// TX Interface Output/Input registers
+//
+
+always @(posedge phy_clk)
+	if(TxReady | tx_first)	DataOut <= #1 tx_data;
+
+always @(posedge phy_clk)
+	tx_ready <= #1 TxReady;
+
+always @(posedge phy_clk or negedge rst)
+	if(!rst)	TxValid <= #1 1'b0;
+	else
+	TxValid <= #1 tx_valid | tx_valid_last | (TxValid & !TxReady);
+
+endmodule
+
diff --git a/verilog/dv/bfm/usb_device/phy/usb1d_phy.v b/verilog/dv/bfm/usb_device/phy/usb1d_phy.v
new file mode 100755
index 0000000..346c523
--- /dev/null
+++ b/verilog/dv/bfm/usb_device/phy/usb1d_phy.v
@@ -0,0 +1,190 @@
+/////////////////////////////////////////////////////////////////////
+////                                                             ////
+////  USB 1.1 PHY                                                ////
+////                                                             ////
+////                                                             ////
+////  Author: Rudolf Usselmann                                   ////
+////          rudi@asics.ws                                      ////
+////                                                             ////
+////                                                             ////
+////  Downloaded from: http://www.opencores.org/cores/usb_phy/   ////
+////                                                             ////
+/////////////////////////////////////////////////////////////////////
+////                                                             ////
+//// Copyright (C) 2000-2002 Rudolf Usselmann                    ////
+////                         www.asics.ws                        ////
+////                         rudi@asics.ws                       ////
+////                                                             ////
+//// This source file may be used and distributed without        ////
+//// restriction provided that this copyright statement is not   ////
+//// removed from the file and that any derivative work contains ////
+//// the original copyright notice and the associated disclaimer.////
+////                                                             ////
+////     THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY     ////
+//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   ////
+//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   ////
+//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      ////
+//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         ////
+//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    ////
+//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   ////
+//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        ////
+//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  ////
+//// LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  ////
+//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  ////
+//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         ////
+//// POSSIBILITY OF SUCH DAMAGE.                                 ////
+////                                                             ////
+/////////////////////////////////////////////////////////////////////
+
+//  CVS Log
+//
+//  $Id: usb_phy.v,v 1.4 2003-10-21 05:58:40 rudi Exp $
+//
+//  $Date: 2003-10-21 05:58:40 $
+//  $Revision: 1.4 $
+//  $Author: rudi $
+//  $Locker:  $
+//  $State: Exp $
+//
+// Change History:
+//               $Log: not supported by cvs2svn $
+//               Revision 1.3  2003/10/19 17:40:13  rudi
+//               - Made core more robust against line noise
+//               - Added Error Checking and Reporting
+//               (See README.txt for more info)
+//
+//               Revision 1.2  2002/09/16 16:06:37  rudi
+//               Changed top level name to be consistent ...
+//
+//               Revision 1.1.1.1  2002/09/16 14:26:59  rudi
+//               Created Directory Structure
+//
+//
+//
+//
+//
+//
+//
+//
+
+
+module usb1d_phy(clk, rstn, phy_tx_mode, usb_rst,
+	
+		// Transciever Interface
+		txdp, txdn, txoe,	
+		rxd, rxdp, rxdn,
+
+		// UTMI Interface
+		DataOut_i, TxValid_i, TxReady_o, RxValid_o,
+		RxActive_o, RxError_o, DataIn_o, LineState_o
+		);
+
+
+/***************************************
+*  Comman Signal
+*  *************************************/
+input		clk              ; // 48Mhz clock
+input		rstn              ; // Active low async reset
+
+input		phy_tx_mode      ; // Used in Tx Path,
+                                   // The PHY supports single ended and differential output to the
+                                   // transceiver Depending on which device you are using, you have
+                                   // to tie the phy_tx_mode high or low. 
+output		usb_rst          ; // usb_rst is asserted whenever the host signals reset on the USB bus.
+                                   // The USB core will internally reset itself automatically.
+                                   // This output is provided for external logic that needs to be
+                                   // reset when the USB bus is reset.
+
+output		txdp, txdn, txoe;
+input		rxd, rxdp, rxdn;
+input	[7:0]	DataOut_i;
+input		TxValid_i;
+output		TxReady_o;
+output	[7:0]	DataIn_o;
+output		RxValid_o;
+output		RxActive_o;
+output		RxError_o;
+output	[1:0]	LineState_o;
+
+///////////////////////////////////////////////////////////////////
+//
+// Local Wires and Registers
+//
+
+reg	[4:0]	rst_cnt;
+reg		usb_rst;
+wire		fs_ce;
+wire		rstn;
+
+///////////////////////////////////////////////////////////////////
+//
+// Misc Logic
+//
+
+///////////////////////////////////////////////////////////////////
+//
+// TX Phy
+//
+
+usb1d_tx_phy i_tx_phy(
+	.clk(		clk		),
+	.rstn(		rstn		),
+	.fs_ce(		fs_ce		),
+	.phy_mode(	phy_tx_mode	),
+
+	// Transciever Interface
+	.txdp(		txdp		),
+	.txdn(		txdn		),
+	.txoe(		txoe		),
+
+	// UTMI Interface
+	.DataOut_i(	DataOut_i	),
+	.TxValid_i(	TxValid_i	),
+	.TxReady_o(	TxReady_o	)
+	);
+
+///////////////////////////////////////////////////////////////////
+//
+// RX Phy and DPLL
+//
+
+usb1d_rx_phy i_rx_phy(
+	.clk(		clk		),
+	.rstn(		rstn		),
+	.fs_ce(		fs_ce		),
+
+	// Transciever Interface
+	.rxd(		rxd		),
+	.rxdp(		rxdp		),
+	.rxdn(		rxdn		),
+
+	// UTMI Interface
+	.DataIn_o(	DataIn_o	),
+	.RxValid_o(	RxValid_o	),
+	.RxActive_o(	RxActive_o	),
+	.RxError_o(	RxError_o	),
+	.RxEn_i(	txoe		),
+	.LineState(	LineState_o	)
+	);
+
+///////////////////////////////////////////////////////////////////
+//
+// Generate an USB Reset is we see SE0 for at least 2.5uS
+//
+
+`ifdef USB_ASYNC_REST
+always @(posedge clk or negedge rstn)
+`else
+always @(posedge clk)
+`endif
+	if(!rstn)			rst_cnt <= 5'h0;
+	else
+	if(LineState_o != 2'h0)		rst_cnt <= 5'h0;
+	else	
+	if(!usb_rst && fs_ce)		rst_cnt <= rst_cnt + 5'h1;
+
+always @(posedge clk)
+	usb_rst <= (rst_cnt == 5'h1f);
+
+endmodule
+
diff --git a/verilog/dv/bfm/usb_device/phy/usb1d_rx_phy.v b/verilog/dv/bfm/usb_device/phy/usb1d_rx_phy.v
new file mode 100755
index 0000000..6cf42db
--- /dev/null
+++ b/verilog/dv/bfm/usb_device/phy/usb1d_rx_phy.v
@@ -0,0 +1,455 @@
+/////////////////////////////////////////////////////////////////////
+////                                                             ////
+////  USB 1.1 PHY                                                ////
+////  RX & DPLL                                                  ////
+////                                                             ////
+////                                                             ////
+////  Author: Rudolf Usselmann                                   ////
+////          rudi@asics.ws                                      ////
+////                                                             ////
+////                                                             ////
+////  Downloaded from: http://www.opencores.org/cores/usb_phy/   ////
+////                                                             ////
+/////////////////////////////////////////////////////////////////////
+////                                                             ////
+//// Copyright (C) 2000-2002 Rudolf Usselmann                    ////
+////                         www.asics.ws                        ////
+////                         rudi@asics.ws                       ////
+////                                                             ////
+//// This source file may be used and distributed without        ////
+//// restriction provided that this copyright statement is not   ////
+//// removed from the file and that any derivative work contains ////
+//// the original copyright notice and the associated disclaimer.////
+////                                                             ////
+////     THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY     ////
+//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   ////
+//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   ////
+//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      ////
+//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         ////
+//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    ////
+//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   ////
+//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        ////
+//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  ////
+//// LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  ////
+//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  ////
+//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         ////
+//// POSSIBILITY OF SUCH DAMAGE.                                 ////
+////                                                             ////
+/////////////////////////////////////////////////////////////////////
+
+//  CVS Log
+//
+//  $Id: usb_rx_phy.v,v 1.5 2004-10-19 09:29:07 rudi Exp $
+//
+//  $Date: 2004-10-19 09:29:07 $
+//  $Revision: 1.5 $
+//  $Author: rudi $
+//  $Locker:  $
+//  $State: Exp $
+//
+// Change History:
+//               $Log: not supported by cvs2svn $
+//               Revision 1.4  2003/12/02 04:56:00  rudi
+//               Fixed a bug reported by Karl C. Posch from Graz University of Technology. Thanks Karl !
+//
+//               Revision 1.3  2003/10/19 18:07:45  rudi
+//               - Fixed Sync Error to be only checked/generated during the sync phase
+//
+//               Revision 1.2  2003/10/19 17:40:13  rudi
+//               - Made core more robust against line noise
+//               - Added Error Checking and Reporting
+//               (See README.txt for more info)
+//
+//               Revision 1.1.1.1  2002/09/16 14:27:01  rudi
+//               Created Directory Structure
+//
+//
+//
+//
+//
+//
+//
+//
+
+
+module usb1d_rx_phy(	clk, rstn, fs_ce,
+	
+			// Transciever Interface
+			rxd, rxdp, rxdn,
+
+			// UTMI Interface
+			RxValid_o, RxActive_o, RxError_o, DataIn_o,
+			RxEn_i, LineState);
+
+input		clk;
+input		rstn;
+output		fs_ce;
+input		rxd, rxdp, rxdn;
+output	[7:0]	DataIn_o;
+output		RxValid_o;
+output		RxActive_o;
+output		RxError_o;
+input		RxEn_i;
+output	[1:0]	LineState;
+
+///////////////////////////////////////////////////////////////////
+//
+// Local Wires and Registers
+//
+
+reg		rxd_s0, rxd_s1,  rxd_s;
+reg		rxdp_s0, rxdp_s1, rxdp_s, rxdp_s_r;
+reg		rxdn_s0, rxdn_s1, rxdn_s, rxdn_s_r;
+reg		synced_d;
+wire		k, j, se0;
+reg		rxd_r;
+reg		rx_en;
+reg		rx_active;
+reg	[2:0]	bit_cnt;
+reg		rx_valid1, rx_valid;
+reg		shift_en;
+reg		sd_r;
+reg		sd_nrzi;
+reg	[7:0]	hold_reg;
+wire		drop_bit;	// Indicates a stuffed bit
+reg	[2:0]	one_cnt;
+
+reg	[1:0]	dpll_state, dpll_next_state;
+reg		fs_ce_d;
+reg		fs_ce;
+wire		change;
+wire		lock_en;
+reg	[2:0]	fs_state, fs_next_state;
+reg		rx_valid_r;
+reg		sync_err_d, sync_err;
+reg		bit_stuff_err;
+reg		se0_r, byte_err;
+reg		se0_s;
+
+///////////////////////////////////////////////////////////////////
+//
+// Misc Logic
+//
+
+assign RxActive_o = rx_active;
+assign RxValid_o = rx_valid;
+assign RxError_o = sync_err | bit_stuff_err | byte_err;
+assign DataIn_o = hold_reg;
+assign LineState = {rxdn_s1, rxdp_s1};
+
+always @(posedge clk)	rx_en <= RxEn_i;
+always @(posedge clk)	sync_err <= !rx_active & sync_err_d;
+
+///////////////////////////////////////////////////////////////////
+//
+// Synchronize Inputs
+//
+
+// First synchronize to the local system clock to
+// avoid metastability outside the sync block (*_s0).
+// Then make sure we see the signal for at least two
+// clock cycles stable to avoid glitches and noise
+
+always @(posedge clk)	rxd_s0  <= rxd;
+always @(posedge clk)	rxd_s1  <= rxd_s0;
+always @(posedge clk)							// Avoid detecting Line Glitches and noise
+	if(rxd_s0 && rxd_s1)	rxd_s <= 1'b1;
+	else
+	if(!rxd_s0 && !rxd_s1)	rxd_s <= 1'b0;
+
+always @(posedge clk)	rxdp_s0  <= rxdp;
+always @(posedge clk)	rxdp_s1  <= rxdp_s0;
+always @(posedge clk)	rxdp_s_r <= rxdp_s0 & rxdp_s1;
+always @(posedge clk)	rxdp_s   <= (rxdp_s0 & rxdp_s1) | rxdp_s_r;	// Avoid detecting Line Glitches and noise
+
+always @(posedge clk)	rxdn_s0  <= rxdn;
+always @(posedge clk)	rxdn_s1  <= rxdn_s0;
+always @(posedge clk)	rxdn_s_r <= rxdn_s0 & rxdn_s1;
+always @(posedge clk)	rxdn_s   <= (rxdn_s0 & rxdn_s1) | rxdn_s_r;	// Avoid detecting Line Glitches and noise
+
+assign k = !rxdp_s &  rxdn_s;
+assign j =  rxdp_s & !rxdn_s;
+assign se0 = !rxdp_s & !rxdn_s;
+
+always @(posedge clk)	if(fs_ce)	se0_s <= se0;
+
+///////////////////////////////////////////////////////////////////
+//
+// DPLL
+//
+
+// This design uses a clock enable to do 12Mhz timing and not a
+// real 12Mhz clock. Everything always runs at 48Mhz. We want to
+// make sure however, that the clock enable is always exactly in
+// the middle between two virtual 12Mhz rising edges.
+// We monitor rxdp and rxdn for any changes and do the appropiate
+// adjustments.
+// In addition to the locking done in the dpll FSM, we adjust the
+// final latch enable to compensate for various sync registers ...
+
+// Allow lockinf only when we are receiving
+assign	lock_en = rx_en;
+
+always @(posedge clk)	rxd_r <= rxd_s;
+
+// Edge detector
+assign change = rxd_r != rxd_s;
+
+// DPLL FSM
+`ifdef USB_ASYNC_REST
+always @(posedge clk or negedge rstn)
+`else
+always @(posedge clk)
+`endif
+	if(!rstn)	dpll_state <= 2'h1;
+	else		dpll_state <= dpll_next_state;
+
+always @(dpll_state or lock_en or change)
+   begin
+	fs_ce_d = 1'b0;
+	case(dpll_state)	// synopsys full_case parallel_case
+	   2'h0:
+		if(lock_en && change)	dpll_next_state = 2'h0;
+		else			dpll_next_state = 2'h1;
+	   2'h1:begin
+		fs_ce_d = 1'b1;
+		if(lock_en && change)	dpll_next_state = 2'h3;
+		else			dpll_next_state = 2'h2;
+		end
+	   2'h2:
+		if(lock_en && change)	dpll_next_state = 2'h0;
+		else			dpll_next_state = 2'h3;
+	   2'h3:
+		if(lock_en && change)	dpll_next_state = 2'h0;
+		else			dpll_next_state = 2'h0;
+	endcase
+   end
+
+// Compensate for sync registers at the input - allign full speed
+// clock enable to be in the middle between two bit changes ...
+reg	fs_ce_r1, fs_ce_r2;
+
+always @(posedge clk)	fs_ce_r1 <= fs_ce_d;
+always @(posedge clk)	fs_ce_r2 <= fs_ce_r1;
+always @(posedge clk)	fs_ce <= fs_ce_r2;
+
+
+///////////////////////////////////////////////////////////////////
+//
+// Find Sync Pattern FSM
+//
+
+parameter	FS_IDLE	= 3'h0,
+		K1	= 3'h1,
+		J1	= 3'h2,
+		K2	= 3'h3,
+		J2	= 3'h4,
+		K3	= 3'h5,
+		J3	= 3'h6,
+		K4	= 3'h7;
+
+`ifdef USB_ASYNC_REST
+always @(posedge clk or negedge rstn)
+`else
+always @(posedge clk)
+`endif
+	if(!rstn)	fs_state <= FS_IDLE;
+	else		fs_state <= fs_next_state;
+
+/***********************************************************
+       Dinesh.A, 7th Feb 2013
+       Sync Detection, when following pattern detected
+          k,j,k,j,k,j,k,k
+       Where k =1; if  rxdp == 0  and rxdn == 1 
+       Where j =1; if  rxdp == 1  and rxdn == 0
+************************************************************/
+always @(fs_state or fs_ce or k or j or rx_en or rx_active or se0 or se0_s)
+   begin
+	synced_d = 1'b0;
+	sync_err_d = 1'b0;
+	fs_next_state = fs_state;
+	if(fs_ce && !rx_active && !se0 && !se0_s)
+	   case(fs_state)	// synopsys full_case parallel_case
+		FS_IDLE:
+		     begin
+			if(k && rx_en)	fs_next_state = K1;
+		     end
+		K1:
+		     begin
+			if(j && rx_en)	fs_next_state = J1;
+			else
+			   begin
+					sync_err_d = 1'b1;
+					fs_next_state = FS_IDLE;
+			   end
+		     end
+		J1:
+		     begin
+			if(k && rx_en)	fs_next_state = K2;
+			else
+			   begin
+					sync_err_d = 1'b1;
+					fs_next_state = FS_IDLE;
+			   end
+		     end
+		K2:
+		     begin
+			if(j && rx_en)	fs_next_state = J2;
+			else
+			   begin
+					sync_err_d = 1'b1;
+					fs_next_state = FS_IDLE;
+			   end
+		     end
+		J2:
+		     begin
+			if(k && rx_en)	fs_next_state = K3;
+			else
+			   begin
+					sync_err_d = 1'b1;
+					fs_next_state = FS_IDLE;
+			   end
+		     end
+		K3:
+		     begin
+			if(j && rx_en)	fs_next_state = J3;
+			else
+			if(k && rx_en)
+			   begin
+					fs_next_state = FS_IDLE;	// Allow missing first K-J
+					synced_d = 1'b1;
+			   end
+			else
+			   begin
+					sync_err_d = 1'b1;
+					fs_next_state = FS_IDLE;
+			   end
+		     end
+		J3:
+		     begin
+			if(k && rx_en)	fs_next_state = K4;
+			else
+			   begin
+					sync_err_d = 1'b1;
+					fs_next_state = FS_IDLE;
+			   end
+		     end
+		K4:
+		     begin
+			if(k)	synced_d = 1'b1;
+			fs_next_state = FS_IDLE;
+		     end
+	   endcase
+   end
+
+///////////////////////////////////////////////////////////////////
+//
+// Generate RxActive
+//
+
+`ifdef USB_ASYNC_REST
+always @(posedge clk or negedge rstn)
+`else
+always @(posedge clk)
+`endif
+	if(!rstn)		rx_active <= 1'b0;
+	else
+	if(synced_d && rx_en)	rx_active <= 1'b1;
+	else
+	if(se0 && rx_valid_r)	rx_active <= 1'b0;
+
+always @(posedge clk)
+	if(rx_valid)	rx_valid_r <= 1'b1;
+	else
+	if(fs_ce)	rx_valid_r <= 1'b0;
+
+///////////////////////////////////////////////////////////////////
+//
+// NRZI Decoder
+//
+
+always @(posedge clk)
+	if(fs_ce)	sd_r <= rxd_s;
+
+`ifdef USB_ASYNC_REST
+always @(posedge clk or negedge rstn)
+`else
+always @(posedge clk)
+`endif
+	if(!rstn)		sd_nrzi <= 1'b0;
+	else
+	if(!rx_active)		sd_nrzi <= 1'b1;
+	else
+	if(rx_active && fs_ce)	sd_nrzi <= !(rxd_s ^ sd_r);
+
+///////////////////////////////////////////////////////////////////
+//
+// Bit Stuff Detect
+//
+
+`ifdef USB_ASYNC_REST
+always @(posedge clk or negedge rstn)
+`else
+always @(posedge clk)
+`endif
+	if(!rstn)	one_cnt <= 3'h0;
+	else
+	if(!shift_en)	one_cnt <= 3'h0;
+	else
+	if(fs_ce)
+	   begin
+		if(!sd_nrzi || drop_bit)	one_cnt <= 3'h0;
+		else				one_cnt <= one_cnt + 3'h1;
+	   end
+
+assign drop_bit = (one_cnt==3'h6);
+
+always @(posedge clk)	bit_stuff_err <= drop_bit & sd_nrzi & fs_ce & !se0 & rx_active; // Bit Stuff Error
+
+///////////////////////////////////////////////////////////////////
+//
+// Serial => Parallel converter
+//
+
+always @(posedge clk)
+	if(fs_ce)	shift_en <= synced_d | rx_active;
+
+always @(posedge clk)
+	if(fs_ce && shift_en && !drop_bit)
+		hold_reg <= {sd_nrzi, hold_reg[7:1]};
+
+///////////////////////////////////////////////////////////////////
+//
+// Generate RxValid
+//
+
+`ifdef USB_ASYNC_REST
+always @(posedge clk or negedge rstn)
+`else
+always @(posedge clk)
+`endif
+	if(!rstn)		bit_cnt <= 3'b0;
+	else
+	if(!shift_en)		bit_cnt <= 3'h0;
+	else
+	if(fs_ce && !drop_bit)	bit_cnt <= bit_cnt + 3'h1;
+
+`ifdef USB_ASYNC_REST
+always @(posedge clk or negedge rstn)
+`else
+always @(posedge clk)
+`endif
+	if(!rstn)					rx_valid1 <= 1'b0;
+	else
+	if(fs_ce && !drop_bit && (bit_cnt==3'h7))	rx_valid1 <= 1'b1;
+	else
+	if(rx_valid1 && fs_ce && !drop_bit)		rx_valid1 <= 1'b0;
+
+always @(posedge clk)	rx_valid <= !drop_bit & rx_valid1 & fs_ce;
+
+always @(posedge clk)	se0_r <= se0;
+
+always @(posedge clk)	byte_err <= se0 & !se0_r & (|bit_cnt[2:1]) & rx_active;
+
+endmodule
+
diff --git a/verilog/dv/bfm/usb_device/phy/usb1d_tx_phy.v b/verilog/dv/bfm/usb_device/phy/usb1d_tx_phy.v
new file mode 100755
index 0000000..0c0f9fd
--- /dev/null
+++ b/verilog/dv/bfm/usb_device/phy/usb1d_tx_phy.v
@@ -0,0 +1,461 @@
+/////////////////////////////////////////////////////////////////////
+////                                                             ////
+////  USB 1.1 PHY                                                ////
+////  TX                                                         ////
+////                                                             ////
+////                                                             ////
+////  Author: Rudolf Usselmann                                   ////
+////          rudi@asics.ws                                      ////
+////                                                             ////
+////                                                             ////
+////  Downloaded from: http://www.opencores.org/cores/usb_phy/   ////
+////                                                             ////
+/////////////////////////////////////////////////////////////////////
+////                                                             ////
+//// Copyright (C) 2000-2002 Rudolf Usselmann                    ////
+////                         www.asics.ws                        ////
+////                         rudi@asics.ws                       ////
+////                                                             ////
+//// This source file may be used and distributed without        ////
+//// restriction provided that this copyright statement is not   ////
+//// removed from the file and that any derivative work contains ////
+//// the original copyright notice and the associated disclaimer.////
+////                                                             ////
+////     THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY     ////
+//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   ////
+//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   ////
+//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      ////
+//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         ////
+//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    ////
+//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   ////
+//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        ////
+//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  ////
+//// LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  ////
+//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  ////
+//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         ////
+//// POSSIBILITY OF SUCH DAMAGE.                                 ////
+////                                                             ////
+/////////////////////////////////////////////////////////////////////
+
+//  CVS Log
+//
+//  $Id: usb_tx_phy.v,v 1.4 2004-10-19 09:29:07 rudi Exp $
+//
+//  $Date: 2004-10-19 09:29:07 $
+//  $Revision: 1.4 $
+//  $Author: rudi $
+//  $Locker:  $
+//  $State: Exp $
+//
+// Change History:
+//               $Log: not supported by cvs2svn $
+//               Revision 1.3  2003/10/21 05:58:41  rudi
+//               usb_rst is no longer or'ed with the incomming reset internally.
+//               Now usb_rst is simply an output, the application can decide how
+//               to utilize it.
+//
+//               Revision 1.2  2003/10/19 17:40:13  rudi
+//               - Made core more robust against line noise
+//               - Added Error Checking and Reporting
+//               (See README.txt for more info)
+//
+//               Revision 1.1.1.1  2002/09/16 14:27:02  rudi
+//               Created Directory Structure
+//
+//
+//
+//
+//
+//
+//
+
+
+module usb1d_tx_phy(
+		clk, rstn, fs_ce, phy_mode,
+	
+		// Transciever Interface
+		txdp, txdn, txoe,	
+
+		// UTMI Interface
+		DataOut_i, TxValid_i, TxReady_o
+		);
+
+input		clk;
+input		rstn;
+input		fs_ce;
+input		phy_mode;
+output		txdp, txdn, txoe;
+input	[7:0]	DataOut_i;
+input		TxValid_i;
+output		TxReady_o;
+
+///////////////////////////////////////////////////////////////////
+//
+// Local Wires and Registers
+//
+
+parameter	IDLE	= 3'd0,
+		SOP	= 3'h1,
+		DATA	= 3'h2,
+		EOP1	= 3'h3,
+		EOP2	= 3'h4,
+		WAIT	= 3'h5;
+
+reg		TxReady_o;
+reg	[2:0]	state, next_state;
+reg		tx_ready_d;
+reg		ld_sop_d;
+reg		ld_data_d;
+reg		ld_eop_d;
+reg		tx_ip;
+reg		tx_ip_sync;
+reg	[2:0]	bit_cnt;
+reg	[7:0]	hold_reg;
+reg	[7:0]	hold_reg_d;
+
+reg		sd_raw_o;
+wire		hold;
+reg		data_done;
+reg		sft_done;
+reg		sft_done_r;
+wire		sft_done_e;
+reg		ld_data;
+wire		eop_done;
+reg	[2:0]	one_cnt;
+wire		stuff;
+reg		sd_bs_o;
+reg		sd_nrzi_o;
+reg		append_eop;
+reg		append_eop_sync1;
+reg		append_eop_sync2;
+reg		append_eop_sync3;
+reg		append_eop_sync4;
+reg		txdp, txdn;
+reg		txoe_r1, txoe_r2;
+reg		txoe;
+
+///////////////////////////////////////////////////////////////////
+//
+// Misc Logic
+//
+
+`ifdef USB_ASYNC_REST
+always @(posedge clk or negedge rstn)
+`else
+always @(posedge clk)
+`endif
+	if(!rstn)	TxReady_o <= 1'b0;
+	else		TxReady_o <= tx_ready_d & TxValid_i;
+
+always @(posedge clk) ld_data <= ld_data_d;
+
+///////////////////////////////////////////////////////////////////
+//
+// Transmit in progress indicator
+//
+
+`ifdef USB_ASYNC_REST
+always @(posedge clk or negedge rstn)
+`else
+always @(posedge clk)
+`endif
+	if(!rstn)	tx_ip <= 1'b0;
+	else
+	if(ld_sop_d)	tx_ip <= 1'b1;
+	else
+	if(eop_done)	tx_ip <= 1'b0;
+
+`ifdef USB_ASYNC_REST
+always @(posedge clk or negedge rstn)
+`else
+always @(posedge clk)
+`endif
+	if(!rstn)		tx_ip_sync <= 1'b0;
+	else
+	if(fs_ce)		tx_ip_sync <= tx_ip;
+
+// data_done helps us to catch cases where TxValid drops due to
+// packet end and then gets re-asserted as a new packet starts.
+// We might not see this because we are still transmitting.
+// data_done should solve those cases ...
+`ifdef USB_ASYNC_REST
+always @(posedge clk or negedge rstn)
+`else
+always @(posedge clk)
+`endif
+	if(!rstn)			data_done <= 1'b0;
+	else
+	if(TxValid_i && ! tx_ip)	data_done <= 1'b1;
+	else
+	if(!TxValid_i)			data_done <= 1'b0;
+
+///////////////////////////////////////////////////////////////////
+//
+// Shift Register
+//
+
+`ifdef USB_ASYNC_REST
+always @(posedge clk or negedge rstn)
+`else
+always @(posedge clk)
+`endif
+	if(!rstn)		bit_cnt <= 3'h0;
+	else
+	if(!tx_ip_sync)		bit_cnt <= 3'h0;
+	else
+	if(fs_ce && !hold)	bit_cnt <= bit_cnt + 3'h1;
+
+assign hold = stuff;
+
+always @(posedge clk)
+	if(!tx_ip_sync)		sd_raw_o <= 1'b0;
+	else
+	case(bit_cnt)	// synopsys full_case parallel_case
+	   3'h0: sd_raw_o <= hold_reg_d[0];
+	   3'h1: sd_raw_o <= hold_reg_d[1];
+	   3'h2: sd_raw_o <= hold_reg_d[2];
+	   3'h3: sd_raw_o <= hold_reg_d[3];
+	   3'h4: sd_raw_o <= hold_reg_d[4];
+	   3'h5: sd_raw_o <= hold_reg_d[5];
+	   3'h6: sd_raw_o <= hold_reg_d[6];
+	   3'h7: sd_raw_o <= hold_reg_d[7];
+	endcase
+
+always @(posedge clk)
+	sft_done <= !hold & (bit_cnt == 3'h7);
+
+always @(posedge clk)
+	sft_done_r <= sft_done;
+
+assign sft_done_e = sft_done & !sft_done_r;
+
+// Out Data Hold Register
+always @(posedge clk)
+	if(ld_sop_d)	hold_reg <= 8'h80;
+	else
+	if(ld_data)	hold_reg <= DataOut_i;
+
+always @(posedge clk) hold_reg_d <= hold_reg;
+
+///////////////////////////////////////////////////////////////////
+//
+// Bit Stuffer
+//
+
+`ifdef USB_ASYNC_REST
+always @(posedge clk or negedge rstn)
+`else
+always @(posedge clk)
+`endif
+	if(!rstn)	one_cnt <= 3'h0;
+	else
+	if(!tx_ip_sync)	one_cnt <= 3'h0;
+	else
+	if(fs_ce)
+	   begin
+		if(!sd_raw_o || stuff)	one_cnt <= 3'h0;
+		else			one_cnt <= one_cnt + 3'h1;
+	   end
+
+assign stuff = (one_cnt==3'h6);
+
+`ifdef USB_ASYNC_REST
+always @(posedge clk or negedge rstn)
+`else
+always @(posedge clk)
+`endif
+	if(!rstn)	sd_bs_o <= 1'h0;
+	else
+	if(fs_ce)	sd_bs_o <= !tx_ip_sync ? 1'b0 : (stuff ? 1'b0 : sd_raw_o);
+
+///////////////////////////////////////////////////////////////////
+//
+// NRZI Encoder
+//
+
+`ifdef USB_ASYNC_REST
+always @(posedge clk or negedge rstn)
+`else
+always @(posedge clk)
+`endif
+	if(!rstn)			sd_nrzi_o <= 1'b1;
+	else
+	if(!tx_ip_sync || !txoe_r1)	sd_nrzi_o <= 1'b1;
+	else
+	if(fs_ce)			sd_nrzi_o <= sd_bs_o ? sd_nrzi_o : ~sd_nrzi_o;
+
+///////////////////////////////////////////////////////////////////
+//
+// EOP append logic
+//
+
+`ifdef USB_ASYNC_REST
+always @(posedge clk or negedge rstn)
+`else
+always @(posedge clk)
+`endif
+	if(!rstn)		append_eop <= 1'b0;
+	else
+	if(ld_eop_d)		append_eop <= 1'b1;
+	else
+	if(append_eop_sync2)	append_eop <= 1'b0;
+
+`ifdef USB_ASYNC_REST
+always @(posedge clk or negedge rstn)
+`else
+always @(posedge clk)
+`endif
+	if(!rstn)	append_eop_sync1 <= 1'b0;
+	else
+	if(fs_ce)	append_eop_sync1 <= append_eop;
+
+`ifdef USB_ASYNC_REST
+always @(posedge clk or negedge rstn)
+`else
+always @(posedge clk)
+`endif
+	if(!rstn)	append_eop_sync2 <= 1'b0;
+	else
+	if(fs_ce)	append_eop_sync2 <= append_eop_sync1;
+
+`ifdef USB_ASYNC_REST
+always @(posedge clk or negedge rstn)
+`else
+always @(posedge clk)
+`endif
+	if(!rstn)	append_eop_sync3 <= 1'b0;
+	else
+	if(fs_ce)	append_eop_sync3 <= append_eop_sync2 |
+			(append_eop_sync3 & !append_eop_sync4);	// Make sure always 2 bit wide
+
+`ifdef USB_ASYNC_REST
+always @(posedge clk or negedge rstn)
+`else
+always @(posedge clk)
+`endif
+	if(!rstn)	append_eop_sync4 <= 1'b0;
+	else
+	if(fs_ce)	append_eop_sync4 <= append_eop_sync3;
+
+assign eop_done = append_eop_sync3;
+
+///////////////////////////////////////////////////////////////////
+//
+// Output Enable Logic
+//
+
+`ifdef USB_ASYNC_REST
+always @(posedge clk or negedge rstn)
+`else
+always @(posedge clk)
+`endif
+	if(!rstn)	txoe_r1 <= 1'b0;
+	else
+	if(fs_ce)	txoe_r1 <= tx_ip_sync;
+
+`ifdef USB_ASYNC_REST
+always @(posedge clk or negedge rstn)
+`else
+always @(posedge clk)
+`endif
+	if(!rstn)	txoe_r2 <= 1'b0;
+	else
+	if(fs_ce)	txoe_r2 <= txoe_r1;
+
+`ifdef USB_ASYNC_REST
+always @(posedge clk or negedge rstn)
+`else
+always @(posedge clk)
+`endif
+	if(!rstn)	txoe <= 1'b1;
+	else
+	if(fs_ce)	txoe <= !(txoe_r1 | txoe_r2);
+
+///////////////////////////////////////////////////////////////////
+//
+// Output Registers
+//
+
+`ifdef USB_ASYNC_REST
+always @(posedge clk or negedge rstn)
+`else
+always @(posedge clk)
+`endif
+	if(!rstn)	txdp <= 1'b1;
+	else
+	if(fs_ce)	txdp <= phy_mode ?
+					(!append_eop_sync3 &  sd_nrzi_o) :
+					sd_nrzi_o;
+
+`ifdef USB_ASYNC_REST
+always @(posedge clk or negedge rstn)
+`else
+always @(posedge clk)
+`endif
+	if(!rstn)	txdn <= 1'b0;
+	else
+	if(fs_ce)	txdn <= phy_mode ?
+					(!append_eop_sync3 & ~sd_nrzi_o) :
+					append_eop_sync3;
+
+///////////////////////////////////////////////////////////////////
+//
+// Tx Statemashine
+//
+
+`ifdef USB_ASYNC_REST
+always @(posedge clk or negedge rstn)
+`else
+always @(posedge clk)
+`endif
+	if(!rstn)	state <= IDLE;
+	else		state <= next_state;
+
+always @(state or TxValid_i or data_done or sft_done_e or eop_done or fs_ce)
+   begin
+	next_state = state;
+	tx_ready_d = 1'b0;
+
+	ld_sop_d = 1'b0;
+	ld_data_d = 1'b0;
+	ld_eop_d = 1'b0;
+
+	case(state)	// synopsys full_case parallel_case
+	   IDLE:
+			if(TxValid_i)
+			   begin
+				ld_sop_d = 1'b1;
+				next_state = SOP;
+			   end
+	   SOP:
+			if(sft_done_e)
+			   begin
+				tx_ready_d = 1'b1;
+				ld_data_d = 1'b1;
+				next_state = DATA;
+			   end
+	   DATA:
+		   begin
+			if(!data_done && sft_done_e)
+			   begin
+				ld_eop_d = 1'b1;
+				next_state = EOP1;
+			   end
+			
+			if(data_done && sft_done_e)
+			   begin
+				tx_ready_d = 1'b1;
+				ld_data_d = 1'b1;
+			   end
+		   end
+	   EOP1:
+			if(eop_done)		next_state = EOP2;
+	   EOP2:
+			if(!eop_done && fs_ce)	next_state = WAIT;
+	   WAIT:
+			if(fs_ce)		next_state = IDLE;
+	endcase
+   end
+
+endmodule
+
diff --git a/verilog/dv/bfm/usb_device/top/usb1d_top.v b/verilog/dv/bfm/usb_device/top/usb1d_top.v
new file mode 100755
index 0000000..f61c1b2
--- /dev/null
+++ b/verilog/dv/bfm/usb_device/top/usb1d_top.v
@@ -0,0 +1,411 @@
+//////////////////////////////////////////////////////////////////////

+////                                                              ////

+////                                                              ////

+////  Description                                                 ////

+////  USB Device level integration.                               ////

+////     Following modules are integrated                         ////

+////         1. usb1_phy                                          ////

+////         2. usb1_core                                         ////

+////   Ver 0.1 : 01.Mar.2013                                      ////

+////                                                              ////

+////  To Do:                                                      ////

+////    nothing                                                   ////

+////                                                              ////

+////  Author(s):                                                  ////

+////      - Dinesh Annayya, dinesha@opencores.org                 ////

+////                                                              ////

+//////////////////////////////////////////////////////////////////////

+////                                                              ////

+//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////

+////                                                              ////

+//// This source file may be used and distributed without         ////

+//// restriction provided that this copyright statement is not    ////

+//// removed from the file and that any derivative work contains  ////

+//// the original copyright notice and the associated disclaimer. ////

+////                                                              ////

+//// This source file is free software; you can redistribute it   ////

+//// and/or modify it under the terms of the GNU Lesser General   ////

+//// Public License as published by the Free Software Foundation; ////

+//// either version 2.1 of the License, or (at your option) any   ////

+//// later version.                                               ////

+////                                                              ////

+//// This source is distributed in the hope that it will be       ////

+//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////

+//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////

+//// PURPOSE.  See the GNU Lesser General Public License for more ////

+//// details.                                                     ////

+////                                                              ////

+//// You should have received a copy of the GNU Lesser General    ////

+//// Public License along with this source; if not, download it   ////

+//// from http://www.opencores.org/lgpl.shtml                     ////

+////                                                              ////

+//////////////////////////////////////////////////////////////////////

+

+

+module usb1d_top(

+        clk_i, 

+        rstn_i,

+

+        // Transciever Interface

+	usb_dp,

+	usb_dn,

+

+        // USB Misc

+        phy_tx_mode ,

+        usb_rst,

+

+        // Interrupts

+        dropped_frame, 

+        misaligned_frame,

+        crc16_err,

+

+        // Vendor Features

+        v_set_int, 

+        v_set_feature, 

+        wValue,

+        wIndex, 

+        vendor_data,

+

+        // USB Status

+        usb_busy, 

+        ep_sel,

+

+        // Endpoint Interface

+        ep1_cfg,

+        ep1_din,  

+        ep1_we, 

+        ep1_full,

+        ep1_dout, 

+        ep1_re, 

+        ep1_empty,

+        ep1_bf_en, 

+        ep1_bf_size,

+

+        ep2_cfg,

+        ep2_din,  

+        ep2_we, 

+        ep2_full,

+        ep2_dout, 

+        ep2_re, 

+        ep2_empty,

+        ep2_bf_en, 

+        ep2_bf_size,

+

+        ep3_cfg,

+        ep3_din,  

+        ep3_we, 

+        ep3_full,

+        ep3_dout, 

+        ep3_re, 

+        ep3_empty,

+        ep3_bf_en, 

+        ep3_bf_size,

+

+        ep4_cfg,

+        ep4_din,  

+        ep4_we, 

+        ep4_full,

+        ep4_dout, 

+        ep4_re, 

+        ep4_empty,

+        ep4_bf_en, 

+        ep4_bf_size,

+

+        ep5_cfg,

+        ep5_din,  

+        ep5_we, 

+        ep5_full,

+        ep5_dout, 

+        ep5_re, 

+        ep5_empty,

+        ep5_bf_en, 

+        ep5_bf_size,

+

+        ep6_cfg,

+        ep6_din,  

+        ep6_we, ep6_full,

+        ep6_dout, ep6_re, ep6_empty,

+        ep6_bf_en, ep6_bf_size,

+

+        ep7_cfg,

+        ep7_din,  ep7_we, ep7_full,

+        ep7_dout, ep7_re, ep7_empty,

+        ep7_bf_en, ep7_bf_size,

+		// Register Interface

+		reg_addr,

+		reg_rdwrn,

+		reg_req,

+		reg_wdata,

+		reg_rdata,

+		reg_ack

+

+        );      

+

+input           clk_i;

+input           rstn_i;

+

+inout     	usb_dp;

+inout     	usb_dn;

+

+input           phy_tx_mode;

+output          usb_rst;

+output          dropped_frame, misaligned_frame;

+output          crc16_err;

+

+output          v_set_int;

+output          v_set_feature;

+output  [15:0]  wValue;

+output  [15:0]  wIndex;

+input   [15:0]  vendor_data;

+

+output          usb_busy;

+output  [3:0]   ep_sel;

+

+// Endpoint Interfaces

+input   [13:0]  ep1_cfg;

+input   [7:0]   ep1_din;

+output  [7:0]   ep1_dout;

+output          ep1_we, ep1_re;

+input           ep1_empty, ep1_full;

+input           ep1_bf_en;

+input   [6:0]   ep1_bf_size;

+

+input   [13:0]  ep2_cfg;

+input   [7:0]   ep2_din;

+output  [7:0]   ep2_dout;

+output          ep2_we, ep2_re;

+input           ep2_empty, ep2_full;

+input           ep2_bf_en;

+input   [6:0]   ep2_bf_size;

+

+input   [13:0]  ep3_cfg;

+input   [7:0]   ep3_din;

+output  [7:0]   ep3_dout;

+output          ep3_we, ep3_re;

+input           ep3_empty, ep3_full;

+input           ep3_bf_en;

+input   [6:0]   ep3_bf_size;

+

+input   [13:0]  ep4_cfg;

+input   [7:0]   ep4_din;

+output  [7:0]   ep4_dout;

+output          ep4_we, ep4_re;

+input           ep4_empty, ep4_full;

+input           ep4_bf_en;

+input   [6:0]   ep4_bf_size;

+

+input   [13:0]  ep5_cfg;

+input   [7:0]   ep5_din;

+output  [7:0]   ep5_dout;

+output          ep5_we, ep5_re;

+input           ep5_empty, ep5_full;

+input           ep5_bf_en;

+input   [6:0]   ep5_bf_size;

+

+input   [13:0]  ep6_cfg;

+input   [7:0]   ep6_din;

+output  [7:0]   ep6_dout;

+output          ep6_we, ep6_re;

+input           ep6_empty, ep6_full;

+input           ep6_bf_en;

+input   [6:0]   ep6_bf_size;

+

+input   [13:0]  ep7_cfg;

+input   [7:0]   ep7_din;

+output  [7:0]   ep7_dout;

+output          ep7_we, ep7_re;

+input           ep7_empty, ep7_full;

+input           ep7_bf_en;

+input   [6:0]   ep7_bf_size;

+

+

+//-----------------------------------

+// Register Interface

+// ----------------------------------

+output [31:0]   reg_addr;   // Register Address

+output          reg_rdwrn;  // 0 -> write, 1-> read

+output          reg_req;    //  Register Req

+output [31:0]   reg_wdata;  // Register write data

+input [31:0]    reg_rdata;  // Register Read Data

+input           reg_ack;    // Register Ack

+///////////////////////////////////////////////////////////////////

+// Local Wires and Registers

+///////////////////////////////////////////////////////////////////

+//------------------------------------

+// UTMI Interface

+// -----------------------------------

+wire    [7:0]   DataOut;

+wire            TxValid;

+wire            TxReady;

+wire    [7:0]   DataIn;

+wire            RxValid;

+wire            RxActive;

+wire            RxError;

+wire    [1:0]   LineState;

+wire            clk;

+wire            rst;

+wire            phy_tx_mode;

+wire            usb_rst;

+

+// USB Traceiver interface

+wire          usb_txdp; // USB TX + 

+wire          usb_txdn; // USB TX -

+wire          usb_txoe; // USB TX OEN, Output driven at txoe=0

+wire          usb_rxd; 

+wire          usb_rxdp;  // USB RX+

+wire          usb_rxdn;  // USB RX-

+

+assign  usb_dp = (usb_txoe == 1'b0) ? usb_txdp  : 1'bz;

+assign  usb_dn = (usb_txoe == 1'b0) ? usb_txdn  : 1'bz;

+

+assign  usb_rxd =  usb_dp;

+assign  usb_rxdp = usb_dp;

+assign  usb_rxdn = usb_dn;

+

+    

+usb1d_phy u_usb_phy(

+                    .clk                ( clk_i             ),

+                    .rstn               ( rstn_i             ),  

+                    .phy_tx_mode        ( phy_tx_mode       ),

+                    .usb_rst            ( usb_rst           ),

+

+        // Transceiver Interface

+                    .rxd                ( usb_rxd           ),

+                    .rxdp               ( usb_rxdp          ),

+                    .rxdn               ( usb_rxdn          ),

+                    .txdp               ( usb_txdp          ),

+                    .txdn               ( usb_txdn          ),

+                    .txoe               ( usb_txoe          ),

+

+        // UTMI Interface

+                    .DataIn_o           ( DataIn            ),

+                    .RxValid_o          ( RxValid           ),

+                    .RxActive_o         ( RxActive          ),

+                    .RxError_o          ( RxError           ),

+                    .DataOut_i          ( DataOut           ),

+                    .TxValid_i          ( TxValid           ),

+                    .TxReady_o          ( TxReady           ),

+                    .LineState_o        ( LineState         )

+        );

+

+

+usb1d_core  u_usb_core(

+                    .clk_i              ( clk_i             ), 

+                    .rst_i              ( rstn_i             ),

+

+

+                 // USB Misc

+                    .phy_tx_mode        ( phy_tx_mode       ), 

+                    .usb_rst            ( usb_rst           ), 

+

+                                        // UTMI Interface

+                    .DataIn             ( DataIn            ),

+                    .RxValid            ( RxValid           ),

+                    .RxActive           ( RxActive          ),

+                    .RxError            ( RxError           ),

+                    .DataOut            ( DataOut           ),

+                    .TxValid            ( TxValid           ),

+                    .TxReady            ( TxReady           ),

+                    .LineState          ( LineState         ),

+

+                 // Interrupts

+                    .dropped_frame      ( dropped_frame     ), 

+                    .misaligned_frame   ( misaligned_frame  ),

+                    .crc16_err          ( crc16_err         ),

+

+                  // Vendor Features

+                    .v_set_int          ( v_set_int         ), 

+                    .v_set_feature      ( v_set_feature     ), 

+                    .wValue             ( wValue            ),

+                    .wIndex             ( wIndex            ), 

+                    .vendor_data        ( vendor_data       ),

+

+        // USB Status

+                    .usb_busy           ( usb_busy          ), 

+                    .ep_sel             ( ep_sel            ),

+

+        // Endpoint Interface

+                    .ep1_cfg            ( ep1_cfg           ),

+                    .ep1_din            ( ep1_din           ),  

+                    .ep1_we             ( ep1_we            ), 

+                    .ep1_full           ( ep1_full          ),

+                    .ep1_dout           ( ep1_dout          ), 

+                    .ep1_re             ( ep1_re            ), 

+                    .ep1_empty          ( ep1_empty         ),

+                    .ep1_bf_en          ( ep1_bf_en         ), 

+                    .ep1_bf_size        ( ep1_bf_size       ),

+

+                    .ep2_cfg            ( ep2_cfg           ),

+                    .ep2_din            ( ep2_din           ),  

+                    .ep2_we             ( ep2_we            ), 

+                    .ep2_full           ( ep2_full          ),

+                    .ep2_dout           ( ep2_dout          ), 

+                    .ep2_re             ( ep2_re            ), 

+                    .ep2_empty          ( ep2_empty         ),

+                    .ep2_bf_en          ( ep2_bf_en         ), 

+                    .ep2_bf_size        ( ep2_bf_size       ),

+

+                    .ep3_cfg            ( ep3_cfg           ),

+                    .ep3_din            ( ep3_din           ),  

+                    .ep3_we             ( ep3_we            ), 

+                    .ep3_full           ( ep3_full          ),

+                    .ep3_dout           ( ep3_dout          ), 

+                    .ep3_re             ( ep3_re            ), 

+                    .ep3_empty          ( ep3_empty         ),

+                    .ep3_bf_en          ( ep3_bf_en         ), 

+                    .ep3_bf_size        ( ep3_bf_size       ),

+

+                    .ep4_cfg            ( ep4_cfg           ),

+                    .ep4_din            ( ep4_din           ),  

+                    .ep4_we             ( ep4_we            ), 

+                    .ep4_full           ( ep4_full          ),

+                    .ep4_dout           ( ep4_dout          ), 

+                    .ep4_re             ( ep4_re            ), 

+                    .ep4_empty          ( ep4_empty         ),

+                    .ep4_bf_en          ( ep4_bf_en         ), 

+                    .ep4_bf_size        ( ep4_bf_size       ),

+

+                    .ep5_cfg            ( ep5_cfg           ),

+                    .ep5_din            ( ep5_din           ),  

+                    .ep5_we             ( ep5_we            ), 

+                    .ep5_full           ( ep5_full          ),

+                    .ep5_dout           ( ep5_dout          ), 

+                    .ep5_re             ( ep5_re            ), 

+                    .ep5_empty          ( ep5_empty         ),

+                    .ep5_bf_en          ( ep5_bf_en         ), 

+                    .ep5_bf_size        ( ep5_bf_size       ),

+

+                    .ep6_cfg            ( ep6_cfg           ),

+                    .ep6_din            ( ep6_din           ),  

+                    .ep6_we             ( ep6_we            ), 

+                    .ep6_full           ( ep6_full          ),

+                    .ep6_dout           ( ep6_dout          ), 

+                    .ep6_re             ( ep6_re            ), 

+                    .ep6_empty          ( ep6_empty         ),

+                    .ep6_bf_en          ( ep6_bf_en         ), 

+                    .ep6_bf_size        ( ep6_bf_size       ),

+

+                    .ep7_cfg            ( ep7_cfg           ),

+                    .ep7_din            ( ep7_din           ),  

+                    .ep7_we             ( ep7_we            ), 

+                    .ep7_full           ( ep7_full          ),

+                    .ep7_dout           ( ep7_dout          ), 

+                    .ep7_re             ( ep7_re            ), 

+                    .ep7_empty          ( ep7_empty         ),

+                    .ep7_bf_en          ( ep7_bf_en         ), 

+                    .ep7_bf_size        ( ep7_bf_size       ),

+

+                // Register Interface

+                    .reg_addr           ( reg_addr          ),

+                    .reg_rdwrn          ( reg_rdwrn         ),

+                    .reg_req            ( reg_req           ),

+                    .reg_wdata          ( reg_wdata         ),

+                    .reg_rdata          ( reg_rdata         ),

+                    .reg_ack            ( reg_ack           )

+

+

+        );      

+

+

+

+endmodule

diff --git a/verilog/dv/bfm/usbd_files.v b/verilog/dv/bfm/usbd_files.v
new file mode 100644
index 0000000..4087cf0
--- /dev/null
+++ b/verilog/dv/bfm/usbd_files.v
@@ -0,0 +1,19 @@
+`include "usb_device/core/usb1d_core.v"
+`include "usb_device/core/usb1d_ctrl.v"
+`include "usb_device/core/usb1d_generic_dpram.v"
+`include "usb_device/core/usb1d_pa.v"
+`include "usb_device/core/usb1d_pl.v"
+`include "usb_device/core/usb1d_utmi_if.v"
+`include "usb_device/core/usb1d_crc16.v"
+`include "usb_device/core/usb1d_generic_fifo.v"
+`include "usb_device/core/usb1d_pd.v"
+`include "usb_device/core/usb1d_rom1.v"
+`include "usb_device/core/usb1d_crc5.v"
+`include "usb_device/core/usb1d_fifo2.v"
+`include "usb_device/core/usb1d_idma.v"
+`include "usb_device/core/usb1d_pe.v"
+`include "usb_device/core/usb1d_sync_fifo.v"
+`include "usb_device/phy/usb1d_rx_phy.v"
+`include "usb_device/phy/usb1d_phy.v"
+`include "usb_device/phy/usb1d_tx_phy.v"
+`include "usb_device/top/usb1d_top.v"
diff --git a/verilog/dv/uart_master/uart_master_tb.v b/verilog/dv/uart_master/uart_master_tb.v
index 4636dce..aa4d9f6 100644
--- a/verilog/dv/uart_master/uart_master_tb.v
+++ b/verilog/dv/uart_master/uart_master_tb.v
@@ -88,6 +88,8 @@
 
 	initial begin
 
+		$display("Test Started ...");
+		$dumpon;
 		// Repeat cycles of 1000 clock edges as needed to complete testbench
 		repeat (400) begin
 			repeat (1000) @(posedge clock);
diff --git a/verilog/dv/user_basic/user_basic_tb.v b/verilog/dv/user_basic/user_basic_tb.v
index 99e0acb..dedd260 100644
--- a/verilog/dv/user_basic/user_basic_tb.v
+++ b/verilog/dv/user_basic/user_basic_tb.v
@@ -179,62 +179,61 @@
           // cfg_wb_clk_ctrl      = reg_0[11:9];
           // cfg_rtc_clk_ctrl     = reg_0[19:12];
           // cfg_cpu_clk_ctrl     = reg_0[23:20];
-          // cfg_sdram_clk_ctrl   = reg_0[27:24];
-          // cfg_usb_clk_ctrl     = reg_0[31:28];
+          // cfg_usb_clk_ctrl     = reg_0[31:24];
 	  $display("Step-1, CPU: CLOCK1, RTC: CLOCK2 *2, USB: CLOCK2, WBS:CLOCK1");
 	  test_step = 1;
-          wb_user_core_write('h3080_0000,{4'h0,4'h0,4'h0,8'h0,4'h0,8'h00});
+          wb_user_core_write('h3080_0000,{8'h0,4'h0,8'h0,4'h0,8'h00});
 	  clock_monitor(CLK1_PERIOD,CLK2_PERIOD*2,CLK2_PERIOD,CLK1_PERIOD);
 
 	  $display("Step-2, CPU: CLOCK2, RTC: CLOCK2/(2+1), USB: CLOCK2/2, WBS:CLOCK2");
 	  test_step = 2;
-          wb_user_core_write('h3080_0000,{4'h8,4'h0,4'h8,8'h1,4'h8,8'h00});
+          wb_user_core_write('h3080_0000,{8'h80,4'h8,8'h1,4'h8,8'h00});
 	  clock_monitor(CLK2_PERIOD,(3)*CLK2_PERIOD,2*CLK2_PERIOD,CLK2_PERIOD);
 
 	  $display("Step-3, CPU: CLOCK1/2, RTC: CLOCK2/(2+2), USB: CLOCK2/(2+1), WBS:CLOCK1/2");
 	  test_step = 3;
-          wb_user_core_write('h3080_0000,{4'h9,4'h0,4'h4,8'h2,4'h4,8'h00});
+          wb_user_core_write('h3080_0000,{8'h81,4'h4,8'h2,4'h4,8'h00});
 	  clock_monitor(2*CLK1_PERIOD,(4)*CLK2_PERIOD,3*CLK2_PERIOD,2*CLK1_PERIOD);
 
 	  $display("Step-4, CPU: CLOCK1/3, RTC: CLOCK2/(2+3), USB: CLOCK2/(2+2), WBS:CLOCK1/3");
 	  test_step = 4;
-          wb_user_core_write('h3080_0000,{4'hA,4'h0,4'h5,8'h3,4'h5,8'h00});
+          wb_user_core_write('h3080_0000,{8'h82,4'h5,8'h3,4'h5,8'h00});
 	  clock_monitor(3*CLK1_PERIOD,5*CLK2_PERIOD,4*CLK2_PERIOD,3*CLK1_PERIOD);
 
 	  $display("Step-5, CPU: CLOCK1/4, RTC: CLOCK2/(2+4), USB: CLOCK2/(2+3), WBS:CLOCK1/4");
 	  test_step = 5;
-          wb_user_core_write('h3080_0000,{4'hB,4'h0,4'h6,8'h4,4'h6,8'h00});
+          wb_user_core_write('h3080_0000,{8'h83,4'h6,8'h4,4'h6,8'h00});
 	  clock_monitor(4*CLK1_PERIOD,6*CLK2_PERIOD,5*CLK2_PERIOD,4*CLK1_PERIOD);
 
 	  $display("Step-6, CPU: CLOCK1/(2+3), RTC: CLOCK2/(2+5), USB: CLOCK2/(2+4), WBS:CLOCK1/(2+3)");
 	  test_step = 6;
-          wb_user_core_write('h3080_0000,{4'hC,4'h0,4'h7,8'h5,4'h7,8'h00});
+          wb_user_core_write('h3080_0000,{8'h84,4'h7,8'h5,4'h7,8'h00});
 	  clock_monitor(5*CLK1_PERIOD,7*CLK2_PERIOD,6*CLK2_PERIOD,5*CLK1_PERIOD);
 
 	  $display("Step-7, CPU: CLOCK2/(2), RTC: CLOCK2/(2+6), USB: CLOCK2/(2+5), WBS:CLOCK2/(2)");
 	  test_step = 7;
-          wb_user_core_write('h3080_0000,{4'hD,4'h0,4'hC,8'h6,4'hC,8'h00});
+          wb_user_core_write('h3080_0000,{8'h85,4'hC,8'h6,4'hC,8'h00});
 	  clock_monitor(2*CLK2_PERIOD,8*CLK2_PERIOD,7*CLK2_PERIOD,2*CLK2_PERIOD);
 
 	  $display("Step-8, CPU: CLOCK2/3, RTC: CLOCK2/(2+7), USB: CLOCK2/(2+6), WBS:CLOCK2/3");
 	  test_step = 8;
-          wb_user_core_write('h3080_0000,{4'hE,4'h0,4'hD,8'h7,4'hD,8'h00});
+          wb_user_core_write('h3080_0000,{8'h86,4'hD,8'h7,4'hD,8'h00});
 	  clock_monitor(3*CLK2_PERIOD,9*CLK2_PERIOD,8*CLK2_PERIOD,3*CLK2_PERIOD);
 
 	  $display("Step-9, CPU: CLOCK2/4, RTC: CLOCK2/(2+8), USB: CLOCK2/(2+7), WBS:CLOCK2/4");
 	  test_step = 9;
-          wb_user_core_write('h3080_0000,{4'hF,4'h0,4'hE,8'h8,4'hE,8'h00});
+          wb_user_core_write('h3080_0000,{8'h87,4'hE,8'h8,4'hE,8'h00});
 	  clock_monitor(4*CLK2_PERIOD,10*CLK2_PERIOD,9*CLK2_PERIOD,4*CLK2_PERIOD);
 
-	  $display("Step-10, CPU: CLOCK2/(2+3), RTC: CLOCK2/(2+128), USB: CLOCK2/(2+7), WBS:CLOCK1/(2+3)");
+	  $display("Step-10, CPU: CLOCK2/(2+3), RTC: CLOCK2/(2+128), USB: CLOCK2/(2+8), WBS:CLOCK1/(2+3)");
 	  test_step = 10;
-          wb_user_core_write('h3080_0000,{4'hF,4'h0,4'hF,8'h80,4'hF,8'h00});
-	  clock_monitor(5*CLK2_PERIOD,130*CLK2_PERIOD,9*CLK2_PERIOD,5*CLK2_PERIOD);
+          wb_user_core_write('h3080_0000,{8'h88,4'hF,8'h80,4'hF,8'h00});
+	  clock_monitor(5*CLK2_PERIOD,130*CLK2_PERIOD,10*CLK2_PERIOD,5*CLK2_PERIOD);
 
-	  $display("Step-10, CPU: CLOCK2/(2+3), RTC: CLOCK2/(2+255), USB: CLOCK2/(2+7), WBS:CLOCK2/(2+3)");
+	  $display("Step-10, CPU: CLOCK2/(2+3), RTC: CLOCK2/(2+255), USB: CLOCK2/(2+9), WBS:CLOCK2/(2+3)");
 	  test_step = 10;
-          wb_user_core_write('h3080_0000,{4'hF,4'h0,4'hF,8'hFF,4'hF,8'h00});
-	  clock_monitor(5*CLK2_PERIOD,257*CLK2_PERIOD,9*CLK2_PERIOD,5*CLK2_PERIOD);
+          wb_user_core_write('h3080_0000,{8'h89,4'hF,8'hFF,4'hF,8'h00});
+	  clock_monitor(5*CLK2_PERIOD,257*CLK2_PERIOD,11*CLK2_PERIOD,5*CLK2_PERIOD);
 
          $display("###################################################");
          $display("Monitor: Checking the chip signature :");
@@ -242,8 +241,8 @@
          wb_user_core_write('h3080_0000,'h1);
 
 	 wb_user_core_read_check(32'h30020058,read_data,32'h8273_8343);
-	 wb_user_core_read_check(32'h3002005C,read_data,32'h0203_2022);
-	 wb_user_core_read_check(32'h30020060,read_data,32'h0003_7000);
+	 wb_user_core_read_check(32'h3002005C,read_data,32'h1003_2022);
+	 wb_user_core_read_check(32'h30020060,read_data,32'h0003_8000);
 
       end
    
diff --git a/verilog/dv/user_usb/Makefile b/verilog/dv/user_usb/Makefile
new file mode 100644
index 0000000..3e082d3
--- /dev/null
+++ b/verilog/dv/user_usb/Makefile
@@ -0,0 +1,101 @@
+# SPDX-FileCopyrightText: 2020 Efabless Corporation
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+#      http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+# SPDX-License-Identifier: Apache-2.0
+
+## Caravel Pointers
+CARAVEL_ROOT ?= ../../../caravel
+CARAVEL_PATH ?= $(CARAVEL_ROOT)
+CARAVEL_FIRMWARE_PATH = $(CARAVEL_PATH)/verilog/dv/caravel
+CARAVEL_VERILOG_PATH  = $(CARAVEL_PATH)/verilog
+CARAVEL_RTL_PATH = $(CARAVEL_VERILOG_PATH)/rtl
+CARAVEL_BEHAVIOURAL_MODELS = $(CARAVEL_VERILOG_PATH)/dv/caravel
+
+
+## User Project Pointers
+UPRJ_VERILOG_PATH ?= ../../../verilog
+UPRJ_RTL_PATH = $(UPRJ_VERILOG_PATH)/rtl
+UPRJ_GL_PATH = $(UPRJ_VERILOG_PATH)/gl
+UPRJ_BEHAVIOURAL_MODELS = ../model
+UPRJ_BEHAVIOURAL_AGENTS = ../agents
+UPRJ_BEHAVIOURAL_BFM    = ../bfm
+UPRJ_INCLUDE_PATH1 = $(UPRJ_RTL_PATH)/yifive/ycr2c/src/includes
+UPRJ_INCLUDE_PATH2 = $(UPRJ_RTL_PATH)/sdram_ctrl/src/defs
+UPRJ_INCLUDE_PATH3 = $(UPRJ_RTL_PATH)/i2cm/src/includes
+UPRJ_INCLUDE_PATH4 = $(UPRJ_RTL_PATH)/usb1_host/src/includes
+UPRJ_INCLUDE_PATH5 = $(UPRJ_RTL_PATH)/mbist/include
+
+## YIFIVE FIRMWARE
+YIFIVE_FIRMWARE_PATH = $(UPRJ_VERILOG_PATH)/dv/firmware
+GCC64_PREFIX?=riscv64-unknown-elf
+
+## RISCV GCC 
+GCC_PATH?=/ef/apps/bin
+GCC_PREFIX?=riscv32-unknown-elf
+PDK_PATH?=/opt/pdk/sky130A
+
+## Simulation mode: RTL/GL
+SIM?=RTL
+DUMP?=OFF
+RISC_CORE?=0
+
+.SUFFIXES:
+
+PATTERN = user_usb
+
+all:  ${PATTERN:=.vcd}
+
+
+vvp:  ${PATTERN:=.vvp}
+
+%.vvp: %_tb.v
+ifeq ($(SIM),RTL)
+   ifeq ($(DUMP),OFF)
+	iverilog -g2005-sv -DFUNCTIONAL -DSIM -I $(PDK_PATH) \
+	-I $(CARAVEL_BEHAVIOURAL_MODELS) -I $(CARAVEL_RTL_PATH) \
+	-I $(UPRJ_BEHAVIOURAL_MODELS)    -I $(UPRJ_RTL_PATH) -I $(UPRJ_VERILOG_PATH) \
+	-I $(UPRJ_BEHAVIOURAL_AGENTS)    -I $(UPRJ_BEHAVIOURAL_BFM) \
+	-I $(UPRJ_INCLUDE_PATH1)    -I $(UPRJ_INCLUDE_PATH2) -I $(UPRJ_INCLUDE_PATH3) \
+	-I $(UPRJ_INCLUDE_PATH4) -I $(UPRJ_INCLUDE_PATH5) \
+	$< -o $@ 
+    else  
+	iverilog -g2005-sv -DWFDUMP -DFUNCTIONAL -DSIM -I $(PDK_PATH) \
+	-I $(CARAVEL_BEHAVIOURAL_MODELS) -I $(CARAVEL_RTL_PATH) \
+	-I $(UPRJ_BEHAVIOURAL_MODELS)    -I $(UPRJ_RTL_PATH) -I $(UPRJ_VERILOG_PATH) \
+	-I $(UPRJ_BEHAVIOURAL_AGENTS)    -I $(UPRJ_BEHAVIOURAL_BFM) \
+	-I $(UPRJ_INCLUDE_PATH1)    -I $(UPRJ_INCLUDE_PATH2) -I $(UPRJ_INCLUDE_PATH3) \
+	-I $(UPRJ_INCLUDE_PATH4) -I $(UPRJ_INCLUDE_PATH5) \
+	$< -o $@ 
+   endif
+else  
+	iverilog -g2005-sv -DFUNCTIONAL -DSIM -DGL -I $(PDK_PATH) \
+	-I $(CARAVEL_BEHAVIOURAL_MODELS) -I $(CARAVEL_RTL_PATH) -I $(CARAVEL_VERILOG_PATH) \
+	-I $(UPRJ_BEHAVIOURAL_MODELS) -I $(UPRJ_GL_PATH) \
+	-I $(UPRJ_BEHAVIOURAL_AGENTS)    \
+	$< -o $@ 
+endif
+
+%.vcd: %.vvp
+	vvp $<
+
+%.hex: 
+	echo @"This is user boot test, noting to compile the mangment core code"
+
+
+# ---- Clean ----
+
+clean:
+	rm -f *.vvp *.vcd *.log *.fst
+
+.PHONY: clean hex all
diff --git a/verilog/dv/user_usb/tests/usb_test1.v b/verilog/dv/user_usb/tests/usb_test1.v
new file mode 100755
index 0000000..1522d08
--- /dev/null
+++ b/verilog/dv/user_usb/tests/usb_test1.v
@@ -0,0 +1,56 @@
+`define usbbfm  u_usb_agent
+task usb_test1;
+
+reg [6:0] address;
+reg [3:0] endpt;
+reg [3:0] Status;
+reg [31:0] ByteCount;
+
+integer    i,j;
+reg [7:0]  startbyte;
+reg [15:0] mask;
+integer    MaxPktSize;
+reg [3:0]  PackType;
+
+
+parameter  MYACK   = 4'b0000,
+           MYNAK   = 4'b0001,
+           MYSTALL = 4'b0010,
+           MYTOUT  = 4'b0011,
+           MYIVRES = 4'b0100,
+           MYCRCER = 4'b0101;
+
+
+
+     begin
+  
+
+    $display("%0d: USB Reset  -----", $time);
+    `usbbfm.usbhw_reset;
+
+    address = 1;
+    endpt    = 0;
+    $display("%0d: Set Address = %x -----", $time,address);
+    `usbbfm.SetAddress (address);
+    $display("%0d: Sending Setup Command ", $time);
+    `usbbfm.setup(7'h00, 4'h0, Status);
+    `usbbfm.printstatus(Status, MYACK);
+    $display("%0d: Sending Status Command ", $time);
+    `usbbfm.status_IN(7'h00, endpt, Status);
+    `usbbfm.printstatus(Status, MYACK);
+    #5000;
+  
+    $display("%0d: Set configuration  -----", $time);
+    `usbbfm.SetConfiguration(2'b01);
+    `usbbfm.setup(address, 4'b0000, Status);
+    `usbbfm.printstatus(Status, MYACK);
+    `usbbfm.status_IN(address, 4'b0000, Status);
+    `usbbfm.printstatus(Status, MYACK);
+    #2000;
+
+    $display("%0d: Configuration done !!!!!!", $time);
+    test_control.finish_test;
+     
+  end
+
+endtask
diff --git a/verilog/dv/user_usb/tests/usb_test2.v b/verilog/dv/user_usb/tests/usb_test2.v
new file mode 100755
index 0000000..fe37549
--- /dev/null
+++ b/verilog/dv/user_usb/tests/usb_test2.v
@@ -0,0 +1,91 @@
+`define usbbfm  u_usb_agent
+task usb_test2;
+
+reg [6:0] address;
+reg [3:0] endpt;
+reg [3:0] Status;
+
+  integer    i,j;
+  reg [7:0]  startbyte;
+  reg [15:0] mask;
+  integer    MaxPktSize;
+  reg [3:0]  PackType;
+
+
+parameter  MYACK   = 4'b0000,
+           MYNAK   = 4'b0001,
+           MYSTALL = 4'b0010,
+           MYTOUT  = 4'b0011,
+           MYIVRES = 4'b0100,
+           MYCRCER = 4'b0101;
+
+     begin
+     address = 7'b000_0001;
+     endpt   = 4'b0000;
+
+    $display("%0d: USB Reset  -----", $time);
+    `usbbfm.usbhw_reset;
+
+    $display("%0d: Set Address = 1 -----", $time);
+    `usbbfm.SetAddress (address);
+    `usbbfm.setup(7'h00, 4'h0, Status);
+    `usbbfm.printstatus(Status, MYACK);
+    `usbbfm.status_IN(7'h00, endpt, Status);
+    `usbbfm.printstatus(Status, MYACK);
+    #5000;
+  
+    $display("%0d: Set configuration  -----", $time);
+    `usbbfm.SetConfiguration(2'b01);
+    `usbbfm.setup(address, 4'b0000, Status);
+    `usbbfm.printstatus(Status, MYACK);
+    `usbbfm.status_IN(address, 4'b0000, Status);
+    `usbbfm.printstatus(Status, MYACK);
+    #2000;
+
+    $display("%0d: Configuration done !!!!!!", $time);
+     
+   // write UART  registers through USB
+	
+      //////////////////////////////////////////////////////////////////
+	
+	
+    // register word write
+    $display("%0d: Performing Register Word Write------------", $time);
+    `usbbfm.VenRegWordWr (address, 32'h0,  32'h11223344);
+    `usbbfm.VenRegWordWr (address, 32'h4,  32'h22334455);
+    `usbbfm.VenRegWordWr (address, 32'h8,  32'h33445566);
+    `usbbfm.VenRegWordWr (address, 32'hC,  32'h44556677);
+    `usbbfm.VenRegWordWr (address, 32'h10, 32'h55667788);
+    `usbbfm.VenRegWordWr (address, 32'h14, 32'h66778899);
+    `usbbfm.VenRegWordWr (address, 32'h18, 32'h778899AA);
+    `usbbfm.VenRegWordWr (address, 32'h1C, 32'h8899AABB);
+    `usbbfm.VenRegWordWr (address, 32'h20, 32'h99AABBCC);
+    `usbbfm.VenRegWordWr (address, 32'h24, 32'hAABBCCDD);
+    `usbbfm.VenRegWordWr (address, 32'h28, 32'hBBCCDDEE);
+    `usbbfm.VenRegWordWr (address, 32'h2C, 32'hCCDDEEFF);
+    #500;
+
+    // register word Read
+    $display("%0d: Performing Register Word Read------------", $time);
+    `usbbfm.VenRegWordRdCmp (address, 32'h0 , 32'h11223344);
+    `usbbfm.VenRegWordRdCmp (address, 32'h4 , 32'h22334455);
+    `usbbfm.VenRegWordRdCmp (address, 32'h8 , 32'h33445566);
+    `usbbfm.VenRegWordRdCmp (address, 32'hC , 32'h44556677);
+    `usbbfm.VenRegWordRdCmp (address, 32'h10, 32'h55667788);
+    `usbbfm.VenRegWordRdCmp (address, 32'h14, 32'h66778899);
+    `usbbfm.VenRegWordRdCmp (address, 32'h18, 32'h778899AA);
+    `usbbfm.VenRegWordRdCmp (address, 32'h1C, 32'h8899AABB);
+    `usbbfm.VenRegWordRdCmp (address, 32'h20, 32'h99AABBCC);
+    `usbbfm.VenRegWordRdCmp (address, 32'h24, 32'hAABBCCDD);
+    `usbbfm.VenRegWordRdCmp (address, 32'h28, 32'hBBCCDDEE);
+    `usbbfm.VenRegWordRdCmp (address, 32'h2C, 32'hCCDDEEFF);
+    #500
+
+
+  
+    $display ("USB doing register writes and reads to USB block end \n");
+
+    test_control.finish_test;
+  end
+
+endtask
diff --git a/verilog/dv/user_usb/tests/usb_test3.v b/verilog/dv/user_usb/tests/usb_test3.v
new file mode 100755
index 0000000..51d1c69
--- /dev/null
+++ b/verilog/dv/user_usb/tests/usb_test3.v
@@ -0,0 +1,182 @@
+`define usbbfm  tb_top.u_usb_agent
+task usb_test3;
+
+reg [6:0] address;
+reg [3:0] endpt;
+reg [3:0] Status;
+reg [31:0] ByteCount;
+reg [31:0] ReadData;
+integer    i,j,k;
+
+
+reg [1:0] data_bit        ;
+reg	  stop_bits       ; // 0: 1 stop bit; 1: 2 stop bit;
+reg	  stick_parity    ; // 1: force even parity
+reg	  parity_en       ; // parity enable
+reg	  even_odd_parity ; // 0: odd parity; 1: even parity
+reg [15:0] divisor        ;	// divided by (n+1) * 16
+reg [15:0] timeout        ;// wait time limit
+reg 	fifo_enable       ;	// fifo mode disable
+
+reg [7:0] write_data [0:39];
+reg [15:0] rx_nu;
+reg [15:0] tx_nu;
+
+
+parameter  MYACK   = 4'b0000,
+           MYNAK   = 4'b0001,
+           MYSTALL = 4'b0010,
+           MYTOUT  = 4'b0011,
+           MYIVRES = 4'b0100,
+           MYCRCER = 4'b0101;
+
+     begin
+     address = 7'b000_0001;
+     endpt   = 4'b0000;
+
+    $display("%0d: USB Reset  -----", $time);
+    `usbbfm.usbhw_reset;
+
+    /*********************************************************
+    *          HOST                            DEVICE
+    *  1.  0x2D,0x00, 0x00    
+    *  2.  0xC3,0x00,0x05,0x01,
+    *      0x00,0x00,0x00,0x00,
+    *      0x00,0xEB,0x25
+    *  3.                                      0xD2
+    *  4.  0x69,0x00, 0x10  
+    *  5.                                      0x4B, 0x00  
+    *  6.  0xD2
+    **********************************************************/
+    $display("%0d: Set Address = 1 -----", $time);
+    `usbbfm.SetAddress (address);
+    `usbbfm.setup(7'h00, 4'h0, Status);
+    `usbbfm.printstatus(Status, MYACK);
+    `usbbfm.status_IN(7'h00, endpt, Status);
+    `usbbfm.printstatus(Status, MYACK);
+
+    #5000;
+    /*********************************************************
+    *          HOST                            DEVICE
+    *  1.  0x2D,0x01, 0xE8    
+    *  2.  0xC3,0x00,0x09,0x01,
+    *      0x00,0x00,0x00,0x00,
+    *      0x00,0x27,0x25
+    *  3.                                      0xD2
+    *  4.  0x69,0x01, 0xE8  
+    *  5.                                      0x4B, 0x00  
+    *  6.  0xD2
+    **********************************************************/
+  
+    $display("%0d: Set configuration  -----", $time);
+    `usbbfm.SetConfiguration(2'b01);
+    `usbbfm.setup(address, 4'b0000, Status);
+    `usbbfm.printstatus(Status, MYACK);
+    `usbbfm.status_IN(address, 4'b0000, Status);
+    `usbbfm.printstatus(Status, MYACK);
+    #2000;
+
+    $display("%0d: Configuration done !!!!!!", $time);
+     
+   // write UART  registers through USB
+	
+     //////////////////////////////////////////////////////////////////
+      data_bit        = 2'b11;
+      stop_bits       = 0; // 0: 1 stop bit; 1: 2 stop bit;
+      stick_parity    = 0; // 1: force even parity
+      parity_en       = 1; // parity enable
+      even_odd_parity = 1; // 0: odd parity; 1: even parity
+      divisor        = 15;	// divided by (n+1) * 16
+      timeout        = 500;// wait time limit
+      fifo_enable       = 0;	// fifo mode disable
+	
+    tb_top.u_uart_agent.uart_init;
+    /*********************************************************
+    *          HOST                            DEVICE
+    *  1.  0x2D,0x01, 0xE8    
+    *  2.  0xC3,0x40,0x10,0x00,
+    *      0x00,0x00,0x00,0x04,
+    *      0x00,0xA8,0xC5
+    *  3.                                      0xD2
+    *  4.  0xE1,0x01, 0xE8  
+    *  5.  0x4B,0x00,0x00,0x00                 
+    *      0x17,0xBF,0xD5
+    *  6                                       0xD2
+    *  7.  0x69,0x01,0xE8
+    *  8.                                      0x4B,0x00
+    *  9.   0xD2
+    **********************************************************/
+    `usbbfm.VenRegWordWr (address, 32'h0, {27'h0,2'b10,1'b1,1'b1,1'b1});  
+    /*********************************************************
+    *          HOST                            DEVICE
+    *  1.  0x2D,0x01, 0xE8    
+    *  2.  0xC3,0x40,0x10,0x00,
+    *      0x00,0x00,0x08,0x04,
+    *      0x00,0x29,0x07
+    *  3.                                      0xD2
+    *  4.  0xE1,0x01, 0xE8  
+    *  5.  0x4B,0x00,0x00,0x00                 
+    *      0x0E,0x7E,0x1F
+    *  6                                       0xD2
+    *  7.  0x69,0x01,0xE8
+    *  8.                                      0x4B,0x00
+    *  9.   0xD2
+    **********************************************************/
+    // Baud Clock 16x,  Master Clock/ (2+cfg_value)
+    `usbbfm.VenRegWordWr (address, 32'h8, divisor-1);  
+    tb_top.u_uart_agent.control_setup (data_bit, stop_bits, parity_en, even_odd_parity, stick_parity, timeout, divisor, fifo_enable);
+	
+    for (i=0; i<40; i=i+1)
+	write_data[i] = $random;
+
+   fork
+   begin
+      for (i=0; i<40; i=i+1)
+      begin
+        $display ("\n... Writing char %d ...", write_data[i]);
+         tb_top.u_uart_agent.write_char (write_data[i]);
+	 #20000;
+      end
+   end
+
+   begin
+      for (j=0; j<40; j=j+1)
+      begin
+        tb_top.u_uart_agent.read_char_chk(write_data[j]);
+      end
+   end
+
+   // Read through the USB and check the UART RX Fifo Status;
+   // If Available, then loop it back
+   begin
+      for (k=0; k<40; k=k+1)
+      begin
+        ReadData[1]= 1'b1;
+        while(ReadData[1] == 1'b1 ) begin // Check for UART RX fifo not empty
+           $display ("\n... Reading the UART Status: %x ...", ReadData);
+          /*********************************************************
+          *          HOST                            DEVICE
+          *  1.  0x2D,0x01, 0xE8    
+          *  2.  0xC3,0xC0,0x11,0x00,
+          *      0x00,0x00,0x0C,0x04,
+          *      0x00,0x70,0x66
+          *  3.                                      0xD2
+          *  4.  0x69,0x01, 0xE8  
+	  *  5.                                      0x4B,00
+	  *  6.  0xD2
+	  *  7.  0xE1,0x01,0xE8
+	  *  8.  0x4B,0x00, 0x00
+          *  9                                       0xD2
+          **********************************************************/
+          `usbbfm.VenRegWordRd (address, 32'hC, ReadData);  
+       end
+          `usbbfm.VenRegWordRd (address, 32'h14, ReadData);  // Read the UART RXD Data
+          `usbbfm.VenRegWordWr (address, 32'h10, ReadData);   // Write Back to UART TXD
+      end
+   end
+   join
+
+   #100
+   tb_top.u_uart_agent.report_status(rx_nu, tx_nu);
+end
+endtask
diff --git a/verilog/dv/user_usb/user_usb_tb.v b/verilog/dv/user_usb/user_usb_tb.v
new file mode 100644
index 0000000..73e2b47
--- /dev/null
+++ b/verilog/dv/user_usb/user_usb_tb.v
@@ -0,0 +1,561 @@
+////////////////////////////////////////////////////////////////////////////
+// SPDX-FileCopyrightText:  2021 , Dinesh Annayya
+//
+// Licensed under the Apache License, Version 2.0 (the "License");
+// you may not use this file except in compliance with the License.
+// You may obtain a copy of the License at
+//
+//      http://www.apache.org/licenses/LICENSE-2.0
+//
+// Unless required by applicable law or agreed to in writing, software
+// distributed under the License is distributed on an "AS IS" BASIS,
+// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+// See the License for the specific language governing permissions and
+// limitations under the License.
+// SPDX-License-Identifier: Apache-2.0
+// SPDX-FileContributor: Modified by Dinesh Annayya <dinesha@opencores.org>
+//////////////////////////////////////////////////////////////////////
+////                                                              ////
+////  Standalone User validation Test bench                       ////
+////                                                              ////
+////  This file is part of the riscduino project                  ////
+////  https://github.com/dineshannayya/riscduino.git              ////
+////                                                              ////
+////  Description                                                 ////
+////   This is a standalone test bench to validate the            ////
+////   usb interfaface through External WB i/F.                   ////
+////                                                              ////
+////  To Do:                                                      ////
+////    nothing                                                   ////
+////                                                              ////
+////  Author(s):                                                  ////
+////      - Dinesh Annayya, dinesha@opencores.org                 ////
+////                                                              ////
+////  Revision :                                                  ////
+////    0.1 - 09 Mar 2022, Dinesh A                               ////
+////                                                              ////
+//////////////////////////////////////////////////////////////////////
+
+`default_nettype wire
+
+`timescale 1 ns / 1 ns
+
+// Note in caravel, 0x30XX_XXXX only come to user interface
+// So, using wb_host bank select we have changing MSB address [31:24] = 0x10
+`define ADDR_SPACE_UART    32'h3001_0000
+`define ADDR_SPACE_USB     32'h3001_0080
+`define ADDR_SPACE_SSPI    32'h3001_00C0
+`define ADDR_SPACE_PINMUX  32'h3002_0000
+
+`define TB_GLBL    user_usb_tb
+`define USB_BFM    u_usb_agent
+
+`include "uprj_netlists.v"
+`include "usb_agents.v"
+`include "test_control.v"
+`include "usb1d_defines.v"
+`include "usbd_files.v"
+
+module user_usb_tb;
+
+parameter  USB_HPER   = 10.4167; // 48Mhz Half cycle
+parameter  USER2_HPER = 2.6042; // 192Mhz Half cycle
+
+	reg clock;
+	reg user_clock2;
+	reg usb_48mhz_clk;
+	reg wb_rst_i;
+	reg power1, power2;
+	reg power3, power4;
+
+        reg        wbd_ext_cyc_i;  // strobe/request
+        reg        wbd_ext_stb_i;  // strobe/request
+        reg [31:0] wbd_ext_adr_i;  // address
+        reg        wbd_ext_we_i;  // write
+        reg [31:0] wbd_ext_dat_i;  // data output
+        reg [3:0]  wbd_ext_sel_i;  // byte enable
+
+        wire [31:0] wbd_ext_dat_o;  // data input
+        wire        wbd_ext_ack_o;  // acknowlegement
+        wire        wbd_ext_err_o;  // error
+
+	// User I/O
+	wire [37:0] io_oeb;
+	wire [37:0] io_out;
+	wire [37:0] io_in;
+
+
+	reg [1:0] spi_chip_no;
+
+	wire gpio;
+	wire [37:0] mprj_io;
+	wire [7:0] mprj_io_0;
+	reg        test_fail;
+	reg [31:0] read_data;
+
+        //-----------------------------------
+        // Register Interface
+        // ----------------------------------
+        wire [31:0]   usbd_reg_addr;   // Register Address
+       	wire 	      usbd_reg_rdwrn;  // 0 -> write, 1-> read
+       	wire 	      usbd_reg_req;    //  Register Req
+        wire [31:0]   usbd_reg_wdata;  // Register write data
+        reg [31:0]    usbd_reg_rdata;  // Register Read Data
+        reg           usbd_reg_ack = 1'b1;    // Register Ack
+
+	reg  [31:0]   RegBank [0:15];
+
+	// External clock is used by default.  Make this artificially fast for the
+	// simulation.  Normally this would be a slow clock and the digital PLL
+	// would be the fast clock.
+
+	always #12.5 clock <= (clock === 1'b0);
+
+	// 48Mhz clock generation
+	always begin
+          #USB_HPER     usb_48mhz_clk = 1'b0;
+          #USB_HPER     usb_48mhz_clk = 1'b1;
+        end
+
+	// USER Clock generation
+	always begin
+          #USER2_HPER     user_clock2 = 1'b0;
+          #USER2_HPER     user_clock2 = 1'b1;
+        end
+
+	initial begin
+		clock = 0;
+                wbd_ext_cyc_i ='h0;  // strobe/request
+                wbd_ext_stb_i ='h0;  // strobe/request
+                wbd_ext_adr_i ='h0;  // address
+                wbd_ext_we_i  ='h0;  // write
+                wbd_ext_dat_i ='h0;  // data output
+                wbd_ext_sel_i ='h0;  // byte enable
+	end
+	initial begin
+		wb_rst_i <= 1'b1;
+		#100;
+		wb_rst_i <= 1'b0;	    	// Release reset
+	end
+
+	`ifdef WFDUMP
+	   initial begin
+	   	$dumpfile("simx.vcd");
+	   	$dumpvars(5, user_usb_tb);
+	   end
+       `endif
+
+        always@(posedge wb_rst_i  or posedge usb_48mhz_clk)
+	begin
+	   if(wb_rst_i == 1'b1) begin
+              usbd_reg_rdata = 'h0;
+              usbd_reg_ack   = 'h0;
+	   end else begin
+	      if(usbd_reg_req && usbd_reg_rdwrn == 1'b0 && !usbd_reg_ack) begin
+                 usbd_reg_ack = 'h1;
+		 RegBank[usbd_reg_addr[5:2]] = usbd_reg_wdata;
+		 $display("STATUS: Write Access Address : %x Data: %x",usbd_reg_addr[7:0],usbd_reg_wdata);
+	      end else if(usbd_reg_req && usbd_reg_rdwrn == 1'b1 && !usbd_reg_ack) begin
+                 usbd_reg_ack = 'h1;
+		 usbd_reg_rdata = RegBank[usbd_reg_addr[5:2]];
+		 $display("STATUS: Read Access Address : %x Data: %x",usbd_reg_addr[7:0],usbd_reg_rdata);
+	      end else begin
+                 usbd_reg_ack = 'h0;
+	      end
+	   end
+	end
+
+	initial begin
+		$dumpon;
+
+		#200; // Wait for reset removal
+	        repeat (10) @(posedge clock);
+		$display("Monitor: Standalone User Risc Boot Test Started");
+
+		// Remove Wb Reset
+		wb_user_core_write('h3080_0000,'h1);
+
+                // Enable SPI Multi Functional Ports
+                wb_user_core_write(`ADDR_SPACE_PINMUX+'h0038,'h400);
+
+	        repeat (2) @(posedge clock);
+		#1;
+         
+	        // Set USB clock : 192/4 = 48Mhz	
+                wb_user_core_write('h3080_0000,{8'h82,4'h0,8'h0,4'h0,8'h01});
+
+                // Remove the reset
+		// Remove WB and SPI/UART Reset, Keep CORE under Reset
+                wb_user_core_write(`ADDR_SPACE_PINMUX+8'h8,'h03F);
+
+
+		test_fail = 0;
+	        repeat (200) @(posedge clock);
+                wb_user_core_write('h3080_0004,'h10); // Change the Bank Sel 10
+
+
+		//usb_test1;
+		usb_test2;
+
+
+		repeat (100) @(posedge clock);
+			// $display("+1000 cycles");
+
+          	if(test_control.error_count == 0) begin
+		   `ifdef GL
+	    	       $display("Monitor: USB Mode (GL) Passed");
+		   `else
+		       $display("Monitor: USB Mode (RTL) Passed");
+		   `endif
+	        end else begin
+		    `ifdef GL
+	    	        $display("Monitor: USB Mode (GL) Failed");
+		    `else
+		        $display("Monitor: USB Mode (RTL) Failed");
+		    `endif
+		 end
+	    	$display("###################################################");
+	        $finish;
+	end
+
+wire USER_VDD1V8 = 1'b1;
+wire VSS = 1'b0;
+
+user_project_wrapper u_top(
+`ifdef USE_POWER_PINS
+    .vccd1(USER_VDD1V8),	// User area 1 1.8V supply
+    .vssd1(VSS),	// User area 1 digital ground
+`endif
+    .wb_clk_i    (clock       ),  // System clock
+    .user_clock2 (user_clock2 ),  // Real-time clock
+    .wb_rst_i    (wb_rst_i    ),  // Regular Reset signal
+
+    .wbs_cyc_i   (wbd_ext_cyc_i),  // strobe/request
+    .wbs_stb_i   (wbd_ext_stb_i),  // strobe/request
+    .wbs_adr_i   (wbd_ext_adr_i),  // address
+    .wbs_we_i    (wbd_ext_we_i),  // write
+    .wbs_dat_i   (wbd_ext_dat_i),  // data output
+    .wbs_sel_i   (wbd_ext_sel_i),  // byte enable
+
+    .wbs_dat_o   (wbd_ext_dat_o),  // data input
+    .wbs_ack_o   (wbd_ext_ack_o),  // acknowlegement
+
+ 
+    // Logic Analyzer Signals
+    .la_data_in      ('1) ,
+    .la_data_out     (),
+    .la_oenb         ('0),
+ 
+
+    // IOs
+    .io_in          (io_in)  ,
+    .io_out         (io_out) ,
+    .io_oeb         (io_oeb) ,
+
+    .user_irq       () 
+
+);
+    usb_agent u_usb_agent();
+    test_control test_control();
+
+`ifndef GL // Drive Power for Hold Fix Buf
+    // All standard cell need power hook-up for functionality work
+    initial begin
+
+    end
+`endif  
+
+// Drive USB Pads
+//
+tri usbd_txdp = (io_oeb[36] == 1'b0) ? io_out[36] : 1'bz;
+tri usbd_txdn = (io_oeb[37] == 1'b0) ? io_out[37] : 1'bz;
+
+assign io_in[36] = usbd_txdp;
+assign io_in[37] = usbd_txdn;
+
+// Full Speed Device Indication
+
+pullup(usbd_txdp); 
+//pulldown(usbd_txdn);
+
+usb1d_top u_usb_top(
+
+	.clk_i           (usb_48mhz_clk), 
+	.rstn_i          (!wb_rst_i),
+ 
+		// USB PHY Interface
+	.usb_dp          (usbd_txdp), 
+	.usb_dn          (usbd_txdn), 
+ 
+	// USB Misc
+	.phy_tx_mode     (1'b1), 
+        .usb_rst         (),
+ 
+	// Interrupts
+	.dropped_frame   (), 
+	.misaligned_frame(),
+	.crc16_err       (),
+ 
+	// Vendor Features
+	.v_set_int       (), 
+	.v_set_feature   (), 
+	.wValue          (),
+	.wIndex          (), 
+	.vendor_data     (),
+ 
+	// USB Status
+	.usb_busy        (), 
+	.ep_sel          (),
+ 
+	// End point 1 configuration
+	.ep1_cfg         (	`ISO  | `IN  | 14'd0256		),
+	// End point 1 'OUT' FIFO i/f
+	.ep1_dout        (					),
+	.ep1_we          (					),
+	.ep1_full        (		1'b0			),
+	// End point 1 'IN' FIFO i/f
+	.ep1_din         (		8'h0		        ),
+	.ep1_re          (		   		        ),
+	.ep1_empty       (		1'b0     		),
+	.ep1_bf_en       (		1'b0			),
+	.ep1_bf_size     (		7'h0			),
+ 
+	// End point 2 configuration
+	.ep2_cfg         (	`ISO  | `OUT | 14'd0256		),
+	// End point 2 'OUT' FIFO i/f
+	.ep2_dout        (				        ),
+	.ep2_we          (				        ),
+	.ep2_full        (		1'b0     		),
+	// End point 2 'IN' FIFO i/f
+	.ep2_din         (		8'h0			),
+	.ep2_re          (					),
+	.ep2_empty       (		1'b0			),
+	.ep2_bf_en       (		1'b0			),
+	.ep2_bf_size     (		7'h0			),
+ 
+	// End point 3 configuration
+	.ep3_cfg         (	`BULK | `IN  | 14'd064		),
+	// End point 3 'OUT' FIFO i/f
+	.ep3_dout        (					),
+	.ep3_we          (					),
+	.ep3_full        (		1'b0			),
+	// End point 3 'IN' FIFO i/f
+	.ep3_din         (		8'h0      		),
+	.ep3_re          (		        		),
+	.ep3_empty       (		1'b0    		),
+	.ep3_bf_en       (		1'b0			),
+	.ep3_bf_size     (		7'h0			),
+ 
+	// End point 4 configuration
+	.ep4_cfg         (	`BULK | `OUT | 14'd064		),
+	// End point 4 'OUT' FIFO i/f
+	.ep4_dout        (		        		),
+	.ep4_we          (		        		),
+	.ep4_full        (		1'b0     		),
+	// End point 4 'IN' FIFO i/f
+	.ep4_din         (		8'h0			),
+	.ep4_re          (					),
+	.ep4_empty       (		1'b0			),
+	.ep4_bf_en       (		1'b0			),
+	.ep4_bf_size     (		7'h0			),
+ 
+	// End point 5 configuration
+	.ep5_cfg         (	`INT  | `IN  | 14'd064		),
+	// End point 5 'OUT' FIFO i/f
+	.ep5_dout        (					),
+	.ep5_we          (					),
+	.ep5_full        (		1'b0			),
+	// End point 5 'IN' FIFO i/f
+	.ep5_din         (		8'h0     		),
+	.ep5_re          (				        ),
+	.ep5_empty       (		1'b0     		),
+	.ep5_bf_en       (		1'b0			),
+	.ep5_bf_size     (		7'h0			),
+ 
+	// End point 6 configuration
+	.ep6_cfg         (		14'h00			),
+	// End point 6 'OUT' FIFO i/f
+	.ep6_dout        (					),
+	.ep6_we          (					),
+	.ep6_full        (		1'b0			),
+	// End point 6 'IN' FIFO i/f
+	.ep6_din         (		8'h0			),
+	.ep6_re          (					),
+	.ep6_empty       (		1'b0			),
+	.ep6_bf_en       (		1'b0			),
+	.ep6_bf_size     (		7'h0			),
+ 
+	// End point 7 configuration
+	.ep7_cfg         (		14'h00			),
+	// End point 7 'OUT' FIFO i/f
+	.ep7_dout        (					),
+	.ep7_we          (					),
+	.ep7_full        (		1'b0			),
+	// End point 7 'IN' FIFO i/f
+	.ep7_din         (		8'h0			),
+	.ep7_re          (					),
+	.ep7_empty       (		1'b0			),
+	.ep7_bf_en       (		1'b0			),
+	.ep7_bf_size     (		7'h0			),
+ 
+        // Register Interface
+	.reg_addr        (usbd_reg_addr),
+	.reg_rdwrn       (usbd_reg_rdwrn),
+	.reg_req         (usbd_reg_req),
+	.reg_wdata       (usbd_reg_wdata),
+	.reg_rdata       (usbd_reg_rdata),
+	.reg_ack         (usbd_reg_ack)
+ 
+	);
+
+
+//----------------------------------------------------
+//  Task
+// --------------------------------------------------
+task test_err;
+begin
+     test_fail = 1;
+end
+endtask
+
+task wb_user_core_write;
+input [31:0] address;
+input [31:0] data;
+begin
+  repeat (1) @(posedge clock);
+  #1;
+  wbd_ext_adr_i =address;  // address
+  wbd_ext_we_i  ='h1;  // write
+  wbd_ext_dat_i =data;  // data output
+  wbd_ext_sel_i ='hF;  // byte enable
+  wbd_ext_cyc_i ='h1;  // strobe/request
+  wbd_ext_stb_i ='h1;  // strobe/request
+  wait(wbd_ext_ack_o == 1);
+  repeat (1) @(posedge clock);
+  #1;
+  wbd_ext_cyc_i ='h0;  // strobe/request
+  wbd_ext_stb_i ='h0;  // strobe/request
+  wbd_ext_adr_i ='h0;  // address
+  wbd_ext_we_i  ='h0;  // write
+  wbd_ext_dat_i ='h0;  // data output
+  wbd_ext_sel_i ='h0;  // byte enable
+  $display("STATUS: WB USER ACCESS WRITE Address : 0x%x, Data : 0x%x",address,data);
+  repeat (2) @(posedge clock);
+end
+endtask
+
+task  wb_user_core_read;
+input [31:0] address;
+output [31:0] data;
+reg    [31:0] data;
+begin
+  repeat (1) @(posedge clock);
+  #1;
+  wbd_ext_adr_i =address;  // address
+  wbd_ext_we_i  ='h0;  // write
+  wbd_ext_dat_i ='0;  // data output
+  wbd_ext_sel_i ='hF;  // byte enable
+  wbd_ext_cyc_i ='h1;  // strobe/request
+  wbd_ext_stb_i ='h1;  // strobe/request
+  wait(wbd_ext_ack_o == 1);
+  data  = wbd_ext_dat_o;  
+  repeat (1) @(posedge clock);
+  #1;
+  wbd_ext_cyc_i ='h0;  // strobe/request
+  wbd_ext_stb_i ='h0;  // strobe/request
+  wbd_ext_adr_i ='h0;  // address
+  wbd_ext_we_i  ='h0;  // write
+  wbd_ext_dat_i ='h0;  // data output
+  wbd_ext_sel_i ='h0;  // byte enable
+  //$display("STATUS: WB USER ACCESS READ  Address : 0x%x, Data : 0x%x",address,data);
+  repeat (2) @(posedge clock);
+end
+endtask
+
+task  wb_user_core_read_check;
+input [31:0] address;
+output [31:0] data;
+input [31:0] cmp_data;
+reg    [31:0] data;
+begin
+  repeat (1) @(posedge clock);
+  #1;
+  wbd_ext_adr_i =address;  // address
+  wbd_ext_we_i  ='h0;  // write
+  wbd_ext_dat_i ='0;  // data output
+  wbd_ext_sel_i ='hF;  // byte enable
+  wbd_ext_cyc_i ='h1;  // strobe/request
+  wbd_ext_stb_i ='h1;  // strobe/request
+  wait(wbd_ext_ack_o == 1);
+  data  = wbd_ext_dat_o;  
+  repeat (1) @(posedge clock);
+  #1;
+  wbd_ext_cyc_i ='h0;  // strobe/request
+  wbd_ext_stb_i ='h0;  // strobe/request
+  wbd_ext_adr_i ='h0;  // address
+  wbd_ext_we_i  ='h0;  // write
+  wbd_ext_dat_i ='h0;  // data output
+  wbd_ext_sel_i ='h0;  // byte enable
+  if(data !== cmp_data) begin
+     $display("ERROR : WB USER ACCESS READ  Address : 0x%x, Exd: 0x%x Rxd: 0x%x ",address,cmp_data,data);
+     user_usb_tb.test_fail = 1;
+  end else begin
+     $display("STATUS: WB USER ACCESS READ  Address : 0x%x, Data : 0x%x",address,data);
+  end
+  repeat (2) @(posedge clock);
+end
+endtask
+
+
+`ifdef GL
+
+wire        wbd_spi_stb_i   = u_top.u_spi_master.wbd_stb_i;
+wire        wbd_spi_ack_o   = u_top.u_spi_master.wbd_ack_o;
+wire        wbd_spi_we_i    = u_top.u_spi_master.wbd_we_i;
+wire [31:0] wbd_spi_adr_i   = u_top.u_spi_master.wbd_adr_i;
+wire [31:0] wbd_spi_dat_i   = u_top.u_spi_master.wbd_dat_i;
+wire [31:0] wbd_spi_dat_o   = u_top.u_spi_master.wbd_dat_o;
+wire [3:0]  wbd_spi_sel_i   = u_top.u_spi_master.wbd_sel_i;
+
+wire        wbd_sdram_stb_i = u_top.u_sdram_ctrl.wb_stb_i;
+wire        wbd_sdram_ack_o = u_top.u_sdram_ctrl.wb_ack_o;
+wire        wbd_sdram_we_i  = u_top.u_sdram_ctrl.wb_we_i;
+wire [31:0] wbd_sdram_adr_i = u_top.u_sdram_ctrl.wb_addr_i;
+wire [31:0] wbd_sdram_dat_i = u_top.u_sdram_ctrl.wb_dat_i;
+wire [31:0] wbd_sdram_dat_o = u_top.u_sdram_ctrl.wb_dat_o;
+wire [3:0]  wbd_sdram_sel_i = u_top.u_sdram_ctrl.wb_sel_i;
+
+wire        wbd_uart_stb_i  = u_top.u_uart_i2c_usb.reg_cs;
+wire        wbd_uart_ack_o  = u_top.u_uart_i2c_usb.reg_ack;
+wire        wbd_uart_we_i   = u_top.u_uart_i2c_usb.reg_wr;
+wire [7:0]  wbd_uart_adr_i  = u_top.u_uart_i2c_usb.reg_addr;
+wire [7:0]  wbd_uart_dat_i  = u_top.u_uart_i2c_usb.reg_wdata;
+wire [7:0]  wbd_uart_dat_o  = u_top.u_uart_i2c_usb.reg_rdata;
+wire        wbd_uart_sel_i  = u_top.u_uart_i2c_usb.reg_be;
+
+`endif
+
+/**
+`ifdef GL
+//-----------------------------------------------------------------------------
+// RISC IMEM amd DMEM Monitoring TASK
+//-----------------------------------------------------------------------------
+
+`define RISC_CORE  user_uart_tb.u_top.u_core.u_riscv_top
+
+always@(posedge `RISC_CORE.wb_clk) begin
+    if(`RISC_CORE.wbd_imem_ack_i)
+          $display("RISCV-DEBUG => IMEM ADDRESS: %x Read Data : %x", `RISC_CORE.wbd_imem_adr_o,`RISC_CORE.wbd_imem_dat_i);
+    if(`RISC_CORE.wbd_dmem_ack_i && `RISC_CORE.wbd_dmem_we_o)
+          $display("RISCV-DEBUG => DMEM ADDRESS: %x Write Data: %x Resonse: %x", `RISC_CORE.wbd_dmem_adr_o,`RISC_CORE.wbd_dmem_dat_o);
+    if(`RISC_CORE.wbd_dmem_ack_i && !`RISC_CORE.wbd_dmem_we_o)
+          $display("RISCV-DEBUG => DMEM ADDRESS: %x READ Data : %x Resonse: %x", `RISC_CORE.wbd_dmem_adr_o,`RISC_CORE.wbd_dmem_dat_i);
+end
+
+`endif
+**/
+`include "tests/usb_test1.v"
+`include "tests/usb_test2.v"
+
+endmodule
+`default_nettype wire
diff --git a/verilog/gl/pinmux.v b/verilog/gl/pinmux.v
index eda1bae..d3134da 100644
--- a/verilog/gl/pinmux.v
+++ b/verilog/gl/pinmux.v
@@ -4431,6 +4431,7 @@
  wire clknet_leaf_144_mclk;
  wire clknet_leaf_145_mclk;
  wire clknet_leaf_146_mclk;
+ wire clknet_leaf_147_mclk;
  wire clknet_leaf_14_mclk;
  wire clknet_leaf_15_mclk;
  wire clknet_leaf_16_mclk;
@@ -4499,6 +4500,7 @@
  wire clknet_leaf_73_mclk;
  wire clknet_leaf_74_mclk;
  wire clknet_leaf_75_mclk;
+ wire clknet_leaf_76_mclk;
  wire clknet_leaf_77_mclk;
  wire clknet_leaf_78_mclk;
  wire clknet_leaf_79_mclk;
@@ -4946,7 +4948,11 @@
  wire net515;
  wire net516;
  wire net517;
+ wire net518;
+ wire net519;
  wire net52;
+ wire net520;
+ wire net521;
  wire net53;
  wire net54;
  wire net55;
@@ -6435,11 +6441,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4270__A (.DIODE(\u_gpio_intr.cfg_gpio_data_in[25] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__4271__A (.DIODE(net64),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -6495,11 +6496,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4278__C (.DIODE(\u_gpio_intr.cfg_gpio_data_in[25] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__4279__A2 (.DIODE(\u_gpio_intr.gpio_prev_indata[25] ),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -6560,11 +6556,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4290__A (.DIODE(_1047_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__4291__A (.DIODE(net66),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -6660,6 +6651,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4304__A (.DIODE(\u_gpio_intr.gpio_prev_indata[29] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__4305__A (.DIODE(net68),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -6690,6 +6686,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4310__B (.DIODE(\u_gpio_intr.gpio_prev_indata[29] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__4310__C (.DIODE(\u_gpio_intr.cfg_gpio_negedge_int_sel[29] ),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -6820,11 +6821,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4333__A (.DIODE(_1085_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__4334__A1 (.DIODE(net77),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -6925,7 +6921,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4365__A (.DIODE(_1085_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4366__A1 (.DIODE(_1113_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -6990,6 +6986,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4382__A1 (.DIODE(_1128_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__4382__B1 (.DIODE(\u_pinmux_reg.cfg_gpio_int_status[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -7055,11 +7056,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4399__A (.DIODE(_1143_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__4400__A (.DIODE(net44),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -7130,12 +7126,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4416__B1 (.DIODE(\u_pinmux_reg.cfg_gpio_int_status[18] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4415__A (.DIODE(net56),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4418__A_N (.DIODE(\u_gpio_intr.cfg_gpio_data_in[18] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4416__A1 (.DIODE(net56),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -7155,11 +7151,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4419__B (.DIODE(\u_gpio_intr.cfg_gpio_data_in[18] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__4422__A (.DIODE(net57),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -7180,6 +7171,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4426__A_N (.DIODE(\u_gpio_intr.cfg_gpio_data_in[19] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__4426__B (.DIODE(\u_gpio_intr.cfg_gpio_negedge_int_sel[19] ),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -7195,12 +7191,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4427__C (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[19] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4427__B (.DIODE(\u_gpio_intr.cfg_gpio_data_in[19] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4431__A (.DIODE(_1143_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4427__C (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[19] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -7250,6 +7246,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4441__A1 (.DIODE(_1180_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__4441__A2 (.DIODE(_1165_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -7260,11 +7261,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4443__A_N (.DIODE(\u_gpio_intr.cfg_gpio_data_in[21] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__4443__B (.DIODE(\u_gpio_intr.cfg_gpio_negedge_int_sel[21] ),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -7280,11 +7276,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4444__B (.DIODE(\u_gpio_intr.cfg_gpio_data_in[21] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__4444__C (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[21] ),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -7480,11 +7471,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4482__B2 (.DIODE(\u_pinmux_reg.cfg_gpio_int_status[18] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__4483__A1 (.DIODE(\u_pinmux_reg.cfg_gpio_int_mask[30] ),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -8090,6 +8076,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4629__A (.DIODE(_1327_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__4632__A (.DIODE(_1330_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -8110,6 +8101,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4637__A (.DIODE(_1327_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__4638__A1 (.DIODE(_1021_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -8125,11 +8121,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4642__A (.DIODE(_1334_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__4645__A (.DIODE(net75),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -8235,6 +8226,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4700__A (.DIODE(net56),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__4701__A (.DIODE(_1388_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -8260,6 +8256,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4712__A (.DIODE(_1180_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__4713__S (.DIODE(_1395_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -8290,7 +8291,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4723__A (.DIODE(_1404_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4722__A (.DIODE(_1327_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -8395,11 +8396,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4764__A1 (.DIODE(_1434_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__4766__A (.DIODE(net78),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -8435,6 +8431,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4772__A1 (.DIODE(_1443_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__4774__A (.DIODE(_1105_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -8445,7 +8446,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4775__A1 (.DIODE(_1445_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4777__A (.DIODE(_1113_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -8460,6 +8461,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4784__A (.DIODE(_1128_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__4787__A (.DIODE(_1135_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -8565,11 +8571,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4829__A1 (.DIODE(\u_pinmux_reg.reg_3[27] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__4832__A0 (.DIODE(_1055_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -8680,11 +8681,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4887__A (.DIODE(_1404_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__4889__B (.DIODE(_1521_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -8730,11 +8726,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4911__A0 (.DIODE(_1434_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__4911__A1 (.DIODE(\u_pinmux_reg.cfg_pulse_1us[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -8750,12 +8741,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4915__A1 (.DIODE(\u_pinmux_reg.reg_3[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4915__A0 (.DIODE(_1443_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4917__A0 (.DIODE(_1445_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4915__A1 (.DIODE(\u_pinmux_reg.reg_3[10] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -8820,6 +8811,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4964__A (.DIODE(_1569_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__4964__B (.DIODE(_1521_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -8890,6 +8886,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__4986__A (.DIODE(_1569_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__4986__B (.DIODE(_1521_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -8945,7 +8946,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5005__A (.DIODE(_1404_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5006__B (.DIODE(_1599_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -8995,31 +8996,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5017__S (.DIODE(_1606_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5019__S (.DIODE(_1606_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__5021__A0 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[22] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5021__S (.DIODE(_1606_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5023__S (.DIODE(_1606_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__5025__A (.DIODE(net44),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -9030,6 +9011,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5027__B (.DIODE(_1599_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__5027__C (.DIODE(_1549_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -9085,6 +9071,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5047__B (.DIODE(_1599_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__5047__C (.DIODE(_1624_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -9170,12 +9161,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5067__C (.DIODE(_1587_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5067__B (.DIODE(_1599_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5068__A (.DIODE(_1637_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5067__C (.DIODE(_1587_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -9190,11 +9181,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5081__A (.DIODE(_1637_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__5082__S (.DIODE(_1647_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -9300,11 +9286,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5118__A (.DIODE(_1404_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__5119__C (.DIODE(_1549_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -9455,11 +9436,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5169__A1 (.DIODE(_1703_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__5169__S (.DIODE(_1697_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -9495,11 +9471,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5186__A0 (.DIODE(_1434_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__5186__A1 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -9515,12 +9486,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5190__A1 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5190__A0 (.DIODE(_1443_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5192__A0 (.DIODE(_1445_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5190__A1 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[10] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -9555,6 +9526,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5207__A (.DIODE(_1569_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__5207__C (.DIODE(_1728_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -9600,6 +9576,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5229__A (.DIODE(_1569_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__5229__C (.DIODE(_1742_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -9715,6 +9696,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5291__A0 (.DIODE(\u_pinmux_reg.cfg_gpio_out_type[19] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__5294__S (.DIODE(_1787_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -9785,11 +9771,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5329__A1 (.DIODE(_1703_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__5340__A (.DIODE(_1611_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -9855,11 +9836,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5371__A1 (.DIODE(_1703_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__5371__S (.DIODE(_1830_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -9900,11 +9876,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5391__A1 (.DIODE(_1703_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__5391__S (.DIODE(_1842_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -10125,11 +10096,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5468__A0 (.DIODE(_1434_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__5468__A1 (.DIODE(\u_pinmux_reg.cfg_glb_ctrl[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -10145,12 +10111,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5472__A1 (.DIODE(\u_pinmux_reg.cfg_glb_ctrl[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5472__A0 (.DIODE(_1443_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5474__A0 (.DIODE(_1445_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5472__A1 (.DIODE(\u_pinmux_reg.cfg_glb_ctrl[10] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -10215,12 +10181,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5498__A (.DIODE(_1047_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5499__A0 (.DIODE(net191),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5499__A0 (.DIODE(net191),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5499__A1 (.DIODE(_1913_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -10305,6 +10271,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5528__A1 (.DIODE(_1913_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__5530__A (.DIODE(_1930_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -10780,6 +10751,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5666__A1 (.DIODE(_1913_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__5666__S (.DIODE(_2021_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -11000,6 +10976,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5723__A (.DIODE(_1180_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__5724__A0 (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[21] ),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -11140,6 +11121,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5761__A1 (.DIODE(_1913_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__5763__A (.DIODE(_2083_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -11220,11 +11206,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5782__A (.DIODE(_1047_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__5783__A0 (.DIODE(\u_gpio_intr.cfg_gpio_negedge_int_sel[27] ),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -11460,11 +11441,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5838__A0 (.DIODE(\u_pinmux_reg.reg_15[30] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__5838__S (.DIODE(_2135_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -11690,37 +11666,22 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5901__A (.DIODE(_1113_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__5902__A (.DIODE(_2170_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5903__A1 (.DIODE(_2179_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5903__S (.DIODE(_2180_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__5905__A (.DIODE(_1121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5906__S (.DIODE(_2180_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5909__A1 (.DIODE(_2184_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5909__S (.DIODE(_2180_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5908__A (.DIODE(_1128_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -11735,11 +11696,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5912__S (.DIODE(_2180_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__5914__A (.DIODE(_2112_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -11750,11 +11706,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5915__A (.DIODE(_2188_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__5916__A0 (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -11770,21 +11721,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5924__A (.DIODE(_2188_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5925__A1 (.DIODE(_2179_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5929__A1 (.DIODE(_2184_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__5934__B (.DIODE(_2199_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -11805,6 +11741,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__5942__A0 (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[19] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__5944__A (.DIODE(_2200_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -11870,11 +11811,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5967__A1 (.DIODE(_2179_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__5969__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_low[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -11885,11 +11821,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5971__A1 (.DIODE(_2184_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__5973__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_low[15] ),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -11910,21 +11841,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5987__A1 (.DIODE(_2179_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5991__A1 (.DIODE(_2184_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5993__A0 (.DIODE(\u_pinmux_reg.reg_15[15] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__5995__B (.DIODE(_2199_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -12030,7 +11946,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6023__A0 (.DIODE(\u_pinmux_reg.reg_15[18] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6025__A0 (.DIODE(\u_pinmux_reg.reg_15[19] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -12140,6 +12056,16 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6054__A (.DIODE(_1180_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6055__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__6055__A1 (.DIODE(_2275_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -12225,11 +12151,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6074__A (.DIODE(_1047_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__6075__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[11] ),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -12420,6 +12341,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6127__S (.DIODE(_2324_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__6129__A0 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -12430,6 +12356,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6129__S (.DIODE(_2324_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__6131__A0 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -12440,6 +12371,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6131__S (.DIODE(_2324_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__6133__A0 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -12450,6 +12386,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6133__S (.DIODE(_2324_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__6135__A (.DIODE(_1433_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -12530,6 +12471,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6149__A (.DIODE(_1113_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__6150__A (.DIODE(_2330_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -12560,6 +12506,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6156__A (.DIODE(_1128_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__6157__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -12670,6 +12621,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6185__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_high[8] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__6185__A1 (.DIODE(_2348_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -12755,6 +12711,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6211__A0 (.DIODE(\u_pinmux_reg.cfg_pwm1_low[11] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__6211__A1 (.DIODE(_2337_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -12915,11 +12876,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6256__S (.DIODE(_2405_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6258__S (.DIODE(_2405_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6260__S (.DIODE(_2405_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__6262__A0 (.DIODE(\u_pinmux_reg.reg_22[31] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6262__S (.DIODE(_2405_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__6264__A (.DIODE(_2225_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -13075,6 +13056,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6296__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_low[12] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__6296__A1 (.DIODE(_2339_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -13115,11 +13101,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6305__A (.DIODE(_2434_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__6306__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_high[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -13150,11 +13131,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6314__A (.DIODE(_2434_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__6315__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_high[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -13540,11 +13516,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6542__A1 (.DIODE(_2625_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__6544__A (.DIODE(net75),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -13555,11 +13526,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6546__A1 (.DIODE(_2628_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__6548__A (.DIODE(net76),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -13590,11 +13556,21 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6558__S (.DIODE(_2637_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__6560__A (.DIODE(_1360_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6561__S (.DIODE(_2637_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__6563__A (.DIODE(_1357_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -13605,11 +13581,21 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6564__S (.DIODE(_2637_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__6566__A (.DIODE(_1353_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6567__S (.DIODE(_2637_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__6569__A (.DIODE(_1349_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -13640,11 +13626,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6579__A (.DIODE(_1334_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__6580__A1 (.DIODE(_2652_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -13830,21 +13811,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6658__S (.DIODE(_2701_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__6660__A (.DIODE(_1346_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6661__S (.DIODE(_2701_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__6663__A (.DIODE(_1343_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -13855,26 +13826,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6664__S (.DIODE(_2701_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6666__A (.DIODE(_1334_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__6667__A1 (.DIODE(_2707_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6667__S (.DIODE(_2701_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__6670__B (.DIODE(_2709_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -13935,11 +13891,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6691__A (.DIODE(_2722_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__6692__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -13970,11 +13921,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6700__A (.DIODE(_2722_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__6701__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -14020,7 +13966,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6711__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6713__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -14125,21 +14071,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6741__A0 (.DIODE(_2625_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__6741__A1 (.DIODE(\u_pinmux_reg.cfg_glb_ctrl[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6743__A0 (.DIODE(_2628_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__6747__A (.DIODE(_2603_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -14280,11 +14216,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6790__A0 (.DIODE(\u_pinmux_reg.cfg_pwm1_low[5] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__6792__A0 (.DIODE(\u_pinmux_reg.cfg_pwm1_low[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -14355,11 +14286,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6818__A (.DIODE(_2801_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__6819__A0 (.DIODE(\u_pinmux_reg.reg_15[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -14375,11 +14301,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6827__A (.DIODE(_2801_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__6836__A (.DIODE(_2603_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -14840,6 +14761,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__6964__A (.DIODE(_1327_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__6965__B (.DIODE(_2896_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -15000,11 +14926,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6996__B2 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__6997__A (.DIODE(_2903_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -15105,11 +15026,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7019__A (.DIODE(_2947_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__7021__A (.DIODE(_1585_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -15315,11 +15231,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7055__A (.DIODE(_2947_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__7057__A1 (.DIODE(\u_pinmux_reg.reg_15[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -15400,6 +15311,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7072__A1 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__7072__A2 (.DIODE(_2995_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -16160,6 +16076,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7183__A1 (.DIODE(net252),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__7184__A (.DIODE(_3012_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -16250,11 +16171,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7195__B2 (.DIODE(\u_pinmux_reg.cfg_pwm1_low[5] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__7197__A2 (.DIODE(_2988_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -16305,11 +16221,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7206__A1 (.DIODE(net253),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__7208__A2 (.DIODE(_1624_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -16460,11 +16371,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7230__A1 (.DIODE(net254),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__7233__A (.DIODE(_1878_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -16555,11 +16461,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7247__C1 (.DIODE(_3168_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__7248__A2 (.DIODE(_3146_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -16600,11 +16501,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7253__A1 (.DIODE(net255),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__7254__A (.DIODE(_2933_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -17465,6 +17361,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7353__B2 (.DIODE(\u_pinmux_reg.cfg_pwm1_low[11] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__7354__A (.DIODE(_3043_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -17710,6 +17611,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7385__B2 (.DIODE(\u_pinmux_reg.cfg_pwm3_low[12] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__7386__A2 (.DIODE(_3299_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -17845,11 +17751,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7402__B1 (.DIODE(_2947_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__7402__B2 (.DIODE(\u_pinmux_reg.cfg_pwm1_low[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -18250,6 +18151,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7442__A1 (.DIODE(net231),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__7442__A2 (.DIODE(_3285_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -18355,11 +18261,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7451__B2 (.DIODE(\u_pinmux_reg.reg_15[15] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__7452__A2 (.DIODE(_3299_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -18755,11 +18656,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7487__C1 (.DIODE(_3398_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__7488__A2 (.DIODE(_3291_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -18940,11 +18836,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7504__A1 (.DIODE(\u_pinmux_reg.reg_15[18] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__7504__A2 (.DIODE(_3235_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -18985,11 +18876,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7506__B2 (.DIODE(\u_gpio_intr.cfg_gpio_data_in[18] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__7507__A2 (.DIODE(_1728_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -19120,11 +19006,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7517__A1 (.DIODE(\u_pinmux_reg.cfg_gpio_int_status[18] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__7517__A2 (.DIODE(_3395_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -19185,6 +19066,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7523__A1 (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[19] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__7523__A2 (.DIODE(_3212_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -19195,6 +19081,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7523__B2 (.DIODE(\u_gpio_intr.cfg_gpio_data_in[19] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__7524__A1 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -19230,6 +19121,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7526__A1 (.DIODE(\u_pinmux_reg.reg_15[19] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__7526__A2 (.DIODE(_3058_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -19270,6 +19166,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7529__B2 (.DIODE(\u_pinmux_reg.cfg_gpio_out_type[19] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__7530__A2 (.DIODE(_3220_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -19580,6 +19481,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7559__A1 (.DIODE(net238),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__7559__A2 (.DIODE(_3285_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -19630,11 +19536,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7563__B2 (.DIODE(\u_gpio_intr.cfg_gpio_data_in[21] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__7564__A2 (.DIODE(_3316_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -19695,6 +19596,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7569__A1 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__7569__B1 (.DIODE(_3363_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -20305,11 +20211,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7624__B1 (.DIODE(_2947_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__7625__A2 (.DIODE(_3501_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -20320,6 +20221,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7625__B2 (.DIODE(\u_pinmux_reg.cfg_pwm2_high[8] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__7626__A2 (.DIODE(_3307_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -20445,11 +20351,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7637__B2 (.DIODE(\u_gpio_intr.cfg_gpio_data_in[25] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__7638__A2 (.DIODE(_3383_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -20830,11 +20731,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7666__A2 (.DIODE(_3562_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__7666__A3 (.DIODE(_3568_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -20855,6 +20751,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7667__B2 (.DIODE(\u_pinmux_reg.cfg_glb_ctrl[27] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__7668__A1 (.DIODE(\u_gpio_intr.cfg_gpio_data_in[27] ),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -20890,11 +20791,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7671__A1 (.DIODE(\u_pinmux_reg.reg_3[27] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__7671__A2 (.DIODE(_3454_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -21315,6 +21211,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7700__B2 (.DIODE(\u_pinmux_reg.cfg_glb_ctrl[29] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__7701__A1 (.DIODE(\u_gpio_intr.cfg_gpio_data_in[29] ),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -21660,11 +21561,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7726__A1 (.DIODE(\u_pinmux_reg.reg_15[30] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__7726__A2 (.DIODE(_2128_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -21760,11 +21656,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7732__B2 (.DIODE(net249),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__7733__A2 (.DIODE(_3203_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -22005,11 +21896,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7748__A1 (.DIODE(net250),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__7748__A2 (.DIODE(_3538_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -22060,16 +21946,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7777__A0 (.DIODE(_2625_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7779__A0 (.DIODE(_2628_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__7783__B (.DIODE(_2896_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -22155,21 +22031,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7815__A0 (.DIODE(_2625_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__7815__A1 (.DIODE(\u_pinmux_reg.cfg_pulse_1us[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7817__A0 (.DIODE(_2628_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__7817__A1 (.DIODE(\u_pinmux_reg.cfg_pulse_1us[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -22325,11 +22191,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7857__A1 (.DIODE(_1334_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__7859__A0 (.DIODE(\u_pinmux_reg.u_reg8_be2.gen_bit_reg[3].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -22370,6 +22231,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7869__A1 (.DIODE(\u_pinmux_reg.cfg_glb_ctrl[27] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__7872__A0 (.DIODE(_1055_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -22380,6 +22246,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7874__A1 (.DIODE(\u_pinmux_reg.cfg_glb_ctrl[29] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__7876__A1 (.DIODE(\u_pinmux_reg.cfg_glb_ctrl[30] ),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -22470,6 +22341,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7923__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__7923__S (.DIODE(_3723_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -22650,6 +22526,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__8008__C1 (.DIODE(_3830_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__8012__B1 (.DIODE(\u_pinmux_reg.cfg_pwm1_low[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -22675,7 +22556,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8034__B1 (.DIODE(\u_pinmux_reg.cfg_pwm1_low[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8030__B1 (.DIODE(_3830_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -22685,6 +22566,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__8036__A2 (.DIODE(_3830_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__8039__A1 (.DIODE(\u_pinmux_reg.cfg_pwm1_low[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -22725,6 +22611,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__8067__B2 (.DIODE(\u_pinmux_reg.cfg_pwm1_low[11] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__8070__A1 (.DIODE(\u_pinmux_reg.cfg_pwm1_low[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -22735,6 +22626,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__8071__C1 (.DIODE(_3830_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__8075__A1 (.DIODE(\u_pinmux_reg.cfg_pwm1_low[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -22755,7 +22651,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8084__B2 (.DIODE(net514),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8084__B2 (.DIODE(\u_pinmux_reg.cfg_pwm1_low[15] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -22900,6 +22796,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__8154__A2 (.DIODE(_3951_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__8155__A (.DIODE(_3928_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -22920,6 +22821,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__8164__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_high[8] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__8164__S (.DIODE(_3916_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -22940,6 +22846,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__8175__A2 (.DIODE(_3951_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__8176__B (.DIODE(_3928_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -22950,6 +22861,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__8180__B2 (.DIODE(_3951_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__8182__A1 (.DIODE(\u_pinmux_reg.cfg_pwm2_low[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -22990,6 +22906,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__8197__B2 (.DIODE(_3951_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__8198__A (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -23155,6 +23076,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__8299__A1 (.DIODE(\u_pinmux_reg.cfg_pwm3_low[12] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__8300__A1 (.DIODE(\u_pinmux_reg.cfg_pwm3_high[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -23215,7 +23141,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8343__B2 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8350__A1 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -23365,12 +23291,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8432__RESET_B (.DIODE(net280),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8432__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8433__RESET_B (.DIODE(net280),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8433__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -23380,47 +23306,52 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8435__RESET_B (.DIODE(net280),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8435__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8436__RESET_B (.DIODE(net280),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8436__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8437__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8437__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8438__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8438__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8439__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8439__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8440__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8440__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8441__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8441__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8442__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8442__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8443__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8443__D (.DIODE(net5),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__8443__RESET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -23430,37 +23361,32 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8444__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8444__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8445__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8445__RESET_B (.DIODE(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8446__D (.DIODE(net8),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8446__RESET_B (.DIODE(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8446__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8447__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8447__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8448__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8448__RESET_B (.DIODE(net278),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8449__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8449__RESET_B (.DIODE(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -23470,12 +23396,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8451__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8451__RESET_B (.DIODE(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8452__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8452__RESET_B (.DIODE(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -23505,7 +23431,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8455__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8455__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -23515,7 +23441,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8456__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8456__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -23525,7 +23451,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8457__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8457__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -23535,7 +23461,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8458__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8458__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -23545,112 +23471,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8459__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8459__RESET_B (.DIODE(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8460__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8460__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8461__D (.DIODE(net29),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8461__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8461__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8462__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8462__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8463__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8463__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8464__RESET_B (.DIODE(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8464__RESET_B (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8465__RESET_B (.DIODE(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8465__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8466__RESET_B (.DIODE(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8466__RESET_B (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8467__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8467__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8468__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8468__RESET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8469__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8469__RESET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8470__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8470__RESET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8471__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8471__RESET_B (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8472__SET_B (.DIODE(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8472__SET_B (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8473__SET_B (.DIODE(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8473__SET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8474__RESET_B (.DIODE(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8474__RESET_B (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8475__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8475__RESET_B (.DIODE(net281),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8476__SET_B (.DIODE(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8476__SET_B (.DIODE(net285),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8477__SET_B (.DIODE(net31),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8477__SET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8478__SET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8478__SET_B (.DIODE(net284),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8479__RESET_B (.DIODE(net285),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8479__RESET_B (.DIODE(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -23660,22 +23581,22 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8481__RESET_B (.DIODE(net275),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8481__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8482__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8482__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8483__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8483__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8484__RESET_B (.DIODE(net275),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8484__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -23685,7 +23606,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8486__RESET_B (.DIODE(net275),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8486__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -23695,52 +23616,62 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8488__RESET_B (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8488__RESET_B (.DIODE(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8489__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8489__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8490__RESET_B (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8490__RESET_B (.DIODE(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8491__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8491__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8492__RESET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8492__RESET_B (.DIODE(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8493__RESET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8493__CLK (.DIODE(clknet_leaf_12_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8494__RESET_B (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8493__RESET_B (.DIODE(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8495__RESET_B (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8494__CLK (.DIODE(clknet_leaf_12_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8496__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8494__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8497__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8495__RESET_B (.DIODE(net480),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__8496__RESET_B (.DIODE(net278),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__8497__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -23750,52 +23681,52 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8499__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8499__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8500__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8500__RESET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8501__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8501__RESET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8502__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8502__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8503__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8503__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8504__SET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8504__SET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8505__SET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8505__SET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8506__RESET_B (.DIODE(net281),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8506__RESET_B (.DIODE(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8507__RESET_B (.DIODE(net281),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8507__RESET_B (.DIODE(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8508__RESET_B (.DIODE(net275),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8508__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -23805,7 +23736,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8510__RESET_B (.DIODE(net275),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8510__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -23815,102 +23746,112 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8512__RESET_B (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8512__RESET_B (.DIODE(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8513__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8513__RESET_B (.DIODE(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8514__RESET_B (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8514__RESET_B (.DIODE(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8515__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8515__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8516__RESET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8516__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8517__RESET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8517__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8518__RESET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8518__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8519__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8519__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8520__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8520__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8521__RESET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8521__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8522__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8522__CLK (.DIODE(clknet_leaf_56_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8523__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8522__RESET_B (.DIODE(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8524__RESET_B (.DIODE(net275),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8523__CLK (.DIODE(clknet_leaf_56_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8525__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8523__RESET_B (.DIODE(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8526__RESET_B (.DIODE(net275),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8524__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8527__RESET_B (.DIODE(net275),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8525__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8528__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8526__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8529__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8527__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8530__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8528__RESET_B (.DIODE(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8531__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8529__RESET_B (.DIODE(net275),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__8530__RESET_B (.DIODE(net275),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__8531__RESET_B (.DIODE(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -23935,62 +23876,62 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8536__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8536__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8537__SET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8537__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8538__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8538__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8539__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8539__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8540__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8540__SET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8541__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8541__RESET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8542__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8542__RESET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8543__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8543__RESET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8544__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8544__RESET_B (.DIODE(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8545__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8545__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8546__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8546__RESET_B (.DIODE(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8547__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8547__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -24000,7 +23941,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8549__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8549__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -24015,12 +23956,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8552__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8552__RESET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8553__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8553__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -24030,22 +23971,22 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8555__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8555__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8556__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8556__RESET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8557__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8557__RESET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8558__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8558__RESET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -24055,12 +23996,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8560__RESET_B (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8560__RESET_B (.DIODE(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8561__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8561__RESET_B (.DIODE(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -24070,92 +24011,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8563__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8563__RESET_B (.DIODE(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8564__RESET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8564__RESET_B (.DIODE(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8565__RESET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8565__CLK (.DIODE(clknet_leaf_12_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8566__RESET_B (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8565__RESET_B (.DIODE(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8567__RESET_B (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8566__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8568__SET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8567__RESET_B (.DIODE(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8569__SET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8568__SET_B (.DIODE(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8570__RESET_B (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8569__SET_B (.DIODE(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8571__RESET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8570__RESET_B (.DIODE(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8572__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8571__RESET_B (.DIODE(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8573__RESET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8572__RESET_B (.DIODE(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8574__RESET_B (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8573__RESET_B (.DIODE(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8575__RESET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8574__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8576__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8575__RESET_B (.DIODE(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8577__RESET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8576__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8578__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8577__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8579__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8578__CLK (.DIODE(clknet_leaf_56_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8580__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8578__RESET_B (.DIODE(net282),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__8579__CLK (.DIODE(clknet_leaf_56_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__8579__RESET_B (.DIODE(net282),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__8580__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -24165,82 +24121,82 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8582__RESET_B (.DIODE(net275),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8582__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8583__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8583__RESET_B (.DIODE(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8584__RESET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8584__RESET_B (.DIODE(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8585__RESET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8585__RESET_B (.DIODE(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8586__RESET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8586__RESET_B (.DIODE(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8587__RESET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8587__RESET_B (.DIODE(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8588__RESET_B (.DIODE(net285),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8588__RESET_B (.DIODE(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8589__RESET_B (.DIODE(net285),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8589__RESET_B (.DIODE(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8590__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8590__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8591__RESET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8591__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8592__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8592__RESET_B (.DIODE(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8593__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8593__RESET_B (.DIODE(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8594__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8594__RESET_B (.DIODE(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8595__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8595__RESET_B (.DIODE(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8596__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8596__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8597__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8597__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -24250,7 +24206,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8599__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8599__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -24260,127 +24216,137 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8601__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8601__RESET_B (.DIODE(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8602__RESET_B (.DIODE(net281),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8602__RESET_B (.DIODE(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8603__RESET_B (.DIODE(net281),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8603__CLK (.DIODE(clknet_leaf_56_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8604__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8603__RESET_B (.DIODE(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8605__SET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8604__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8606__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8605__SET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8607__RESET_B (.DIODE(net275),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8606__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8608__RESET_B (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8607__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8609__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8608__RESET_B (.DIODE(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8610__RESET_B (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8609__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8611__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8610__RESET_B (.DIODE(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8612__RESET_B (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8611__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8613__RESET_B (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8612__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8614__RESET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8613__CLK (.DIODE(clknet_leaf_12_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8615__RESET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8613__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8616__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8614__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8617__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8615__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8618__RESET_B (.DIODE(net281),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8616__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8619__RESET_B (.DIODE(net281),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8617__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8620__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8618__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8621__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8619__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8622__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8620__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8623__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8621__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8624__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8622__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8625__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8623__RESET_B (.DIODE(net276),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__8624__RESET_B (.DIODE(net275),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__8625__RESET_B (.DIODE(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -24405,162 +24371,162 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8630__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8630__RESET_B (.DIODE(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8631__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8631__RESET_B (.DIODE(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8632__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8632__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8633__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8633__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8634__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8634__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8635__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8635__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8636__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8636__RESET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8637__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8637__RESET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8638__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8638__RESET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8639__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8639__RESET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8640__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8640__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8641__RESET_B (.DIODE(net280),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8641__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8642__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8642__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8643__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8643__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8644__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8644__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8645__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8645__RESET_B (.DIODE(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8646__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8646__RESET_B (.DIODE(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8647__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8647__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8648__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8648__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8649__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8649__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8650__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8650__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8651__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8651__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8652__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8652__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8653__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8653__RESET_B (.DIODE(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8654__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8654__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8655__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8655__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8656__RESET_B (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8656__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8657__RESET_B (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8657__RESET_B (.DIODE(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8658__RESET_B (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8658__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8659__RESET_B (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8659__RESET_B (.DIODE(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8660__RESET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8660__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8661__RESET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8661__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -24570,207 +24536,242 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8663__RESET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8663__CLK (.DIODE(clknet_leaf_12_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8664__SET_B (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8663__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8665__SET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8664__SET_B (.DIODE(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8666__RESET_B (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8665__SET_B (.DIODE(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8667__RESET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8666__RESET_B (.DIODE(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8668__RESET_B (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8667__RESET_B (.DIODE(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8669__RESET_B (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8668__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8670__RESET_B (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8669__CLK (.DIODE(clknet_leaf_12_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8671__RESET_B (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8669__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8672__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8670__CLK (.DIODE(clknet_leaf_12_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8673__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8670__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8674__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8671__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8675__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8672__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8676__RESET_B (.DIODE(net275),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8673__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8677__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8674__RESET_B (.DIODE(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8678__RESET_B (.DIODE(net275),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8675__RESET_B (.DIODE(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8679__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8676__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8680__RESET_B (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8677__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8681__RESET_B (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8678__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8682__RESET_B (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8679__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8683__RESET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8680__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8684__RESET_B (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8681__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8685__RESET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8682__RESET_B (.DIODE(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8686__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8683__RESET_B (.DIODE(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8687__RESET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8684__CLK (.DIODE(clknet_leaf_12_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8688__RESET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8684__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8689__RESET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8685__CLK (.DIODE(clknet_leaf_12_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8690__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8685__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8691__RESET_B (.DIODE(net281),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8686__CLK (.DIODE(clknet_leaf_12_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8692__SET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8686__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8693__SET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8687__CLK (.DIODE(clknet_leaf_12_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8694__SET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8687__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8695__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8688__RESET_B (.DIODE(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8696__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8689__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8697__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8690__RESET_B (.DIODE(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8698__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8691__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8699__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8692__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8700__RESET_B (.DIODE(net275),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8693__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8701__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8694__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8702__RESET_B (.DIODE(net275),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8695__SET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8703__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8696__RESET_B (.DIODE(net276),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__8697__RESET_B (.DIODE(net276),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__8698__RESET_B (.DIODE(net287),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__8699__RESET_B (.DIODE(net282),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__8700__RESET_B (.DIODE(net277),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__8701__RESET_B (.DIODE(net276),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__8702__RESET_B (.DIODE(net277),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__8703__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -24780,27 +24781,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8705__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8705__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8706__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8706__RESET_B (.DIODE(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8707__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8707__RESET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8708__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8708__RESET_B (.DIODE(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8709__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8709__RESET_B (.DIODE(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -24810,87 +24811,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8711__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8711__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8712__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8712__RESET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8713__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8713__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8714__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8714__RESET_B (.DIODE(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8715__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8715__RESET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8716__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8716__RESET_B (.DIODE(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8717__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8717__RESET_B (.DIODE(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8718__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8718__RESET_B (.DIODE(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8719__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8719__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8720__RESET_B (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8720__RESET_B (.DIODE(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8721__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8721__RESET_B (.DIODE(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8722__RESET_B (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8722__RESET_B (.DIODE(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8723__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8723__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8724__RESET_B (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8724__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8725__RESET_B (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8725__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8726__RESET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8726__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8727__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8727__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -24900,7 +24901,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8729__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8729__RESET_B (.DIODE(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -24935,167 +24936,167 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8736__SET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8736__SET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8737__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8737__RESET_B (.DIODE(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8738__SET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8738__SET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8739__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8739__RESET_B (.DIODE(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8740__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8740__RESET_B (.DIODE(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8741__SET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8741__SET_B (.DIODE(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8742__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8742__RESET_B (.DIODE(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8743__SET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8743__SET_B (.DIODE(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8744__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8744__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8745__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8745__RESET_B (.DIODE(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8746__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8746__RESET_B (.DIODE(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8747__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8747__RESET_B (.DIODE(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8748__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8748__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8749__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8749__RESET_B (.DIODE(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8750__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8750__RESET_B (.DIODE(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8751__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8751__RESET_B (.DIODE(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8752__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8752__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8753__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8753__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8754__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8754__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8755__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8755__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8756__RESET_B (.DIODE(net275),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8756__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8757__RESET_B (.DIODE(net275),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8757__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8758__RESET_B (.DIODE(net275),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8758__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8759__RESET_B (.DIODE(net275),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8759__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8760__SET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8760__SET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8761__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8761__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8762__SET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8762__SET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8763__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8763__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8764__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8764__RESET_B (.DIODE(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8765__SET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8765__SET_B (.DIODE(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8766__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8766__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8767__SET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8767__SET_B (.DIODE(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8768__RESET_B (.DIODE(net285),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8768__RESET_B (.DIODE(net289),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -25105,7 +25106,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8770__RESET_B (.DIODE(net285),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8770__RESET_B (.DIODE(net289),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -25115,7 +25116,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8772__RESET_B (.DIODE(net289),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8772__RESET_B (.DIODE(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -25130,7 +25131,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8775__RESET_B (.DIODE(net289),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8775__RESET_B (.DIODE(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -25175,22 +25176,22 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8784__RESET_B (.DIODE(net285),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8784__RESET_B (.DIODE(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8785__RESET_B (.DIODE(net285),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8785__RESET_B (.DIODE(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8786__RESET_B (.DIODE(net285),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8786__RESET_B (.DIODE(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8787__RESET_B (.DIODE(net285),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8787__RESET_B (.DIODE(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -25215,42 +25216,42 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8792__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8792__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8793__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8793__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8794__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8794__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8795__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8795__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8796__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8796__RESET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8797__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8797__RESET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8798__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8798__RESET_B (.DIODE(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8799__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8799__RESET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -25295,7 +25296,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8808__RESET_B (.DIODE(net285),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8808__RESET_B (.DIODE(net289),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -25305,12 +25306,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8810__RESET_B (.DIODE(net285),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8810__RESET_B (.DIODE(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8811__RESET_B (.DIODE(net285),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8811__RESET_B (.DIODE(net289),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -25325,357 +25326,357 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8814__RESET_B (.DIODE(net285),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8814__RESET_B (.DIODE(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8815__RESET_B (.DIODE(net285),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8815__RESET_B (.DIODE(net289),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8816__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8816__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8817__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8817__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8818__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8818__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8819__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8819__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8820__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8820__RESET_B (.DIODE(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8821__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8821__RESET_B (.DIODE(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8822__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8822__RESET_B (.DIODE(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8823__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8823__RESET_B (.DIODE(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8824__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8824__RESET_B (.DIODE(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8825__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8825__RESET_B (.DIODE(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8826__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8826__RESET_B (.DIODE(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8827__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8827__RESET_B (.DIODE(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8828__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8828__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8829__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8829__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8830__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8830__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8831__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8831__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8832__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8832__RESET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8833__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8833__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8834__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8834__RESET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8835__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8835__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8836__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8836__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8837__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8837__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8838__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8838__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8839__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8839__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8840__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8840__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8841__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8841__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8842__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8842__RESET_B (.DIODE(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8843__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8843__RESET_B (.DIODE(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8844__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8844__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8845__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8845__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8846__RESET_B (.DIODE(net275),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8846__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8847__RESET_B (.DIODE(net275),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8847__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8848__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8848__RESET_B (.DIODE(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8849__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8849__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8850__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8850__RESET_B (.DIODE(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8851__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8851__RESET_B (.DIODE(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8852__RESET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8852__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8853__RESET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8853__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8854__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8854__RESET_B (.DIODE(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8855__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8855__RESET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8856__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8856__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8857__RESET_B (.DIODE(net280),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8857__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8858__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8858__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8859__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8859__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8860__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8860__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8861__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8861__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8862__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8862__RESET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8863__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8863__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8864__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8864__RESET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8865__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8865__RESET_B (.DIODE(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8866__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8866__RESET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8867__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8867__RESET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8868__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8868__RESET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8869__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8869__RESET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8870__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8870__RESET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8871__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8871__RESET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8872__RESET_B (.DIODE(net281),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8872__RESET_B (.DIODE(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8873__RESET_B (.DIODE(net281),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8873__RESET_B (.DIODE(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8874__RESET_B (.DIODE(net281),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8874__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8875__RESET_B (.DIODE(net281),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8875__RESET_B (.DIODE(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8876__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8876__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8877__RESET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8877__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8878__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8878__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8879__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8879__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8880__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8880__RESET_B (.DIODE(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8881__RESET_B (.DIODE(net281),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8881__RESET_B (.DIODE(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8882__RESET_B (.DIODE(net281),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8882__RESET_B (.DIODE(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8883__RESET_B (.DIODE(net281),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8883__RESET_B (.DIODE(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8884__RESET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8884__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -25685,12 +25686,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8886__RESET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8886__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8887__RESET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8887__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -25700,57 +25701,57 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8889__RESET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8889__RESET_B (.DIODE(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8890__RESET_B (.DIODE(net285),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8890__RESET_B (.DIODE(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8891__RESET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8891__RESET_B (.DIODE(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8892__RESET_B (.DIODE(net285),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8892__RESET_B (.DIODE(net289),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8893__RESET_B (.DIODE(net285),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8893__RESET_B (.DIODE(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8894__RESET_B (.DIODE(net285),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8894__RESET_B (.DIODE(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8895__RESET_B (.DIODE(net285),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8895__RESET_B (.DIODE(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8896__RESET_B (.DIODE(net281),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8896__RESET_B (.DIODE(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8897__RESET_B (.DIODE(net281),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8897__RESET_B (.DIODE(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8898__RESET_B (.DIODE(net281),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8898__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8899__RESET_B (.DIODE(net281),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8899__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -25765,32 +25766,32 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8902__RESET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8902__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8903__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8903__RESET_B (.DIODE(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8904__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8904__RESET_B (.DIODE(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8905__RESET_B (.DIODE(net281),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8905__RESET_B (.DIODE(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8906__RESET_B (.DIODE(net281),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8906__RESET_B (.DIODE(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8907__RESET_B (.DIODE(net281),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8907__RESET_B (.DIODE(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -25805,12 +25806,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8910__RESET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8910__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8911__RESET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8911__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -25825,17 +25826,17 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8914__RESET_B (.DIODE(net289),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8914__RESET_B (.DIODE(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8915__RESET_B (.DIODE(net285),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8915__RESET_B (.DIODE(net289),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8916__RESET_B (.DIODE(net285),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8916__RESET_B (.DIODE(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -25845,12 +25846,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8918__RESET_B (.DIODE(net285),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8918__RESET_B (.DIODE(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8919__RESET_B (.DIODE(net285),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8919__RESET_B (.DIODE(net289),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -25860,82 +25861,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8921__RESET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8921__RESET_B (.DIODE(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8922__RESET_B (.DIODE(net285),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8922__RESET_B (.DIODE(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8923__RESET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8923__RESET_B (.DIODE(net289),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8924__RESET_B (.DIODE(net285),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8924__RESET_B (.DIODE(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8925__RESET_B (.DIODE(net285),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8925__CLK (.DIODE(clknet_leaf_12_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8926__RESET_B (.DIODE(net285),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8925__RESET_B (.DIODE(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8927__RESET_B (.DIODE(net285),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8926__RESET_B (.DIODE(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8928__RESET_B (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8927__RESET_B (.DIODE(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8929__RESET_B (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8928__RESET_B (.DIODE(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8930__RESET_B (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8929__RESET_B (.DIODE(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8931__RESET_B (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8930__RESET_B (.DIODE(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8932__RESET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8931__RESET_B (.DIODE(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8933__RESET_B (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8932__RESET_B (.DIODE(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8934__RESET_B (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8933__RESET_B (.DIODE(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8935__RESET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8934__RESET_B (.DIODE(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8936__RESET_B (.DIODE(net281),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8935__RESET_B (.DIODE(net285),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__8936__RESET_B (.DIODE(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -25950,7 +25956,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8939__RESET_B (.DIODE(net281),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8939__RESET_B (.DIODE(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -25960,7 +25966,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8941__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8941__RESET_B (.DIODE(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -25975,27 +25981,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8944__RESET_B (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8944__RESET_B (.DIODE(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8945__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8945__RESET_B (.DIODE(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8946__RESET_B (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8946__RESET_B (.DIODE(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8947__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8947__RESET_B (.DIODE(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8948__RESET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8948__RESET_B (.DIODE(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -26005,42 +26011,42 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8950__RESET_B (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8950__RESET_B (.DIODE(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8951__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8951__RESET_B (.DIODE(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8952__RESET_B (.DIODE(net281),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8952__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8953__RESET_B (.DIODE(net281),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8953__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8954__RESET_B (.DIODE(net281),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8954__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8955__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8955__RESET_B (.DIODE(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8956__RESET_B (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8956__RESET_B (.DIODE(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8957__RESET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8957__RESET_B (.DIODE(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -26050,7 +26056,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8959__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8959__RESET_B (.DIODE(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -26095,7 +26101,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8968__RESET_B (.DIODE(net281),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8968__RESET_B (.DIODE(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -26105,7 +26111,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8970__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8970__RESET_B (.DIODE(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -26135,12 +26141,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8976__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8976__RESET_B (.DIODE(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8977__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8977__RESET_B (.DIODE(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -26155,12 +26161,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8980__RESET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8980__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8981__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8981__RESET_B (.DIODE(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -26170,67 +26176,72 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8983__RESET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8983__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8984__RESET_B (.DIODE(net281),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8984__RESET_B (.DIODE(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8985__RESET_B (.DIODE(net281),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8985__RESET_B (.DIODE(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8986__RESET_B (.DIODE(net281),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8986__RESET_B (.DIODE(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8987__RESET_B (.DIODE(net281),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8987__RESET_B (.DIODE(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8988__RESET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8988__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8989__RESET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8989__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8990__RESET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8990__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8991__RESET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8991__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8992__D (.DIODE(net487),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8992__D (.DIODE(\u_gpio_intr.gpio_prev_indata[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8992__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8992__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8993__D (.DIODE(net496),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8993__CLK (.DIODE(clknet_leaf_56_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8993__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8993__D (.DIODE(\u_gpio_intr.gpio_prev_indata[9] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__8993__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -26240,7 +26251,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8994__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8994__RESET_B (.DIODE(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -26250,27 +26261,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8995__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8995__RESET_B (.DIODE(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8996__D (.DIODE(net466),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8996__D (.DIODE(\u_gpio_intr.gpio_prev_indata[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8996__RESET_B (.DIODE(net275),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8996__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8997__D (.DIODE(net498),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8997__D (.DIODE(\u_gpio_intr.gpio_prev_indata[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8997__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8997__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -26280,7 +26291,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__8998__RESET_B (.DIODE(net275),
+ sky130_fd_sc_hd__diode_2 ANTENNA__8998__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -26295,12 +26306,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9000__D (.DIODE(net475),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9000__D (.DIODE(\u_gpio_intr.gpio_prev_indata[16] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9000__RESET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9000__RESET_B (.DIODE(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -26310,12 +26321,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9001__RESET_B (.DIODE(net285),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9001__RESET_B (.DIODE(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9002__D (.DIODE(net484),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9002__D (.DIODE(\u_gpio_intr.gpio_prev_indata[18] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -26325,17 +26336,17 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9003__D (.DIODE(net472),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9003__D (.DIODE(\u_gpio_intr.gpio_prev_indata[19] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9003__RESET_B (.DIODE(net285),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9003__RESET_B (.DIODE(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9004__D (.DIODE(\u_gpio_intr.gpio_prev_indata[20] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9004__D (.DIODE(net504),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -26360,7 +26371,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9006__RESET_B (.DIODE(net285),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9006__RESET_B (.DIODE(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -26375,172 +26386,172 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9008__D (.DIODE(net495),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9008__D (.DIODE(net496),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9008__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9008__RESET_B (.DIODE(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9009__D (.DIODE(net483),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9009__D (.DIODE(net485),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9009__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9009__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9010__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9010__RESET_B (.DIODE(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9011__D (.DIODE(net492),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9011__D (.DIODE(\u_gpio_intr.gpio_prev_indata[28] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9011__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9011__RESET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9012__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9012__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9013__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9013__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9014__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9014__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9015__RESET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9015__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9016__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9016__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9017__RESET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9017__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9018__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9018__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9019__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9019__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9020__RESET_B (.DIODE(net275),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9020__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9021__RESET_B (.DIODE(net275),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9021__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9022__RESET_B (.DIODE(net275),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9022__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9023__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9023__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9024__SET_B (.DIODE(net280),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9024__SET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9025__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9025__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9026__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9026__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9027__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9027__RESET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9028__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9028__RESET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9029__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9029__RESET_B (.DIODE(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9030__SET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9030__SET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9031__RESET_B (.DIODE(net281),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9031__RESET_B (.DIODE(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9032__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9032__RESET_B (.DIODE(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9033__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9033__RESET_B (.DIODE(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9034__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9034__RESET_B (.DIODE(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9035__RESET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9035__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9036__RESET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9036__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9037__RESET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9037__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9038__RESET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9038__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -26585,97 +26596,97 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9047__RESET_B (.DIODE(net281),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9047__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9048__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9048__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9049__RESET_B (.DIODE(net281),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9049__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9050__RESET_B (.DIODE(net281),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9050__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9051__RESET_B (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9051__RESET_B (.DIODE(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9052__RESET_B (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9052__RESET_B (.DIODE(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9053__RESET_B (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9053__RESET_B (.DIODE(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9054__RESET_B (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9054__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9055__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9055__RESET_B (.DIODE(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9056__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9056__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9057__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9057__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9058__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9058__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9059__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9059__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9060__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9060__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9061__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9061__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9062__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9062__RESET_B (.DIODE(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9063__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9063__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9064__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9064__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9065__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9065__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -26710,52 +26721,62 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9072__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9072__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9073__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9073__CLK (.DIODE(clknet_leaf_56_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9074__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9073__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9075__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9074__RESET_B (.DIODE(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9076__RESET_B (.DIODE(net275),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9075__CLK (.DIODE(clknet_leaf_56_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9077__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9075__RESET_B (.DIODE(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9078__RESET_B (.DIODE(net275),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9076__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9079__RESET_B (.DIODE(net275),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9077__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9080__RESET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9078__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9081__RESET_B (.DIODE(net285),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9079__RESET_B (.DIODE(net277),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__9080__RESET_B (.DIODE(net285),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__9081__RESET_B (.DIODE(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -26765,7 +26786,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9083__RESET_B (.DIODE(net285),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9083__RESET_B (.DIODE(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -26775,12 +26796,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9085__RESET_B (.DIODE(net289),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9085__RESET_B (.DIODE(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9086__RESET_B (.DIODE(net285),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9086__RESET_B (.DIODE(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -26790,82 +26811,82 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9088__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9088__RESET_B (.DIODE(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9089__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9089__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9090__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9090__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9091__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9091__RESET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9092__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9092__RESET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9093__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9093__RESET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9094__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9094__RESET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9095__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9095__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9096__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9096__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9097__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9097__RESET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9098__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9098__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9099__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9099__RESET_B (.DIODE(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9100__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9100__RESET_B (.DIODE(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9101__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9101__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9102__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9102__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9103__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9103__RESET_B (.DIODE(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -26875,12 +26896,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9105__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9105__RESET_B (.DIODE(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9106__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9106__RESET_B (.DIODE(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -26895,47 +26916,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9109__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9109__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9110__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9110__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9111__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9111__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9112__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9112__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9113__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9113__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9114__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9114__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9115__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9115__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9116__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9116__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9117__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9117__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -26945,172 +26966,172 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9119__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9119__RESET_B (.DIODE(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9120__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9120__RESET_B (.DIODE(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9121__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9121__RESET_B (.DIODE(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9122__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9122__RESET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9123__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9123__RESET_B (.DIODE(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9124__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9124__RESET_B (.DIODE(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9125__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9125__RESET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9126__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9126__RESET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9127__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9127__RESET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9128__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9128__RESET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9129__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9129__RESET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9130__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9130__RESET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9131__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9131__RESET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9132__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9132__RESET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9133__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9133__RESET_B (.DIODE(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9134__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9134__RESET_B (.DIODE(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9135__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9135__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9136__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9136__RESET_B (.DIODE(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9137__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9137__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9138__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9138__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9139__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9139__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9140__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9140__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9141__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9141__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9142__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9142__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9143__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9143__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9144__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9144__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9145__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9145__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9146__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9146__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9147__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9147__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9148__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9148__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9149__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9149__RESET_B (.DIODE(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9150__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9150__RESET_B (.DIODE(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9151__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9151__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9152__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9152__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -27125,32 +27146,32 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9155__RESET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9155__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9156__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9156__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9157__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9157__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9158__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9158__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9159__RESET_B (.DIODE(net280),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9159__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9160__RESET_B (.DIODE(net280),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9160__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -27165,102 +27186,102 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9163__RESET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9163__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9164__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9164__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9165__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9165__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9166__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9166__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9167__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9167__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9168__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9168__RESET_B (.DIODE(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9169__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9169__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9170__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9170__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9171__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9171__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9172__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9172__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9173__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9173__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9174__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9174__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9175__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9175__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9176__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9176__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9177__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9177__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9178__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9178__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9179__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9179__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9180__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9180__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9181__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9181__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9182__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9182__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -27285,12 +27306,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9187__RESET_B (.DIODE(net280),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9187__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9188__SET_B (.DIODE(net280),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9188__SET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -27300,7 +27321,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9190__RESET_B (.DIODE(net280),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9190__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -27315,32 +27336,32 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9193__RESET_B (.DIODE(net280),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9193__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9194__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9194__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9195__SET_B (.DIODE(net280),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9195__SET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9196__SET_B (.DIODE(net280),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9196__SET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9197__RESET_B (.DIODE(net280),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9197__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9198__RESET_B (.DIODE(net280),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9198__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -27355,77 +27376,77 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9201__SET_B (.DIODE(net280),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9201__SET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9202__RESET_B (.DIODE(net280),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9202__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9203__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9203__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9204__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9204__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9205__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9205__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9206__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9206__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9207__RESET_B (.DIODE(net280),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9207__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9208__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9208__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9209__RESET_B (.DIODE(net280),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9209__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9210__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9210__RESET_B (.DIODE(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9211__RESET_B (.DIODE(net280),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9211__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9212__RESET_B (.DIODE(net280),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9212__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9213__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9213__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9214__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9214__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9215__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9215__RESET_B (.DIODE(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -27440,47 +27461,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9218__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9218__RESET_B (.DIODE(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9219__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9219__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9220__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9220__RESET_B (.DIODE(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9221__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9221__RESET_B (.DIODE(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9222__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9222__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9223__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9223__RESET_B (.DIODE(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9224__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9224__RESET_B (.DIODE(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9225__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9225__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9226__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9226__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -27490,22 +27511,22 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9228__RESET_B (.DIODE(net285),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9228__RESET_B (.DIODE(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9229__RESET_B (.DIODE(net285),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9229__RESET_B (.DIODE(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9230__RESET_B (.DIODE(net285),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9230__RESET_B (.DIODE(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9231__RESET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9231__RESET_B (.DIODE(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -27520,17 +27541,17 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9234__RESET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9234__RESET_B (.DIODE(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9235__RESET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9235__RESET_B (.DIODE(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9236__RESET_B (.DIODE(net285),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9236__RESET_B (.DIODE(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -27555,12 +27576,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9241__RESET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9241__RESET_B (.DIODE(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9242__RESET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9242__RESET_B (.DIODE(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -27570,17 +27591,17 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9244__RESET_B (.DIODE(net285),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9244__RESET_B (.DIODE(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9245__RESET_B (.DIODE(net285),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9245__RESET_B (.DIODE(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9246__RESET_B (.DIODE(net285),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9246__RESET_B (.DIODE(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -27595,22 +27616,22 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9249__RESET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9249__RESET_B (.DIODE(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9250__RESET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9250__RESET_B (.DIODE(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9251__RESET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9251__RESET_B (.DIODE(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9252__RESET_B (.DIODE(net285),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9252__RESET_B (.DIODE(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -27635,32 +27656,32 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9257__RESET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9257__RESET_B (.DIODE(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9258__RESET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9258__RESET_B (.DIODE(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9259__RESET_B (.DIODE(net280),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9259__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9260__RESET_B (.DIODE(net280),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9260__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9261__RESET_B (.DIODE(net280),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9261__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9262__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9262__RESET_B (.DIODE(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -27675,32 +27696,32 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9265__RESET_B (.DIODE(net280),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9265__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9266__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9266__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9267__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9267__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9268__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9268__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9269__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9269__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9270__RESET_B (.DIODE(net280),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9270__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -27715,32 +27736,32 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9273__RESET_B (.DIODE(net280),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9273__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9274__RESET_B (.DIODE(net280),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9274__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9275__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9275__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9276__RESET_B (.DIODE(net280),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9276__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9277__RESET_B (.DIODE(net280),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9277__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9278__RESET_B (.DIODE(net280),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9278__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -27760,47 +27781,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9282__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9282__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9283__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9283__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9284__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9284__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9285__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9285__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9286__RESET_B (.DIODE(net280),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9286__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9287__RESET_B (.DIODE(net280),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9287__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9288__RESET_B (.DIODE(net280),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9288__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9289__RESET_B (.DIODE(net280),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9289__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9290__RESET_B (.DIODE(net280),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9290__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -27825,27 +27846,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9295__SET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9295__SET_B (.DIODE(net31),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9296__RESET_B (.DIODE(net289),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9296__RESET_B (.DIODE(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9297__SET_B (.DIODE(net289),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9297__SET_B (.DIODE(net31),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9298__RESET_B (.DIODE(net285),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9298__RESET_B (.DIODE(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9299__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9299__RESET_B (.DIODE(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -27870,172 +27891,182 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9304__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9304__CLK (.DIODE(clknet_leaf_56_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9305__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9304__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9306__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9305__RESET_B (.DIODE(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9307__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9306__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9308__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9307__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9309__RESET_B (.DIODE(net281),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9308__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9310__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9309__CLK (.DIODE(clknet_leaf_56_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9311__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9309__RESET_B (.DIODE(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9312__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9310__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9313__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9311__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9314__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9312__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9315__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9313__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9316__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9314__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9317__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9315__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9318__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9316__RESET_B (.DIODE(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9319__RESET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9317__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9320__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9318__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9321__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9319__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9322__RESET_B (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9320__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9323__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9321__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9324__RESET_B (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9322__RESET_B (.DIODE(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9325__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9323__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9326__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9324__RESET_B (.DIODE(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9327__RESET_B (.DIODE(net283),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9325__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9328__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9326__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9329__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9327__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9330__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9328__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9331__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9329__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9332__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9330__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9333__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9331__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9334__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9332__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9335__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9333__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9336__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9334__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9337__RESET_B (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9335__RESET_B (.DIODE(net275),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__9336__RESET_B (.DIODE(net277),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__9337__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -28055,112 +28086,112 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9341__RESET_B (.DIODE(net285),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9341__RESET_B (.DIODE(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9342__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9342__RESET_B (.DIODE(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9343__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9343__RESET_B (.DIODE(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9344__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9344__RESET_B (.DIODE(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9345__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9345__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9346__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9346__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9347__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9347__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9348__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9348__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9349__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9349__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9350__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9350__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9351__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9351__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9352__RESET_B (.DIODE(net280),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9352__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9353__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9353__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9354__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9354__RESET_B (.DIODE(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9355__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9355__RESET_B (.DIODE(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9356__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9356__RESET_B (.DIODE(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9357__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9357__RESET_B (.DIODE(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9358__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9358__RESET_B (.DIODE(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9359__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9359__RESET_B (.DIODE(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9360__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9360__RESET_B (.DIODE(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9361__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9361__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9362__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9362__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -28170,52 +28201,52 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9364__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9364__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9365__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9365__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9366__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9366__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9367__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9367__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9368__RESET_B (.DIODE(net280),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9368__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9369__RESET_B (.DIODE(net289),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9369__RESET_B (.DIODE(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9370__RESET_B (.DIODE(net289),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9370__RESET_B (.DIODE(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9371__RESET_B (.DIODE(net285),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9371__RESET_B (.DIODE(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9372__RESET_B (.DIODE(net285),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9372__RESET_B (.DIODE(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9373__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9373__RESET_B (.DIODE(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -28225,52 +28256,52 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9375__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9375__RESET_B (.DIODE(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9376__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9376__RESET_B (.DIODE(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9377__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9377__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9378__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9378__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9379__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9379__RESET_B (.DIODE(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9380__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9380__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9381__RESET_B (.DIODE(net280),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9381__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9382__RESET_B (.DIODE(net280),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9382__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9383__RESET_B (.DIODE(net280),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9383__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9384__RESET_B (.DIODE(net280),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9384__RESET_B (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -28295,32 +28326,32 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9388__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9388__RESET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9389__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9389__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9390__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9390__RESET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9391__RESET_B (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9391__RESET_B (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9392__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9392__RESET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9393__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9393__RESET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -28330,7 +28361,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9395__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9395__RESET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -28350,7 +28381,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9399__RESET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9399__RESET_B (.DIODE(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -28370,12 +28401,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9403__RESET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9403__RESET_B (.DIODE(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9404__RESET_B (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9404__RESET_B (.DIODE(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -28390,182 +28421,182 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9407__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9407__RESET_B (.DIODE(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9408__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9408__RESET_B (.DIODE(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9409__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9409__RESET_B (.DIODE(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9410__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9410__RESET_B (.DIODE(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9411__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9411__RESET_B (.DIODE(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9412__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9412__RESET_B (.DIODE(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9413__RESET_B (.DIODE(net281),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9413__RESET_B (.DIODE(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9414__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9414__RESET_B (.DIODE(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9415__RESET_B (.DIODE(net281),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9415__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9416__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9416__RESET_B (.DIODE(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9417__RESET_B (.DIODE(net281),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9417__RESET_B (.DIODE(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9418__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9418__RESET_B (.DIODE(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9419__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9419__RESET_B (.DIODE(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9420__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9420__RESET_B (.DIODE(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9421__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9421__RESET_B (.DIODE(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9422__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9422__RESET_B (.DIODE(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9423__RESET_B (.DIODE(net281),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9423__RESET_B (.DIODE(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9424__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9424__RESET_B (.DIODE(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9425__RESET_B (.DIODE(net281),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9425__RESET_B (.DIODE(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9426__RESET_B (.DIODE(net281),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9426__RESET_B (.DIODE(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9427__RESET_B (.DIODE(net281),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9427__RESET_B (.DIODE(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9428__RESET_B (.DIODE(net281),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9428__RESET_B (.DIODE(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9429__RESET_B (.DIODE(net281),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9429__RESET_B (.DIODE(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9430__RESET_B (.DIODE(net281),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9430__RESET_B (.DIODE(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9431__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9431__RESET_B (.DIODE(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9432__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9432__RESET_B (.DIODE(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9433__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9433__RESET_B (.DIODE(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9434__RESET_B (.DIODE(net281),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9434__RESET_B (.DIODE(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9435__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9435__RESET_B (.DIODE(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9436__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9436__RESET_B (.DIODE(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9437__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9437__RESET_B (.DIODE(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9438__RESET_B (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9438__RESET_B (.DIODE(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9439__RESET_B (.DIODE(net281),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9439__RESET_B (.DIODE(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9440__RESET_B (.DIODE(net281),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9440__RESET_B (.DIODE(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9441__RESET_B (.DIODE(net281),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9441__RESET_B (.DIODE(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9442__RESET_B (.DIODE(net281),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9442__RESET_B (.DIODE(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -28580,67 +28611,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9445__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9445__RESET_B (.DIODE(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9446__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9446__RESET_B (.DIODE(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9447__RESET_B (.DIODE(net275),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9447__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9448__RESET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9448__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9449__RESET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9449__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9450__RESET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9450__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9451__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9451__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9452__RESET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9452__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9453__RESET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9453__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9454__RESET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9454__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9455__RESET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9455__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9456__RESET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9456__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9457__RESET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9457__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -28650,12 +28681,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9459__RESET_B (.DIODE(net286),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9460__CLK (.DIODE(clknet_4_15_0_mclk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9459__RESET_B (.DIODE(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -28675,32 +28701,32 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9463__RESET_B (.DIODE(net275),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9463__RESET_B (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9464__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9464__RESET_B (.DIODE(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9465__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9465__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9466__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9466__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9467__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9467__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9468__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9468__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -28710,7 +28736,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9470__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9470__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -28720,52 +28746,52 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9472__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9472__RESET_B (.DIODE(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9473__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9473__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9474__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9474__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9475__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9475__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9476__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9476__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9477__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9477__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9478__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9478__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9479__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9479__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9480__RESET_B (.DIODE(net279),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9480__RESET_B (.DIODE(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__9481__RESET_B (.DIODE(net277),
+ sky130_fd_sc_hd__diode_2 ANTENNA__9481__RESET_B (.DIODE(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -29040,6 +29066,26 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_4_6_0_mclk_A (.DIODE(clknet_3_3_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_4_7_0_mclk_A (.DIODE(clknet_3_3_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_4_8_0_mclk_A (.DIODE(clknet_3_4_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_4_9_0_mclk_A (.DIODE(clknet_3_4_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_0_mclk_A (.DIODE(clknet_4_0_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -29100,7 +29146,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_110_mclk_A (.DIODE(clknet_4_8_0_mclk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_110_mclk_A (.DIODE(clknet_4_10_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -29155,12 +29201,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_120_mclk_A (.DIODE(clknet_4_9_0_mclk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_120_mclk_A (.DIODE(clknet_4_8_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_121_mclk_A (.DIODE(clknet_4_9_0_mclk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_121_mclk_A (.DIODE(clknet_4_8_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -29180,12 +29226,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_125_mclk_A (.DIODE(clknet_4_12_0_mclk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_125_mclk_A (.DIODE(clknet_4_9_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_126_mclk_A (.DIODE(clknet_4_3_0_mclk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_126_mclk_A (.DIODE(clknet_4_9_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -29210,12 +29256,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_130_mclk_A (.DIODE(clknet_4_2_0_mclk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_130_mclk_A (.DIODE(clknet_4_3_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_131_mclk_A (.DIODE(clknet_4_2_0_mclk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_131_mclk_A (.DIODE(clknet_4_3_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -29270,12 +29316,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_141_mclk_A (.DIODE(clknet_4_0_0_mclk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_141_mclk_A (.DIODE(clknet_4_2_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_142_mclk_A (.DIODE(clknet_4_0_0_mclk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_142_mclk_A (.DIODE(clknet_4_2_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -29300,7 +29346,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_14_mclk_A (.DIODE(clknet_4_3_0_mclk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_147_mclk_A (.DIODE(clknet_4_0_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_14_mclk_A (.DIODE(clknet_4_1_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -29325,7 +29376,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_19_mclk_A (.DIODE(clknet_4_6_0_mclk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_19_mclk_A (.DIODE(clknet_4_3_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -29405,12 +29456,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_33_mclk_A (.DIODE(clknet_4_4_0_mclk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_33_mclk_A (.DIODE(clknet_4_5_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_34_mclk_A (.DIODE(clknet_4_5_0_mclk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_34_mclk_A (.DIODE(clknet_4_4_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -29450,7 +29501,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_41_mclk_A (.DIODE(clknet_4_5_0_mclk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_41_mclk_A (.DIODE(clknet_4_7_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -29495,7 +29546,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_4_mclk_A (.DIODE(clknet_4_1_0_mclk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_4_mclk_A (.DIODE(clknet_4_0_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -29565,7 +29616,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_62_mclk_A (.DIODE(clknet_4_12_0_mclk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_62_mclk_A (.DIODE(clknet_4_13_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -29615,7 +29666,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_71_mclk_A (.DIODE(clknet_4_15_0_mclk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_71_mclk_A (.DIODE(clknet_4_13_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -29640,6 +29691,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_76_mclk_A (.DIODE(clknet_4_15_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_77_mclk_A (.DIODE(clknet_4_15_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -29675,17 +29731,17 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_83_mclk_A (.DIODE(clknet_4_14_0_mclk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_83_mclk_A (.DIODE(clknet_4_15_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_84_mclk_A (.DIODE(clknet_4_14_0_mclk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_84_mclk_A (.DIODE(clknet_4_15_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_85_mclk_A (.DIODE(clknet_4_12_0_mclk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_85_mclk_A (.DIODE(clknet_4_14_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -29695,7 +29751,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_87_mclk_A (.DIODE(clknet_4_14_0_mclk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_87_mclk_A (.DIODE(clknet_4_12_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -29715,12 +29771,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_90_mclk_A (.DIODE(clknet_4_12_0_mclk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_90_mclk_A (.DIODE(clknet_4_9_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_91_mclk_A (.DIODE(clknet_4_9_0_mclk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_91_mclk_A (.DIODE(clknet_4_14_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -29730,7 +29786,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_93_mclk_A (.DIODE(clknet_4_11_0_mclk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_93_mclk_A (.DIODE(clknet_4_9_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -29770,57 +29826,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold132_A (.DIODE(\u_gpio_intr.gpio_prev_indata[12] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold146_A (.DIODE(net31),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold138_A (.DIODE(\u_gpio_intr.gpio_prev_indata[19] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold151_A (.DIODE(\u_gpio_intr.gpio_prev_indata[26] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold141_A (.DIODE(\u_gpio_intr.gpio_prev_indata[16] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold162_A (.DIODE(\u_gpio_intr.gpio_prev_indata[25] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold149_A (.DIODE(\u_gpio_intr.gpio_prev_indata[26] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold170_A (.DIODE(\u_gpio_intr.gpio_prev_indata[20] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold150_A (.DIODE(\u_gpio_intr.gpio_prev_indata[18] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold153_A (.DIODE(\u_gpio_intr.gpio_prev_indata[8] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold158_A (.DIODE(\u_gpio_intr.gpio_prev_indata[28] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold161_A (.DIODE(\u_gpio_intr.gpio_prev_indata[25] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold162_A (.DIODE(\u_gpio_intr.gpio_prev_indata[9] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold164_A (.DIODE(\u_gpio_intr.gpio_prev_indata[13] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold180_A (.DIODE(\u_pinmux_reg.cfg_pwm1_low[15] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold171_A (.DIODE(\u_gpio_intr.gpio_prev_indata[29] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -30540,82 +30566,82 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output226_A (.DIODE(net390),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output226_A (.DIODE(net446),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output227_A (.DIODE(net394),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output227_A (.DIODE(net369),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output228_A (.DIODE(net386),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output228_A (.DIODE(net361),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output229_A (.DIODE(net443),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output229_A (.DIODE(net415),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output230_A (.DIODE(net425),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output230_A (.DIODE(net392),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output231_A (.DIODE(net447),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output231_A (.DIODE(net231),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output232_A (.DIODE(net402),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output232_A (.DIODE(net384),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output234_A (.DIODE(net378),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output234_A (.DIODE(net342),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output235_A (.DIODE(net342),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output235_A (.DIODE(net354),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output236_A (.DIODE(net382),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output236_A (.DIODE(net346),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output237_A (.DIODE(net458),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output237_A (.DIODE(net457),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output238_A (.DIODE(net362),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output238_A (.DIODE(net238),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output239_A (.DIODE(net354),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output239_A (.DIODE(net388),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output240_A (.DIODE(net370),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output240_A (.DIODE(net411),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output241_A (.DIODE(net358),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output241_A (.DIODE(net400),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output242_A (.DIODE(net366),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output242_A (.DIODE(net419),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -30625,72 +30651,72 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output244_A (.DIODE(net436),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output244_A (.DIODE(net396),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output245_A (.DIODE(net350),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output245_A (.DIODE(net407),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output246_A (.DIODE(net414),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output246_A (.DIODE(net373),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output247_A (.DIODE(net374),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output247_A (.DIODE(net430),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output248_A (.DIODE(net406),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output248_A (.DIODE(net438),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output249_A (.DIODE(net249),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output249_A (.DIODE(net423),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output250_A (.DIODE(net250),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output250_A (.DIODE(net434),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output251_A (.DIODE(net398),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output251_A (.DIODE(net377),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output252_A (.DIODE(net454),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output252_A (.DIODE(net252),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output253_A (.DIODE(net253),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output253_A (.DIODE(net465),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output254_A (.DIODE(net254),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output254_A (.DIODE(net442),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output255_A (.DIODE(net255),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output255_A (.DIODE(net453),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output256_A (.DIODE(net421),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output256_A (.DIODE(net365),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output257_A (.DIODE(net410),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output257_A (.DIODE(net467),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -30755,22 +30781,22 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_repeater275_A (.DIODE(net276),
+ sky130_fd_sc_hd__diode_2 ANTENNA_repeater275_A (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_repeater276_A (.DIODE(net280),
+ sky130_fd_sc_hd__diode_2 ANTENNA_repeater276_A (.DIODE(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_repeater277_A (.DIODE(net278),
+ sky130_fd_sc_hd__diode_2 ANTENNA_repeater277_A (.DIODE(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_repeater278_A (.DIODE(net280),
+ sky130_fd_sc_hd__diode_2 ANTENNA_repeater278_A (.DIODE(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -30780,12 +30806,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_repeater280_A (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA_repeater280_A (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_repeater281_A (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA_repeater281_A (.DIODE(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -30795,7 +30821,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_repeater283_A (.DIODE(net284),
+ sky130_fd_sc_hd__diode_2 ANTENNA_repeater283_A (.DIODE(net31),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -30805,7 +30831,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_repeater285_A (.DIODE(net289),
+ sky130_fd_sc_hd__diode_2 ANTENNA_repeater285_A (.DIODE(net31),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -30820,7 +30846,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_repeater288_A (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA_repeater288_A (.DIODE(net31),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -30830,6 +30856,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_repeater290_A (.DIODE(net31),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 \ANTENNA_u_pinmux_reg.u_buf_cpu0_rst.u_buf_A  (.DIODE(\u_pinmux_reg.cfg_glb_ctrl[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -31005,11 +31036,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_1121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_1121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_1133 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_1127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_0_1130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31037,15 +31072,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31069,35 +31104,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_17 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_197 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_0_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31121,10 +31152,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_24 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_8 FILLER_0_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -31141,11 +31168,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_0_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_270 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_0_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31161,11 +31192,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_0_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31173,15 +31204,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_331 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31217,7 +31244,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_0_405 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_0_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31225,15 +31256,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_0_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31241,15 +31272,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_0_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_466 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_0_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_0_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31265,11 +31292,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_0_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31277,10 +31304,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_521 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_3 FILLER_0_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -31297,15 +31320,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_0_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31361,15 +31384,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_0_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_0_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31417,7 +31440,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31425,35 +31448,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_0_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_846 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_852 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_863 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_869 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_883 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31461,7 +31480,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_0_893 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31469,10 +31488,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_9 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_0_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -31517,39 +31532,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_1018 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_1016 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_1022 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_1023 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_1026 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_100_1034 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_1039 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_100_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_1052 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_1041 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_1056 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_1054 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_1080 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_1081 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_100_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31585,7 +31604,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31597,35 +31616,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_165 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31641,11 +31656,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_205 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_100_220 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_100_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31653,23 +31676,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_100_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31677,119 +31700,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_100_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_100_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_100_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_100_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_100_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_100_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_528 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_100_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31801,47 +31828,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_100_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_100_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_616 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_62 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_100_622 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_100_628 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_100_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31849,79 +31888,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_100_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_746 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_100_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_782 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_840 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_797 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_100_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_100_809 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_100_813 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_100_818 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_100_845 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_100_854 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_100_866 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_100_869 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_100_873 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_100_881 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_100_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31929,43 +32012,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_907 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_912 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_916 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_100_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_930 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_957 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_955 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_972 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_990 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_994 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_979 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_100_990 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_101_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31973,59 +32064,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_1030 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_101_1033 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_1036 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_1048 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_1050 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_1056 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_1057 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_1063 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_1065 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_1067 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_1077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_1079 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_1091 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_1101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_1103 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_111 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_1115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32057,55 +32140,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_14 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_18 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_204 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_216 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_234 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32117,6 +32188,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_101_267 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_101_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -32125,75 +32200,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_28 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_101_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_101_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_101_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_101_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_369 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_382 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32201,15 +32264,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_101_417 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_101_423 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_101_435 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_101_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32217,75 +32296,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_101_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_540 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_546 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32309,31 +32380,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_101_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_6 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_642 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_101_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32345,7 +32420,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32353,99 +32428,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_758 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_770 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_101_782 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_827 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_856 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_868 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_862 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_101_874 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_881 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32453,39 +32520,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_904 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_908 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_910 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_914 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_922 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_101_926 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_101_930 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_935 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_947 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_95 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32493,43 +32552,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_959 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_957 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_984 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_967 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_992 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_975 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_995 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_102_1001 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_987 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_102_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_1002 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_1017 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_1014 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_1023 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_1018 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_1031 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_1021 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_102_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32537,27 +32600,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_1039 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_102_1040 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_1066 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_1071 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_1078 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_1077 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_108 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_102_1089 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_102_1090 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32565,7 +32620,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32601,135 +32656,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_102_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_102_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_102_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_102_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_20 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_102_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_102_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_102_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_102_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_102_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_102_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_102_298 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_102_306 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_309 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_31 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_312 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_324 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32737,79 +32768,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_102_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_102_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_102_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_102_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_102_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_102_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_102_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_102_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_485 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_102_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32825,59 +32852,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_102_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_102_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_102_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_102_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_619 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_102_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32885,47 +32908,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_102_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_102_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_102_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_743 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_734 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_102_746 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_102_754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32933,15 +32964,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_102_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32949,7 +32980,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32957,47 +32988,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_102_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_102_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_856 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_875 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_102_888 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_894 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_904 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_884 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_908 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_896 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_914 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_908 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33005,7 +33036,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_102_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33013,23 +33044,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_102_933 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_102_936 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_102_942 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_942 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_952 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_102_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_102_971 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_102_968 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_102_97 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_102_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33037,63 +33080,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_102_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_990 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_102_989 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_996 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_993 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_1002 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_1018 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_1024 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_1027 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_1029 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_1030 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_1038 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_1039 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_1041 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_1049 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_1053 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_103_1055 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_103_1061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_1063 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_1065 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_103_1065 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_1069 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_1068 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33101,11 +33140,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_1096 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_1095 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_1108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_1107 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_103_1119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33129,19 +33172,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_12 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_141 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_103_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_103_150 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_103_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33157,67 +33212,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_103_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_103_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_103_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_258 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_264 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_276 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_283 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33225,47 +33264,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_36 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_367 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33273,19 +33304,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_400 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33293,15 +33320,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_436 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33309,91 +33332,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_103_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_103_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_590 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_103_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_103_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_627 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_103_65 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_103_651 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_103_659 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_103_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33401,11 +33440,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_103_675 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_103_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33417,183 +33452,199 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_103_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_746 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_758 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_103_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_103_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_103_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_103_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_103_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_103_831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_103_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_103_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_103_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_103_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_854 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_103_893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_884 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_907 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_103_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_914 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_935 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_938 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_103_950 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_955 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_959 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_977 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_989 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_1011 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_104_1025 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_1015 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_104_1033 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_1018 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_1039 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_1052 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_1026 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_104_1058 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_1032 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_104_1066 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_1071 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_1044 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_104_1083 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_1050 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_1091 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_1062 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_104_1066 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_104_1070 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_104_1082 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_104_1090 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33601,19 +33652,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_11 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_104_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_1117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_1117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33641,11 +33688,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_104_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33657,103 +33708,143 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_104_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_104_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_104_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_104_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_104_303 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_104_307 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_104_311 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_104_317 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_104_325 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_104_329 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_104_33 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_104_335 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_104_345 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_104_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33761,27 +33852,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_104_406 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_104_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33793,7 +33888,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33805,43 +33900,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_104_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_104_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_548 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33849,15 +33940,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33865,71 +33956,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_104_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_104_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_104_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_104_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_104_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_706 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_104_747 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_743 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_104_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33937,119 +34032,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_786 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_790 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_817 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_827 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_104_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_859 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_863 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_104_880 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_884 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_894 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_104_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_902 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_903 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_908 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_916 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_934 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_104_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_929 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_956 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_968 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_104_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_984 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_990 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_996 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34057,51 +34148,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_105_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_1012 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_105_1039 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_1039 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_1048 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_1052 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_105_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_105_1058 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_1060 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_1067 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_1065 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_1079 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_1077 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_1089 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_1101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_105_1113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_1103 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_105_1115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34129,203 +34224,223 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_105_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_12 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_16 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_105_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_105_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_105_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_105_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_105_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_105_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_105_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_105_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_105_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_105_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_105_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_105_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_105_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_105_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_105_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_105_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_463 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_105_471 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_105_479 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_105_483 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_105_491 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_105_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34333,19 +34448,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_105_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_105_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_105_535 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_105_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34361,59 +34484,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_105_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_105_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_588 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_105_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_105_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_646 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_105_65 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_105_654 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_105_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34421,59 +34556,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_105_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_105_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_700 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_105_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_105_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_734 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_765 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34481,51 +34612,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_801 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_831 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_856 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_839 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_841 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_85 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_853 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_865 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_868 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34533,39 +34648,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_884 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_105_890 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_906 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_912 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_105_901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_105_924 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_930 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_934 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_936 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_943 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34573,59 +34684,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_971 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_998 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_106_1008 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_985 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_1012 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_988 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_1019 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_105_995 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_1031 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_106_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_1032 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_1049 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_1039 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_1055 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_1052 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_1065 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_1059 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_1077 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_1063 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_106_1089 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_1087 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_106_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34633,6 +34748,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_106_11 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_106_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -34657,10 +34776,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_115 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_106_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -34669,63 +34784,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_12 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_106_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_106_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_233 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34737,63 +34848,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_106_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_106_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_106_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_106_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_353 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_106_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34801,75 +34916,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_106_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_106_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_106_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_106_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_106_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_506 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_106_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_106_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34877,51 +34996,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_560 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_106_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34929,63 +35048,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_106_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_106_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_719 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_731 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_734 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34993,67 +35104,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_106_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_801 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_106_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_106_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_842 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_854 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_859 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_852 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_858 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_106_873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_890 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_917 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_106_89 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_106_908 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_106_915 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35061,91 +35180,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_929 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_932 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_940 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_946 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_958 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_950 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_970 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_106_978 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_106_974 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_984 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_996 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_1000 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_107_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_1013 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_1015 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_1023 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_1019 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_1029 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_1022 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_1040 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_107_1046 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_107_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_1054 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_1053 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_107_1062 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_1060 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_1065 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_1088 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_1100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_1101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35153,7 +35272,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_1112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_107_1113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_107_1119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35169,11 +35292,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_1157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_1157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35181,27 +35304,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_107_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_107_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_151 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35209,35 +35328,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_107_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_107_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_107_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_107_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_107_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_242 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_107_248 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_107_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35245,71 +35372,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_107_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_325 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_331 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_335 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_339 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_346 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_352 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_107_360 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_365 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_107_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35317,11 +35412,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_107_385 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35329,23 +35420,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_107_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_433 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_107_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35357,23 +35452,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_107_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_107_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_107_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35381,99 +35476,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_107_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_107_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_107_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_590 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_107_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_107_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_107_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_107_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_107_659 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35481,27 +35572,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_702 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_107_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_721 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_107_724 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_107_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35509,111 +35608,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_107_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_790 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_796 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_107_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_107_884 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_855 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_107_879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_906 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_107_918 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_922 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_924 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_940 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_107_950 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_107_999 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_964 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_107_988 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_108_1004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35621,39 +35728,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_1011 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_1013 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_1039 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_108_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_1051 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_108_1045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_1063 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_1075 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_1050 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_1087 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_1062 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_1091 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_1066 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_108_1069 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_108_1081 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_108_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35661,11 +35780,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_1105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35677,10 +35796,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_114 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_108_1141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -35701,19 +35816,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_12 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_133 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35729,63 +35840,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_108_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_108_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_108_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_221 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_227 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_239 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_24 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35793,59 +35888,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_108_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_108_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_108_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_108_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_108_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_108_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35853,23 +35952,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_108_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_108_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_406 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_108_409 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_108_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35877,31 +35984,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_443 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_449 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_457 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_108_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35913,39 +36008,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_506 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_108_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_108_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_560 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35953,19 +36044,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_616 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_108_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35973,31 +36064,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_108_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_682 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_686 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_689 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36005,51 +36084,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_108_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_108_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_734 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_108_746 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_108_754 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_108_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_765 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_771 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_781 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_788 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36057,35 +36120,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_108_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_848 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_852 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_856 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_855 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_863 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36093,27 +36152,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_884 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_108_893 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_898 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_904 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_108_912 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36121,75 +36184,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_108_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_108_927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_108_947 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_108_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_954 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_966 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_975 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_970 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_108_978 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_984 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_996 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_993 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_1004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_999 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_1018 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_109_1002 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_1024 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_1018 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_1027 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_109_1024 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_1054 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_1034 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_109_104 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_1047 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_109_1053 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_109_1061 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_109_1062 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36201,6 +36248,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_109_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_109_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -36233,6 +36284,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_109_115 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_8 FILLER_109_1157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -36241,51 +36296,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_109_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_109_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_109_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36293,27 +36348,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_109_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_109_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_262 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_109_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36321,11 +36380,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_283 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36333,131 +36388,151 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_109_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_109_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_109_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_109_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_109_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_109_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_109_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_109_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_109_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_505 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_109_511 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_109_514 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_109_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_109_538 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_109_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36465,27 +36540,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_109_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_109_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36493,10 +36568,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_61 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_109_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -36505,79 +36576,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_109_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_109_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_109_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_109_719 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_754 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_758 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_109_746 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_109_751 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_109_763 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_109_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36585,27 +36668,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_109_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_824 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_109_831 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36613,23 +36692,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_109_859 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_859 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_880 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_879 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36637,83 +36712,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_902 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_902 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_908 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_910 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_109_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_915 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_109_924 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_930 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_109_938 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_930 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_109_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_962 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_964 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_109_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_109_989 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_985 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_994 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_998 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_996 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_1010 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_1022 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_10_1034 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_1029 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_10_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36725,6 +36804,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_10_106 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_10_1061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -36737,10 +36820,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_109 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_10_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -36749,10 +36828,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_11 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_10_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -36761,6 +36836,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_10_112 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_10_1129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -36777,10 +36856,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_115 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_10_1156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -36789,15 +36864,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_124 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_13 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36805,19 +36876,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36825,71 +36896,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_10_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_10_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_221 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_227 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_10_239 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_244 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_253 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_257 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_260 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36897,47 +36940,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_10_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_321 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_33 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_333 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36945,107 +36976,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_10_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_10_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_10_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_10_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_581 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_10_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37053,63 +37080,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_10_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_10_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_10_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_10_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_10_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_10_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_10_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37125,87 +37152,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_795 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_803 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_10_796 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_10_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_846 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_875 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_858 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_10_866 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_10_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_915 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_874 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_884 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_10_890 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_10_916 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37213,15 +37248,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_938 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_950 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_962 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_957 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37233,19 +37268,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_10_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_986 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_998 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_1017 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37253,23 +37284,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_110_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_1046 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_1043 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_1059 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_1070 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_1065 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_1082 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_1071 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_110_1090 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_1083 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_110_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37289,10 +37324,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_113 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_110_1141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -37305,6 +37336,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_110_115 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_110_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -37317,11 +37352,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37329,39 +37364,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_110_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_223 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_110_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37369,7 +37408,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37385,23 +37424,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_290 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37409,19 +37444,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_110_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_327 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_110_333 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_110_339 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_110_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37429,71 +37476,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_110_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_435 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_440 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_446 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_452 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_460 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37501,47 +37528,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_536 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_110_544 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_548 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_110_556 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37549,195 +37560,175 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_110_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_110_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_110_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_110_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_110_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_734 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_110_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_748 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_110_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_795 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_110_824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_856 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_842 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_848 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_110_860 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_904 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_908 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_89 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_893 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_896 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_908 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_916 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37745,91 +37736,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_110_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_929 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_935 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_957 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_938 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_968 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_950 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_974 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_956 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_983 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_959 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_991 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_963 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_994 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_967 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_1002 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_1012 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_987 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_1024 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_990 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_1027 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_1000 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_1011 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_1015 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_1018 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_1045 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_1051 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_111_106 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_1063 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_1060 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37837,6 +37800,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_111_107 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_111_1077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -37845,10 +37812,18 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_111_11 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_111_1101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_111_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_111_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -37861,10 +37836,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_113 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_111_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -37873,6 +37844,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_111_115 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_8 FILLER_111_1157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -37881,43 +37856,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_12 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_111_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_111_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_111_210 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_111_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37925,27 +37904,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_111_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_264 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37957,35 +37932,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_287 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_291 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_3 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_303 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_315 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_323 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37993,119 +37944,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_111_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_111_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_111_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_111_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_111_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_111_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_111_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_111_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_111_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_111_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_111_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_111_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_111_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_111_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_512 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_111_520 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_525 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_549 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38113,159 +38048,159 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_111_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_111_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_111_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_111_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_111_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_111_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_702 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_111_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_111_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_753 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_111_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_111_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_111_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_817 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_111_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_852 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_856 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_862 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_866 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38281,99 +38216,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_912 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_914 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_918 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_924 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_936 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_111_943 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_111_949 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_955 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_957 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_966 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_111_977 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_986 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_984 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_994 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_990 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_1008 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_1006 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_112_1016 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_1018 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_1030 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_1028 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_112_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_1043 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_1049 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_1055 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_1061 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_1067 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_107 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_1073 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_112_1085 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_1079 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38393,11 +38320,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_1129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_1129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38421,75 +38348,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_14 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_112_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_112_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_112_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_23 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_236 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_112_244 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38497,23 +38412,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_277 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_112_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38521,163 +38432,195 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_112_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_112_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_112_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_112_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_112_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_112_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_112_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_112_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_112_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_112_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_112_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_629 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_112_641 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_112_645 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_112_65 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_112_653 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_112_658 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_112_670 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_112_69 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_112_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38685,127 +38628,147 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_736 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_112_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_112_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_112_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_782 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_112_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_112_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_112_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_852 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_880 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_847 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_112_887 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_112_859 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_112_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_863 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_901 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_905 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_916 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_880 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_112_949 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_961 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_970 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_925 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_112_934 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_112_946 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_112_95 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_112_958 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_112_966 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38813,19 +38776,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_112_988 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_112_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_990 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_996 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_994 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38833,31 +38792,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_1012 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_1020 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_113_1033 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_1023 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_1039 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_1051 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_1042 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_1063 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_1054 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_113_1062 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38889,10 +38852,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_113_113 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_113_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38905,23 +38864,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_113_116 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_3 FILLER_113_1165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_16 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_151 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_113_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38929,111 +38896,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_113_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_113_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_113_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_113_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_366 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_113_376 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_113_38 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_113_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39041,23 +39020,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_401 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_428 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_113_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39065,83 +39036,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_113_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_113_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_113_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_113_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_113_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_603 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_113_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39149,51 +39116,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_113_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_688 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_113_696 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_7 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_700 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39201,47 +39156,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_113_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_785 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_79 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_791 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_804 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39249,35 +39188,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_827 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_113_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_855 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_883 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_860 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_113_872 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_880 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39285,71 +39216,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_907 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_924 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_919 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_935 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_931 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_113_943 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_947 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_963 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_963 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_971 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_983 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_995 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_999 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_1005 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_1011 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_114_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_1023 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_1029 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_1032 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_1046 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_1040 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39369,10 +39288,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_109 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_114_1093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -39389,6 +39304,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_114_114 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_114_1141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -39401,10 +39320,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_115 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_114_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -39413,39 +39328,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_114_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_14 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_114_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_114_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39453,59 +39368,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_114_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_114_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_114_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_114_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_114_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_293 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_114_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_114_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39513,27 +39436,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_114_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_114_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_114_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_346 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_114_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39541,27 +39468,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_114_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39573,143 +39500,143 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_114_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_114_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_114_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_560 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_114_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_114_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_114_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_114_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_114_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_114_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_114_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_114_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_114_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_114_754 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_114_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39717,79 +39644,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_114_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_795 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_114_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_840 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_845 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_842 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_858 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_862 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_114_866 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_884 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_880 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_902 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_888 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_114_899 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_114_907 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39797,51 +39732,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_929 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_935 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_947 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_957 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_962 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_114_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_970 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_114_977 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_114_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_985 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_984 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_998 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39849,19 +39784,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_1029 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_1011 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_1033 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_115_1057 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_1063 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_1052 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39881,6 +39812,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_115_11 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_115_1101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -39897,10 +39832,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_115_113 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_115_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -39917,27 +39848,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_115_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_19 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_115_196 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_115_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39945,71 +39884,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_211 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_214 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_115_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_115_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_115_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_115_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_115_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_115_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_115_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40017,111 +39948,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_115_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_115_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_115_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_115_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_115_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_115_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_115_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_115_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_55 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_115_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40133,51 +40068,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_569 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_115_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_115_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_115_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_115_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_115_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40185,39 +40116,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_7 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_705 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_717 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_115_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40225,39 +40144,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_115_73 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_115_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_115_753 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_115_793 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40265,7 +40184,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_817 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_115_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40273,95 +40196,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_862 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_855 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_874 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_882 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_886 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_115_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_907 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_115_915 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_915 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_924 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_919 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_940 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_115_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_958 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_970 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_959 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_989 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_999 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_974 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_987 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_995 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_1019 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_1031 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_1017 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_116_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40369,27 +40296,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_1039 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_1051 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_1061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_1063 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_1075 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_1073 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_1087 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_1085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40401,6 +40328,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_116_110 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_116_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -40425,10 +40356,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_115 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_116_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -40437,11 +40364,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40449,35 +40376,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_116_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_116_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_116_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_116_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40485,7 +40412,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40493,11 +40420,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_256 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_116_262 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_116_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_116_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40505,59 +40444,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_352 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_116_358 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_116_368 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_116_374 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_116_378 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_116_381 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_116_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40565,91 +40528,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_116_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_116_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_116_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_116_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_116_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_576 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_116_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40657,7 +40624,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_606 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_116_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40665,99 +40636,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_116_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_730 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_734 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_116_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_116_809 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_116_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40765,11 +40740,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_836 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_116_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40777,27 +40756,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_873 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_877 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40805,14 +40776,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_891 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_116_899 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_116_904 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -40825,67 +40788,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_931 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_935 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_943 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_947 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_963 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_960 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_975 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_988 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_996 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_989 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_117_1006 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_998 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_1001 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_1029 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_1041 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_1045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_1053 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_117_1061 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_1063 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40897,11 +40860,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_1089 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40937,7 +40900,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40945,11 +40908,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_120 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_117_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40961,10 +40920,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_15 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_117_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -40981,39 +40936,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_117_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_117_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41021,35 +40976,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_307 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_117_313 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_321 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_329 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41057,59 +40996,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_366 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_117_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_117_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_117_44 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_117_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41117,59 +41064,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_45 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_117_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_117_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_117_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_117_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_117_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41177,23 +41120,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_59 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_592 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41201,51 +41136,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_117_61 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_117_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_117_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_117_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_117_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_710 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_117_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41253,51 +41196,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_762 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_117_782 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_117_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_796 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_117_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_828 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_836 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41305,23 +41240,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_117_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_860 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_863 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_876 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_887 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_877 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_117_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41329,63 +41268,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_907 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_903 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_117_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_906 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_930 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_942 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_932 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_117_950 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_117_940 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_959 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_963 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_971 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_975 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_978 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_987 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_117_995 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_986 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_1003 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_992 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_1015 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_998 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_1027 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_1005 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_118_1017 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_118_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41405,6 +41352,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_118_107 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_118_1073 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -41425,10 +41376,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_111 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_118_1117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -41437,6 +41384,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_118_114 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_118_1141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -41457,155 +41408,159 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_118_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_118_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_18 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_118_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_118_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_118_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_118_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_118_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_118_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_118_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_118_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_408 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_118_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41613,11 +41568,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_427 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41641,35 +41592,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_118_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_118_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_118_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41677,87 +41628,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_118_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_118_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_118_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_118_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_118_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_118_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_118_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_118_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_118_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_685 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_691 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_699 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41765,7 +41704,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41773,35 +41712,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_118_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_736 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_748 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_757 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_118_769 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41809,43 +41740,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_118_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_118_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_819 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_831 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_844 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_838 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_852 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_848 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_860 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_118_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41853,43 +41776,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_883 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_907 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_915 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_911 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_930 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_932 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_938 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_946 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_118_946 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_958 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_957 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41901,19 +41820,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_119_1006 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41925,10 +41844,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_119_103 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_119_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -41977,6 +41892,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_119_113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_119_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -41993,51 +41912,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_119_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_119_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_13 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_17 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_119_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_119_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_119_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_210 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42049,15 +41964,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_25 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_119_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_263 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_119_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42069,39 +41988,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_119_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_119_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42109,71 +42028,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_119_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_119_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_119_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_437 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_119_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_119_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42181,10 +42108,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_46 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_119_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -42193,15 +42116,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_119_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_490 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_119_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42213,87 +42140,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_119_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_119_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_119_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_119_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_668 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_119_682 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_119_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42301,63 +42236,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_119_719 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_736 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_119_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_772 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_119_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_119_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_814 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_119_826 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_119_838 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_119_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42365,23 +42312,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_119_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_863 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_866 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_875 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_887 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_89 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_119_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42389,55 +42340,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_931 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_119_941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_943 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_960 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_966 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_119_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_978 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_977 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_982 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_983 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_986 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_987 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_999 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_998 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42457,11 +42404,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_1045 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_1045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42473,23 +42420,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_1065 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_1065 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_1075 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_1077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_1099 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_1101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_1111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42509,7 +42456,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42521,11 +42468,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42533,47 +42480,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_11_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_225 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_11_233 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_11_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42581,11 +42536,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_257 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42593,39 +42544,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_11_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_11_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_11_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_349 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_11_361 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_11_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42633,63 +42592,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_11_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_11_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_11_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_11_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_492 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42697,15 +42652,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_531 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_11_535 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_11_538 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_11_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42717,71 +42684,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_11_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_11_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_11_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_11_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_11_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_11_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_673 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_11_685 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_11_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42789,15 +42764,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_723 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42805,31 +42776,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_11_73 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_11_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_753 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_11_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_11_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_77 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_772 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42841,6 +42808,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_11_79 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_11_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -42853,6 +42824,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_11_83 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_11_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -42869,59 +42844,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_11_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_866 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_890 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_926 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_921 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_930 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_11_949 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_953 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_11_965 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_972 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_974 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42929,23 +42896,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_1002 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_1014 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_1011 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_1026 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_1023 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_120_1034 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42989,6 +42952,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_120_114 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_120_1141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -43009,51 +42976,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_12 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_120_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_120_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_120_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_18 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_120_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_120_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_199 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_120_216 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_120_222 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_120_228 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_120_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43061,35 +43044,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_120_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_120_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_120_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_286 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_120_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_120_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43105,27 +43096,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_120_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_120_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_35 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_120_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43133,31 +43128,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_398 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_404 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43169,27 +43156,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_120_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_120_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_120_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_120_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43201,19 +43188,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_120_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43225,19 +43212,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_120_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_120_574 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_120_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43245,115 +43228,131 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_6 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_616 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_120_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_120_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_616 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_120_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_120_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_120_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_706 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_120_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_120_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_734 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_120_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_120_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_120_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_120_803 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_768 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_120_780 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_120_792 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_120_804 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_120_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43361,19 +43360,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_819 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_120_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_850 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_120_862 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43381,59 +43384,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_120_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_888 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_883 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_912 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_120_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_939 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_932 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_950 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_959 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_955 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_120_971 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_120_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_988 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_120_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_999 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_990 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_121_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43445,10 +43452,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_101 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_121_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -43457,10 +43460,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_104 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_121_1045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -43477,6 +43476,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_121_107 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_121_1077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -43489,6 +43492,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_121_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_121_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -43517,19 +43524,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_128 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_121_140 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43545,31 +43544,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_121_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_193 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_205 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_121_208 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_121_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43577,39 +43564,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_26 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_121_260 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_269 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_121_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43617,63 +43592,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_121_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_121_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_339 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_352 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_358 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_366 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_121_372 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43681,59 +43636,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_121_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_121_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_121_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_121_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_121_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43745,171 +43700,163 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_121_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_121_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_121_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_121_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_121_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_121_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_121_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_121_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_121_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_121_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_121_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_121_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_121_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_121_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_121_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_121_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_824 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_832 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_835 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_121_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43917,63 +43864,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_121_865 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_859 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_870 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_121_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_882 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_886 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_121_879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_903 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_915 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_121_904 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_121_916 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_928 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_943 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_121_949 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_960 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_959 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_968 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_121_978 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_972 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_121_980 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44017,10 +43968,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_110 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_122_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -44053,75 +44000,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_122_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_122_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_122_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_280 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_122_288 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_122_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44133,11 +44088,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44145,11 +44100,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_349 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_122_357 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_122_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44157,27 +44120,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_122_419 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_122_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44193,11 +44164,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_122_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44205,23 +44176,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_122_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_511 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_122_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44237,11 +44212,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_122_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_122_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44249,139 +44228,151 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_122_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_122_616 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_122_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_122_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_730 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_122_754 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_122_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_122_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_770 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_795 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_122_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_122_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_122_824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_829 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_122_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_122_853 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_122_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44389,31 +44380,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_88 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_122_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_122_893 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_898 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_903 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_915 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_910 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_92 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_122_922 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44421,23 +44404,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_954 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_966 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_952 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_122_978 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44453,11 +44432,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_1003 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_123_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44469,10 +44444,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_123_103 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_123_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -44485,6 +44456,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_123_106 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_123_1063 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -44497,10 +44472,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_108 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_123_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -44521,6 +44492,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_123_113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_123_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -44537,75 +44512,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_123_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_123_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_123_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_123_18 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_123_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_123_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_123_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_272 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_123_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_123_293 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_123_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44613,51 +44600,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_123_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_123_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_123_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_123_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_123_366 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_372 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_123_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44665,10 +44656,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_39 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_123_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -44677,31 +44664,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_123_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_444 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_123_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_123_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44709,15 +44704,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_123_49 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_123_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_123_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44725,27 +44728,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_123_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_123_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_538 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44753,143 +44752,127 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_123_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_123_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_123_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_123_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_123_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_702 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_123_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_123_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_123_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_856 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_880 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_841 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_123_849 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_853 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_877 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_123_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44897,35 +44880,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_91 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_123_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_929 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_929 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_932 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_944 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_953 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_123_974 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_123_982 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_123_985 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_123_99 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_123_997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44937,6 +44936,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_124_102 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_124_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -45009,19 +45012,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_124_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45029,19 +45032,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_124_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_124_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45049,7 +45052,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_209 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_124_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45057,131 +45064,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_124_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_124_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_124_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_124_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_124_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_336 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_124_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_124_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_436 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_457 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_463 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_124_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45189,7 +45184,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_479 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_124_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45197,10 +45196,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_50 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_124_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -45213,79 +45208,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_124_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_124_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_686 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45293,107 +45284,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_124_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_124_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_124_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_124_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_734 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_747 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_124_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_124_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_803 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_786 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_124_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_124_804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_124_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_124_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_124_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_852 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_124_862 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_890 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_901 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_902 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_905 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_908 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45405,47 +45392,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_931 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_952 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_124_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_958 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_124_97 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_124_970 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_124_974 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_981 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_993 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_125_1002 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_125_1006 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45509,6 +45476,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_125_113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_125_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -45517,10 +45488,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_115 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_8 FILLER_125_1157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -45529,115 +45496,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_125_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_125_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_125_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_125_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_125_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_125_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_281 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_289 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_297 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_3 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_300 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_307 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_319 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_125_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45645,119 +45584,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_125_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_125_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_366 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_125_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_125_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_125_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_125_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_125_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_503 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_508 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_512 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_519 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_52 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_531 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45765,15 +45680,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_569 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_125_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45789,43 +45700,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_125_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_125_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_125_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_125_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_693 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_125_717 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_125_721 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_125_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45837,67 +45760,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_754 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_758 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_125_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_756 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_125_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_125_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_856 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_125_831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_868 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_880 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_125_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_866 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_125_87 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_125_872 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_125_878 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_125_890 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_125_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45905,51 +45848,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_908 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_902 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_916 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_914 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_926 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_125_949 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_125_950 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_959 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_955 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_972 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_978 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_986 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_990 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_998 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_1005 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_1017 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_1019 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_126_1029 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_1031 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45985,6 +45928,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_126_11 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_126_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -45993,11 +45940,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_1129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_1129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46021,35 +45968,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_126_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_126_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_126_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_17 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46057,7 +46004,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_126_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46065,119 +46012,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_126_25 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_126_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_126_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_126_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_126_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_126_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_126_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_126_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_126_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_126_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_419 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_126_421 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_126_43 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_126_431 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_126_455 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_126_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46185,171 +46152,163 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_126_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_126_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_126_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_126_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_126_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_674 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_126_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_126_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_719 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_734 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_126_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_748 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_126_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_789 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_799 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_126_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46357,75 +46316,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_126_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_126_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_854 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_863 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_884 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_894 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_126_918 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_935 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_947 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_950 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_919 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_975 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_993 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_126_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_127_1006 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_957 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_126_963 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_126_976 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_126_983 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_126_995 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_127_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46441,10 +46420,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_104 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_127_1045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -46469,6 +46444,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_127_109 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_127_1101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -46505,43 +46484,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_127_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_127_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_127_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46549,27 +46528,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_253 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_127_265 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46577,103 +46548,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_127_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_127_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_127_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_127_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_127_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_127_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_127_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_387 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_391 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_395 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_407 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_127_419 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_431 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_127_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46681,47 +46628,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_127_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_127_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_127_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_127_521 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_127_525 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_127_533 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_127_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46733,63 +46696,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_127_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_127_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_127_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_127_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_127_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_675 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_127_686 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_127_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46801,123 +46772,135 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_746 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_127_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_127_758 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_127_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_127_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_127_803 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_812 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_824 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_827 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_127_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_859 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_127_856 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_884 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_888 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_918 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_890 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_926 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_919 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_929 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_931 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_127_949 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_943 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_127_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_962 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_974 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_986 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_998 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_97 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_127_973 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_127_985 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_127_997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46957,7 +46940,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46993,10 +46976,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_115 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_128_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -47005,15 +46984,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_128_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47021,27 +47000,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_195 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_128_197 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_128_209 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_128_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47053,19 +47044,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_128_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_261 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_265 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47073,23 +47056,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_286 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_29 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_128_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47097,27 +47072,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_347 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_128_353 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_128_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47125,39 +47108,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_128_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_128_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_391 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_404 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47165,23 +47140,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_128_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_46 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_128_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47189,63 +47168,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_128_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_506 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_128_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_128_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_577 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_128_58 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_128_583 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_128_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47253,39 +47244,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_128_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_643 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_645 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_128_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47293,87 +47276,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_128_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_128_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_706 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_128_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_128_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_128_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_128_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_128_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_837 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_840 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47385,14 +47364,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_854 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_857 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_128_863 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -47401,35 +47372,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_871 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_128_896 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_880 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_128_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_901 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_913 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_917 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47489,10 +47448,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_129_104 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_129_1045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -47513,10 +47468,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_108 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_129_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -47525,6 +47476,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_129_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_129_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -47549,63 +47504,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_129_116 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_3 FILLER_129_1165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_129_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_129_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_129_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_129_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_129_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_232 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_129_238 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_129_25 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_129_250 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_129_258 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_129_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47613,27 +47592,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_129_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_129_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_319 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_129_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47641,119 +47624,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_129_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_366 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_129_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_129_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_129_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_129_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_129_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47761,7 +47744,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_550 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_129_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47769,71 +47756,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_129_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_129_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_644 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_129_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_129_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_69 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_129_690 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_129_696 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_129_702 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_129_708 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_129_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47841,107 +47848,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_736 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_748 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_754 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_129_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_795 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_129_851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_810 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_863 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_882 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_129_894 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_865 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_884 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_92 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_921 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_933 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_129_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_947 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47965,15 +47960,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_1003 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_1027 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_1021 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_12_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47981,11 +47980,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_1049 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48001,31 +48000,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_12_109 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_12_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_1093 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_1093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_1107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_1117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_1119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_1131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_1129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_1143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_1141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48045,67 +48048,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_116 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_12_1164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_12_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_17 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_12_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_12_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48113,23 +48112,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_269 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_12_296 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_12_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48137,7 +48144,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48145,19 +48152,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_356 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48169,59 +48172,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_12_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_12_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_454 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_467 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_12_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48233,91 +48228,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_12_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_12_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_634 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_12_642 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_12_645 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48329,11 +48312,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_684 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_12_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48341,35 +48328,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_734 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_739 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_751 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_12_754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48381,10 +48360,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_78 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_12_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -48393,6 +48368,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_12_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_12_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -48409,83 +48388,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_12_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_12_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_893 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_905 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_917 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_946 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_925 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_933 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_941 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_951 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_12_959 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_966 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_975 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_971 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48493,15 +48448,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_985 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_993 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_99 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_12_997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48541,10 +48500,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_109 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_130_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -48585,91 +48540,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_130_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_130_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_130_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_130_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_130_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_130_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_130_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_130_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_130_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_130_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_130_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_130_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48677,51 +48632,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_130_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_130_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_130_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_130_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_130_393 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_130_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48729,63 +48688,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_130_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_130_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_130_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_130_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_130_538 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_130_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48797,15 +48760,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_130_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_583 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_130_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48817,155 +48776,171 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_130_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_616 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_130_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_130_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_130_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_674 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_130_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_130_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_130_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_130_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_730 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_130_736 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_796 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_130_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_130_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_130_810 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_130_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_848 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_130_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_130_835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_130_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_130_856 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_130_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_904 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_130_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_916 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_130_883 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_130_895 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_130_907 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_130_919 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_130_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48977,6 +48952,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_130_94 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_130_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -48985,7 +48964,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49029,11 +49008,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_1057 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49057,10 +49036,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_111 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_131_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -49073,7 +49048,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49093,111 +49068,135 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_131_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_131_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_131_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_131_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_131_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_131_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_131_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_131_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_131_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_131_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_131_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_318 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_131_324 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_131_330 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_131_337 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_131_340 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_131_36 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_131_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49205,15 +49204,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_37 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_131_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_131_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49221,123 +49220,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_131_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_131_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_131_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_131_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_590 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_131_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_131_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49345,67 +49344,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_131_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_131_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_131_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_677 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_687 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_69 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_693 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_699 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_702 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49413,15 +49388,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_756 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_131_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_737 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_131_743 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_131_755 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_131_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49437,51 +49424,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_812 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_831 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_131_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_846 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_859 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_866 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_870 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_875 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_131_885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49501,11 +49476,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49541,10 +49516,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_102 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_132_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -49573,6 +49544,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_132_109 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_132_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -49613,15 +49588,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_132_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_132_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49629,11 +49608,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_165 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_132_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49641,23 +49624,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_216 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_224 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_230 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_132_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49665,11 +49636,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_132_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_132_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49677,15 +49648,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_132_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_132_291 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_132_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49705,219 +49684,195 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_336 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_132_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_132_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_132_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_132_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_132_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_132_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_132_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_132_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_132_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_132_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_132_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_695 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_699 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_132_701 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_725 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_733 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_742 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_132_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49925,35 +49880,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_132_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_132_796 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_132_785 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_790 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_802 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49961,11 +49904,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_132_827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49973,11 +49916,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_840 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49985,27 +49924,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_856 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_871 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_883 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_907 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_132_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50029,7 +49968,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_132_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50117,7 +50056,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50137,19 +50076,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_133_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_143 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50157,7 +50092,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_133_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50165,47 +50100,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_133_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_133_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_133_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_133_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_133_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_133_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_133_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_133_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50213,15 +50148,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_133_277 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_283 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50229,27 +50156,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_133_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_133_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50257,19 +50184,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_133_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_133_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50281,27 +50208,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_133_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_133_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_133_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_133_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_133_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_133_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_426 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_133_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50309,47 +50240,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_133_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_133_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_133_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_133_505 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_133_518 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_133_527 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_133_539 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_133_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50357,7 +50304,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_133_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50373,55 +50320,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_133_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_133_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_673 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_677 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50429,23 +50368,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_702 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_133_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_715 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_133_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50453,27 +50396,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_133_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_133_747 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_133_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_133_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_133_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_801 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50481,11 +50424,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_814 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_133_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_826 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_133_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50593,10 +50540,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_109 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_134_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -50609,6 +50552,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_134_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_134_1117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -50637,23 +50584,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_134_117 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_122 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_126 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_134_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_134_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50661,19 +50596,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50681,31 +50616,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_134_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_134_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_134_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_134_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_134_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_134_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50713,11 +50652,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_134_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50725,7 +50664,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_293 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_134_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50733,39 +50676,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_134_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_392 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_134_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50773,11 +50720,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_134_411 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_134_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50785,47 +50728,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_134_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_134_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_134_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_134_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_134_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_134_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_134_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_134_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_134_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_134_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50837,23 +50780,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_134_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_134_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_134_577 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_134_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50861,19 +50808,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_134_609 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_613 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50893,7 +50832,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50901,19 +50840,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50925,23 +50864,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_134_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_134_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_729 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_741 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_134_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50949,15 +50880,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_757 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_134_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50965,23 +50892,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_134_775 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_134_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_134_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_796 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50989,7 +50912,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_134_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50997,7 +50920,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_837 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_134_849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51005,7 +50932,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_860 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_861 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_134_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51137,7 +51068,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51157,11 +51088,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_135_137 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_135_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51169,31 +51104,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_135_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_135_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_197 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_209 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51201,11 +51128,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_244 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_135_256 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_135_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51213,15 +51148,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_270 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_135_278 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_135_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51229,11 +51156,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_291 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51241,11 +51164,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_325 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_135_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51253,31 +51180,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_135_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_341 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_344 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_350 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_371 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_377 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51285,55 +51192,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_135_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_135_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_135_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_135_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_478 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_135_483 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_135_495 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_135_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51341,15 +51260,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_536 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_135_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51357,15 +51280,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_135_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51373,55 +51296,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_135_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_135_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_135_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_632 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_635 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_135_647 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_651 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_659 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51433,11 +51336,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_681 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51445,39 +51344,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_135_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_135_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_756 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_754 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_135_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_768 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_135_774 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_135_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51485,11 +51392,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_135_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51497,15 +51404,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_819 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_831 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_135_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51605,10 +51508,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_105 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_136_1061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -51617,11 +51516,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_136_1085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_136_1085 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51665,6 +51564,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_136_121 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_136_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -51673,7 +51576,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_136_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51681,11 +51584,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51693,23 +51596,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_218 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_230 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_136_238 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51717,11 +51608,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_136_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51729,11 +51620,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_273 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_136_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51741,39 +51628,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_136_290 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_136_293 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_136_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_136_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_335 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51781,19 +51672,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_136_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_136_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_136_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51801,55 +51692,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_136_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_136_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_136_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_136_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_136_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_136_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_136_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_136_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_136_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_136_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_136_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_136_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_136_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_136_522 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_136_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51857,27 +51752,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_136_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_563 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51885,27 +51776,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_136_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_639 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_136_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51925,11 +51812,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_136_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_681 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_136_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51937,23 +51828,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_136_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_136_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_136_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51969,27 +51860,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_772 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_136_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_136_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_136_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_813 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51997,11 +51884,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_832 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52009,7 +51892,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_856 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_852 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_136_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52053,7 +51940,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52097,7 +51984,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52117,6 +52004,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_137_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_137_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -52125,10 +52016,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_111 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_137_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -52141,10 +52028,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_113 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_137_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -52161,23 +52044,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_137 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_141 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_144 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_137_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52185,11 +52056,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_137_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_137_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52197,15 +52068,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_137_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_137_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_137_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_137_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52213,27 +52088,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_137_249 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_254 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_137_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52241,15 +52108,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_137_289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_137_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52257,43 +52132,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_302 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_137_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_137_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_137_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_137_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_137_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_137_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_137_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_374 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52301,63 +52168,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_137_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_137_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_137_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_137_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_137_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_137_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_137_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_137_501 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_137_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52365,19 +52228,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52385,11 +52248,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_137_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_137_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52397,43 +52260,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_137_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_137_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_137_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_137_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_137_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_137_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_137_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_137_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_656 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_137_659 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_137_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52445,11 +52316,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52457,39 +52328,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_137_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_137_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_137_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_772 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_137_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_776 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_137_780 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_137_785 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_137_796 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_137_802 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_137_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52497,11 +52388,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_814 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_824 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_137_831 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_137_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52653,23 +52552,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_138_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_138_134 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_141 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52677,35 +52568,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_138_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_138_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_138_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_138_242 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_138_250 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_138_262 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_138_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52713,11 +52616,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_138_274 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52725,7 +52624,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_138_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52733,47 +52632,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_138_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_138_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_138_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_138_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_138_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_138_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_138_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_345 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_348 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_354 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_138_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52781,19 +52668,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_138_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_138_388 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_393 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52801,31 +52680,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_138_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_138_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_138_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_138_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52833,19 +52712,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_138_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52853,19 +52732,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_138_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_138_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_546 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_138_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52877,23 +52760,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_138_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_138_637 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_138_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52901,47 +52788,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_138_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_138_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_138_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_138_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_138_745 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_138_750 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_757 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52949,31 +52824,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_138_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_138_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_138_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_138_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_804 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_138_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_138_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_815 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53085,7 +52956,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_139_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53105,10 +52976,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_108 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_139_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -53117,6 +52984,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_139_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_139_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -53129,6 +53000,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_139_113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_139_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -53145,7 +53020,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_134 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_139_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53153,23 +53032,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_139_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_139_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_139_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_139_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53177,31 +53056,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_139_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53209,15 +53088,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_139_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_139_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_139_283 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_139_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53225,15 +53108,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_139_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_139_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_325 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_139_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53241,11 +53128,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_341 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_139_368 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_139_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_139_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_139_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53253,43 +53156,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_139_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_139_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_139_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_139_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_139_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_139_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_492 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53297,7 +53196,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53353,15 +53252,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_139_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_657 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_139_669 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_139_675 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_139_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53369,55 +53280,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_139_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_139_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_139_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_139_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_139_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_796 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_805 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_139_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53425,10 +53340,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_823 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_139_835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -53505,31 +53416,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_1000 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_1004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_1019 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_1043 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_1033 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_1045 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_1057 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_1055 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53545,10 +53452,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_108 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_13_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -53557,6 +53460,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_13_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_13_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -53569,15 +53476,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_1133 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_1143 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_13_1145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53585,11 +53488,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_1156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_1156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53597,83 +53500,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_17 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_13_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_13_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53681,39 +53584,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_13_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53721,11 +53624,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_13_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53733,111 +53636,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_13_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_13_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_13_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_13_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_537 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_549 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_55 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_13_557 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_561 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_570 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53845,35 +53724,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_13_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_13_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_637 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_13_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53881,95 +53756,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_13_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_700 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_734 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_746 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_753 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_791 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_794 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_821 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_833 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_13_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53981,55 +53840,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_865 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_13_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_13_893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_917 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_930 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_944 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_916 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_961 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_947 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_964 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_988 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_96 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_13_968 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_13_99 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_13_992 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54113,11 +53984,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_140_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54125,71 +53996,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_140_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_17 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_140_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_140_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_140_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_140_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_140_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_140_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_140_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_140_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_140_25 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_140_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_140_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_140_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_140_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54197,59 +54072,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_140_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_140_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_140_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_140_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_380 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_392 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54257,47 +54124,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_140_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_140_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_140_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_140_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_140_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_502 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_140_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54317,35 +54188,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_140_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_140_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_140_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_623 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_140_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54353,7 +54228,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_140_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54361,47 +54236,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_140_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_140_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_674 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_140_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_140_728 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_140_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_739 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_140_751 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_140_755 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_140_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54409,15 +54296,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_772 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_796 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_140_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54581,7 +54472,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54601,131 +54492,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_141_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_141_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_141_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_141_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_141_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_141_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_17 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_141_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_141_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_141_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_141_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_141_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_141_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_141_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_141_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_141_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_401 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_141_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_141_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54733,87 +54632,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_141_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_141_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_141_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_141_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_141_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_141_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_141_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_141_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_141_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_141_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_55 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_141_557 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_563 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54821,7 +54708,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_141_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_141_573 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_141_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54829,47 +54720,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_141_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_141_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_141_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_141_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_141_661 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_141_669 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_673 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54877,51 +54756,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_141_702 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_141_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_141_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_141_736 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_762 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_141_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_141_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_141_782 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54929,15 +54808,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_141_833 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_141_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54957,19 +54832,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_141_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_906 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_918 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_909 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_141_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54977,15 +54856,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_930 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_141_942 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_141_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_141_950 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55029,6 +54908,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_142_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_142_1061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -55077,10 +54960,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_142_116 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_142_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -55089,11 +54968,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_142_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_141 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_142_145 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_142_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55101,23 +54988,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_142_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_142_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55125,19 +55012,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_142_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55145,7 +55032,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_280 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_142_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55153,7 +55044,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_142_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55165,51 +55056,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_142_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_142_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_142_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_142_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_142_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_142_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_401 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55217,55 +55104,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_142_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_142_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_142_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_142_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_142_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_142_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55277,35 +55164,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_142_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_142_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_142_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_142_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_607 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_142_614 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_142_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55317,7 +55212,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55325,27 +55220,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_142_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_142_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_142_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55353,31 +55248,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_142_734 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_142_754 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_757 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55385,19 +55276,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_790 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_142_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_142_810 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_142_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55437,19 +55328,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_142_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_886 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_142_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_142_921 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_142_917 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_142_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55469,7 +55364,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55501,6 +55396,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_143_102 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_143_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -55513,10 +55412,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_105 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_143_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -55533,11 +55428,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_143_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_1089 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_143_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55557,6 +55452,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_143_113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_143_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -55573,11 +55472,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_143_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_142 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_143_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55585,35 +55488,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_143_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_143_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_143_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_143_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_143_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_143_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55621,11 +55524,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_143_235 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_143_251 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_143_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_143_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55633,19 +55548,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_143_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_281 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_293 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55653,15 +55560,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_143_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_143_321 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_143_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55669,15 +55572,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_143_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_143_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_143_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_358 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_143_364 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_143_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55689,59 +55600,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_143_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_143_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_143_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_143_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_143_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_143_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_143_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55749,23 +55660,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_143_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55773,43 +55684,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_143_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_143_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_143_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_590 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_143_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_143_606 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_143_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55817,63 +55724,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_644 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_143_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_143_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_143_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_143_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_143_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_143_743 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_724 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_143_738 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_143_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55881,11 +55796,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_754 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_756 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_143_78 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_143_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55901,10 +55820,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_81 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_143_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -55945,6 +55860,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_143_90 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_143_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -55953,10 +55872,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_93 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_143_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -56065,39 +55980,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_144_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_144_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_144_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_144_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_144_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_144_17 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56105,31 +56020,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_239 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_246 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_144_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56141,6 +56048,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_144_27 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_144_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -56149,7 +56060,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_144_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_144_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56161,23 +56076,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_144_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_144_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_331 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_35 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56185,55 +56092,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_144_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_144_446 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_454 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56241,135 +56140,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_47 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_144_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_144_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_144_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_144_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_144_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_144_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_144_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_144_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_144_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_144_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_144_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_144_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_674 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_144_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_728 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_721 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_144_745 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_144_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56377,23 +56280,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_144_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_797 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_144_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56429,27 +56336,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_875 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_902 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_144_914 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_144_915 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_144_922 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56537,6 +56444,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_145_11 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_145_1101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -56557,7 +56468,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_145_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56577,91 +56488,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_145_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_145_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_16 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_145_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_145_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_17 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_145_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_145_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_145_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_145_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_145_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_145_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_28 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_276 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_283 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56669,19 +56572,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_145_327 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56693,43 +56592,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_145_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_145_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_145_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_145_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_145_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_145_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_145_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_41 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_145_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56741,79 +56636,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_145_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_145_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_145_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_145_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_145_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_145_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_145_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_145_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_145_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_145_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_145_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_145_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_145_53 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_537 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_547 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_145_554 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_145_561 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56821,39 +56696,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_145_590 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_145_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_145_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_145_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_145_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_145_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_145_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_145_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_145_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_145_650 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_145_660 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_145_676 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_145_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56861,39 +56748,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_145_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_145_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_145_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_145_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_145_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_145_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_145_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_145_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56913,11 +56800,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_145_821 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_827 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56929,23 +56812,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_865 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_871 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_883 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_881 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_145_890 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57073,11 +56960,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_146_121 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57089,51 +56972,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_146_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_14 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_146_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_146_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_146_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_146_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_146_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_146_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_146_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_146_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_146_247 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_146_251 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_146_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57141,7 +57036,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57149,35 +57044,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_146_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_146_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_146_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_146_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_146_343 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_146_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57185,39 +57076,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_146_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_146_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_146_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_146_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_146_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_146_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_146_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57225,83 +57116,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_146_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_146_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_146_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_146_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_146_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_146_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_146_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_589 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_146_594 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_146_602 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_146_606 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_146_609 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_146_621 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_146_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57309,91 +57224,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_146_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_146_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_146_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_146_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_146_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_146_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_146_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_146_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_146_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_146_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_146_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_817 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_837 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_146_849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57401,43 +57320,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_856 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_146_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_146_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_146_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_875 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_146_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_902 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_914 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_939 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_146_922 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_963 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_937 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_146_949 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_146_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57445,7 +57372,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_975 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_146_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57529,7 +57456,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_147_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57549,119 +57476,131 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_147_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_147_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_17 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_147_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_147_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_147_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_18 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_147_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_147_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_147_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_147_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_147_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_147_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_147_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_147_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_147_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_147_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_147_349 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_147_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_147_371 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_147_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57669,75 +57608,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_147_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_147_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_147_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_147_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_147_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_5 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_147_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_147_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_147_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_147_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_147_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57745,6 +57684,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_147_54 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_8 FILLER_147_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -57753,11 +57696,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_147_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_147_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57765,59 +57708,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_147_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_147_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_147_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_147_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_147_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_644 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_147_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_147_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_147_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_147_670 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_147_673 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_147_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57825,23 +57760,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_147_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_147_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_700 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_147_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_147_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_729 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_147_741 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_147_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57849,15 +57792,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_147_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_785 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_147_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57865,11 +57812,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_147_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_827 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_147_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57881,31 +57832,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_147_865 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_873 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_147_883 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_147_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_147_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_917 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_903 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_929 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_924 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57913,11 +57864,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_147_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_930 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_147_949 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_147_942 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_147_950 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58021,10 +57976,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_13 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_148_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -58033,75 +57984,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_148_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_148_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_16 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_148_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_148_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_148_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_148_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_148_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_148_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_148_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_148_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_148_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_148_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_148_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_148_277 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_148_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58109,31 +58064,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_148_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_148_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_148_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_148_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_148_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_148_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_148_346 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_148_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58141,23 +58100,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_148_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_148_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58165,67 +58124,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_148_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_148_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_489 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_497 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_5 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_500 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_512 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_148_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58237,51 +58176,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_148_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_148_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_148_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_148_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_148_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_148_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_148_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_148_637 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_148_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58293,51 +58228,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_148_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_148_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_148_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_148_753 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_148_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_148_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_148_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_148_757 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_148_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58345,6 +58284,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_148_781 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_148_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -58381,31 +58324,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_148_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_148_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_875 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_907 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_148_902 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_914 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_148_922 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58445,10 +58388,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_10 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_149_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -58497,6 +58436,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_149_11 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_149_1101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -58549,7 +58492,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_16 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58561,35 +58504,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_18 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_149_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_197 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_201 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_213 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_217 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_149_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58597,19 +58524,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_149_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_149_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_149_264 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_149_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58617,147 +58556,143 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_149_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_149_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_149_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_149_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_149_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_149_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_149_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_149_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_149_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_149_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_149_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_149_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_149_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_149_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_149_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_149_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_149_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_5 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_149_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_149_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_149_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_149_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_149_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_149_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_534 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_149_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58765,7 +58700,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_149_561 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_149_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58773,51 +58712,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_149_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_149_588 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_149_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_149_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_149_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_149_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_149_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_149_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_149_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_673 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_149_677 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_149_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58825,23 +58772,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_7 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_707 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_149_719 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58849,23 +58784,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_753 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_149_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_149_777 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_149_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58873,15 +58804,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_149_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_803 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58889,6 +58816,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_149_821 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_149_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -58905,35 +58836,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_865 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_149_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_871 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_874 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_149_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_909 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_921 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_929 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58941,15 +58868,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_149_941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_149_945 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_149_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58985,23 +58908,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_1049 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_1051 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_1061 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_1063 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_1073 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_1075 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_1085 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_1087 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59009,19 +58932,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_1093 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_1093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_1103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_1127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_1117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_1139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_1129 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_1143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59041,55 +58968,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_14_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_14_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_14_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_21 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_14_225 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_14_233 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_14_243 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_14_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59101,47 +59044,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_14_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_14_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_357 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59153,15 +59092,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_14_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_415 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_14_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59169,19 +59112,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_452 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59193,11 +59132,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_483 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_486 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59213,11 +59160,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59225,31 +59172,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_597 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_14_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59257,39 +59208,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_14_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_67 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_672 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_14_678 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_14_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59297,103 +59260,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_14_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_786 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_14_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_804 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_819 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_846 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_858 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_14_866 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_901 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_911 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_14_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_882 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_929 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_916 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_14_930 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_14_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59401,19 +59372,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_952 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_960 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_964 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_971 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_972 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59429,10 +59396,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_10 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_150_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -59525,10 +59488,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_14 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_150_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -59537,6 +59496,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_150_16 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_150_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -59545,55 +59508,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_150_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_150_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_150_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_150_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_150_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_150_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_150_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_150_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_150_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_150_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59601,31 +59564,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_150_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_150_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_150_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_150_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_150_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_150_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59633,31 +59596,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_150_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_150_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_150_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_150_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_388 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_150_393 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_150_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59665,31 +59636,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_150_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_150_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_150_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_150_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_150_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_456 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_150_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59697,11 +59672,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_150_467 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59713,27 +59684,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_150_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_150_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_150_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_150_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_150_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_537 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_150_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59741,7 +59716,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_568 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_150_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59749,63 +59728,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_150_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_150_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_6 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_150_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_150_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_150_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_150_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_150_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_150_674 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_150_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_150_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59837,31 +59816,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_150_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_801 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_150_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_819 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59869,11 +59848,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_831 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59881,31 +59860,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_859 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_150_862 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_901 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_150_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_150_921 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_876 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_150_880 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_150_883 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_150_895 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_150_905 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_150_917 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_150_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60069,15 +60072,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_151_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_151_21 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_151_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60089,123 +60096,131 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_151_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_151_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_151_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_151_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_151_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_151_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_151_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_151_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_151_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_151_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_151_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_151_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_151_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_151_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_151_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_151_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_151_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_151_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_151_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_480 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_151_487 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_151_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60213,19 +60228,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_151_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_151_547 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_151_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60233,7 +60252,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_151_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60241,15 +60260,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_151_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_151_583 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_151_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60257,39 +60272,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_151_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_151_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_151_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_151_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_151_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_151_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_151_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_151_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_673 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60297,11 +60308,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_151_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_151_705 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_151_717 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_151_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60313,23 +60332,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_151_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_151_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_796 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_780 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_151_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60337,23 +60360,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_820 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_8 FILLER_151_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_151_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_151_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_151_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_151_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_856 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_151_860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60361,19 +60384,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_151_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_151_887 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_880 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_886 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60561,43 +60576,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_152_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_152_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_152_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_152_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_298 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_152_306 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60605,15 +60612,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_152_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_152_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60621,11 +60628,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_152_372 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_152_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60633,35 +60644,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_152_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_152_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_152_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_152_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_152_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_152_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60669,35 +60680,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_152_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_152_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_152_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_503 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_152_515 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60705,23 +60708,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_152_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_152_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_152_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_152_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60729,14 +60732,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_581 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_584 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_152_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -60745,39 +60740,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_152_596 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_152_6 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_152_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_152_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_152_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_152_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_152_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_152_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_676 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60785,35 +60780,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_152_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_734 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_746 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_152_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_152_754 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_152_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_152_757 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_762 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60821,19 +60812,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_786 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_798 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_152_810 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60849,11 +60832,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_152_845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_847 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60861,19 +60844,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_854 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_152_861 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60885,23 +60860,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_898 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_904 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_152_906 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_916 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_152_918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61081,11 +61056,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_153_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_231 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_153_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61093,11 +61072,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_153_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_153_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_153_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61105,11 +61084,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_284 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_153_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61117,15 +61092,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_153_307 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_315 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_153_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61137,7 +61104,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_153_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61145,19 +61112,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_153_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_153_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_153_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_153_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61165,19 +61132,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_153_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_153_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_153_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61185,39 +61152,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_153_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_153_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_153_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_153_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_153_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_153_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61225,19 +61192,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_153_492 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_153_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_153_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_518 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_153_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61245,19 +61212,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_153_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_153_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_153_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_153_561 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61265,15 +61228,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_153_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_153_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_153_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61285,19 +61248,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_153_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_153_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_153_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_153_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_153_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_153_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61333,39 +61296,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_153_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_153_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_153_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_153_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_747 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_750 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_754 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_153_757 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_763 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_770 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61373,19 +61316,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_153_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_153_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_153_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_153_817 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_153_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61393,7 +61340,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_153_831 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61401,35 +61348,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_153_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_854 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_860 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_863 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_153_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61613,7 +61560,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_154_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_154_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61621,31 +61568,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_154_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_154_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_154_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_154_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_261 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_154_265 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_273 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_154_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61653,23 +61588,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_154_291 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_154_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_154_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_154_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_154_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_154_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_154_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61677,15 +61616,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_154_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_154_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_360 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_154_365 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_154_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61693,39 +61640,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_154_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_154_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_154_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_421 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_433 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_154_445 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_450 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61733,31 +61664,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_154_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_154_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_154_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_506 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_154_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_154_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_154_514 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_154_526 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_154_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61765,19 +61704,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_154_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_154_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_154_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_154_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61821,11 +61760,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_154_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_154_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61833,15 +61772,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_674 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_154_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_154_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_154_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61853,7 +61792,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_154_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_154_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61861,19 +61800,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_154_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_741 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_154_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61953,19 +61888,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_887 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_154_896 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_902 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_154_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62161,11 +62092,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_155_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_155_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62173,19 +62108,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_155_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_155_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_155_296 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_155_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_155_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62221,15 +62152,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_155_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_155_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_155_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_155_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_155_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62237,35 +62168,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_155_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_155_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_155_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_155_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_155_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_155_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_155_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_155_505 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_155_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62273,15 +62208,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_155_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_155_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_155_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_155_553 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_155_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62313,7 +62252,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_155_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62321,11 +62260,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_155_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62333,11 +62272,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_155_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_155_663 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_155_667 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_155_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62369,11 +62316,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_155_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_155_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62417,11 +62364,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_155_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_155_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_817 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_155_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62485,15 +62436,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_930 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_930 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_155_942 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_155_936 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_155_950 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62761,11 +62712,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_156_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_156_453 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_156_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62789,19 +62744,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_156_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_156_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_156_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_156_522 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_156_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_156_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62953,11 +62904,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_156_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_816 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_156_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62993,10 +62948,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_884 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_156_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -63057,7 +63008,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_1000 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_15_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63065,23 +63016,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_1033 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_1045 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_1028 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_1057 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_1055 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63089,23 +63040,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_1065 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_1067 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_1079 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_1106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_1103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_1115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_15_1118 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_1119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63113,27 +63064,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_1133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_1136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_1141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_1142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_1150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_115 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_1151 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_1159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_1156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63141,23 +63084,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_15 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63169,87 +63108,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_15_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_15_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_15_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_25 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_15_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63261,15 +63200,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63293,19 +63232,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_15_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_426 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_15_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63313,15 +63256,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_15_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_458 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_15_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63329,19 +63276,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_491 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63357,11 +63300,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63369,23 +63312,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63393,11 +63336,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_15_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_611 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_15_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63405,15 +63352,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_644 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63421,31 +63368,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_15_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_697 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_15_7 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_15_703 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_15_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63453,35 +63412,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_15_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_756 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_762 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63489,75 +63448,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_15_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_15_827 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_814 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_826 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_15_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_856 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_15_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_887 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_858 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_15_893 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_897 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_90 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_903 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63573,23 +63520,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_961 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_964 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_988 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_15_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_1005 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_981 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_15_993 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_16_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63597,67 +63552,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_1017 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_1013 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_1029 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_16_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_1049 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_1043 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_1061 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_1046 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_1073 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_1070 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_1085 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_1082 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_1088 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_16_1090 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_1097 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_1101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_1109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_1121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_1125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_16_1133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_1137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_1137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_1144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_16_1145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63673,19 +63628,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_16_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_139 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63693,39 +63644,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_16_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_16_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_216 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_16_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63745,15 +63700,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_256 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63761,23 +63712,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63785,19 +63736,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63805,19 +63756,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_16_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_389 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63825,23 +63772,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_16_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_16_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63849,67 +63796,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_16_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_16_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_16_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_16_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_587 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_589 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_16_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63917,15 +63856,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_613 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_16_620 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_16_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63937,11 +63884,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63949,15 +63896,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_16_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63973,47 +63920,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_16_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_731 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_735 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_745 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_751 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_755 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_757 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64021,19 +63944,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_775 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_779 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_791 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_16_803 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64041,11 +63956,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_821 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_16_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64053,19 +63972,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_849 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_861 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_16_866 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64073,15 +63984,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_880 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_890 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_902 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_908 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64093,31 +64004,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_932 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_942 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_954 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_947 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_958 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_16_959 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_966 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_968 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_973 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_975 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64125,18 +64036,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_981 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_993 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_17_1005 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_17_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -64165,19 +64064,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_1065 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_1065 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_1077 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_1073 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_1089 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_1101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_1100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64185,115 +64080,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_1113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_1112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_1116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_1121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_1144 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_1137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_1150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_1140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_1164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_1146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_1153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_1160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_17_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_17_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_17_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_17_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_270 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_17_278 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_17_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64301,11 +64204,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_17_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64313,51 +64216,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_17_33 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_2 FILLER_17_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_17_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_17_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_17_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_425 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_17_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64365,87 +64276,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_17_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_17_558 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_561 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_567 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_579 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64453,35 +64348,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_17_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64489,15 +64384,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64505,75 +64400,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_753 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_819 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_17_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_17_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_865 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_849 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_17_863 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_17_876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64581,7 +64484,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_884 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_882 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_17_894 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64593,35 +64500,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_921 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_939 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_938 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_960 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_972 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_17_965 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_973 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_985 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_984 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64629,7 +64528,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_997 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_996 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64649,11 +64548,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_1049 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64685,15 +64584,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_1129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_1129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_1135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_1138 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_1141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64709,19 +64608,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_136 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_16 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64729,31 +64624,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_18_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_219 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64761,15 +64652,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_241 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_18_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64777,47 +64664,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_18_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_18_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_340 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_18_352 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_18_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64825,67 +64720,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_18_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_18_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_48 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_18_485 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_18_491 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_18_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64893,35 +64800,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_18_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_18_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_18_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_572 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_18_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64929,111 +64840,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_18_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_18_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_18_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_18_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_18_748 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_766 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_18_779 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_18_785 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_18_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65041,103 +64964,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_804 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_817 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_847 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_863 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_18_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_876 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_882 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_914 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_888 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_18_922 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_898 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_904 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_931 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_18_921 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_930 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_940 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_950 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_957 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_18_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_956 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_964 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_970 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_18_978 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65149,10 +65064,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_19_1005 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_19_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -65169,11 +65080,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_1057 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65197,6 +65108,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_19_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_19_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -65209,19 +65124,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_1133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_19_1145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_1141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_1149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_1147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65233,31 +65148,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_19_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_155 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_167 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65265,23 +65172,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65289,27 +65196,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_19_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_19_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65317,59 +65228,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_19_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_19_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_369 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_379 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_385 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65377,87 +65276,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_19_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_19_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_519 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_540 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_547 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_19_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65465,7 +65352,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_19_573 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_19_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65473,23 +65364,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_19_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_19_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65497,15 +65388,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_19_657 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_19_665 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_19_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65513,79 +65412,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_754 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_19_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_814 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_19_811 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_82 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_822 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_828 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65593,19 +65476,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_856 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_856 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_862 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_19_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_868 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_882 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_19_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65621,35 +65508,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_929 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_939 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_931 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_19_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_964 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_971 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_971 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_997 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_975 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_19_996 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65769,19 +65660,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_18 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_197 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_1_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65825,91 +65720,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_1_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_1_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_1_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_1_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_487 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65917,31 +65808,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_1_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_545 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_1_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65949,15 +65844,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_590 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_1_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65993,23 +65888,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_702 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_709 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_715 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66025,19 +65912,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_758 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_762 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_1_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66049,15 +65936,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_8 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_805 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66065,31 +65944,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_1_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_874 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_875 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_880 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_1_893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66097,6 +65976,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_1_9 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_1_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -66141,6 +66024,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_20_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_20_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -66149,6 +66036,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_20_103 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_20_1031 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -66177,10 +66068,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_109 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_20_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -66193,27 +66080,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_1117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_1117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_1125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_1129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_20_1133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_1143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_1137 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_1147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_1144 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_1149 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_1149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66221,11 +66104,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_1153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_1153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_1164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_1160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66245,19 +66128,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_20_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_20_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_20_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66265,15 +66148,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_20_231 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_20_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66281,27 +66172,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_20_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_291 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_20_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66317,51 +66212,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_20_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_20_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_39 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_20_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_20_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66369,123 +66272,143 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_20_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_20_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_20_586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_659 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_20_671 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_20_678 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_20_69 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_20_691 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_20_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66493,43 +66416,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_20_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_20_754 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_772 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_782 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_776 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_20_780 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_20_786 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_20_79 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_20_792 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_20_798 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_20_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66541,27 +66488,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_824 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_20_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_846 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_852 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_855 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_20_860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66569,23 +66508,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_20_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_884 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_887 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_890 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_893 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_899 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_20_898 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66601,23 +66536,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_930 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_928 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_20_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_967 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_960 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_20_968 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_20_972 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66629,10 +66572,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_100 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_21_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -66645,6 +66584,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_21_101 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_21_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -66657,11 +66600,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_1057 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66677,6 +66620,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_21_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_21_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -66693,19 +66640,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_1121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_1121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_1145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_1141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_1154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_115 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_21_1150 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_21_1156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66721,6 +66676,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_21_16 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_21_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -66729,35 +66688,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_19 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_194 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_198 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_204 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66765,6 +66708,14 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_21_231 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_21_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_21_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -66773,47 +66724,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_317 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66821,55 +66768,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_21_329 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_21_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_21_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_21_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_401 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_407 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_419 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66877,11 +66816,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_443 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66889,27 +66824,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_486 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66917,23 +66848,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_21_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_529 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66945,71 +66872,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_21_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_619 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_626 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_646 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_650 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_660 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67017,27 +66924,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_702 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67049,10 +66952,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_73 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_21_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -67061,71 +66960,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_756 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_775 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_21_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_795 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_831 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_855 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_882 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_856 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_860 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_863 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_890 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_21_894 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67137,23 +67024,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_21_921 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_926 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_942 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_934 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_941 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_21_949 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67197,19 +67076,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_1063 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_1061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_1087 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_1073 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_1085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67217,39 +67096,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_1095 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_1093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_1107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_1119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_1117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_1131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_1129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_1135 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_1138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_1141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_1144 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_1147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_1149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_1149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_1156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_22_1157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67257,27 +67136,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_22_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_22_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_22_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_22_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_172 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_22_180 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_22_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67285,27 +67172,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_22_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_22_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_22_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67321,87 +67208,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_22_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_22_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_22_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_399 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_22_405 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_22_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67417,163 +67312,143 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_22_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_22_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_22_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_22_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_6 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_22_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_22_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_22_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_22_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_22_7 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_701 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_713 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_22_725 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_729 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_746 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67581,19 +67456,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_770 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_22_776 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_22_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67601,87 +67468,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_22_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_846 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_827 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_22_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_858 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_22_866 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_863 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_879 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_910 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_22_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_22_922 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_931 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_955 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_94 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_949 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_961 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_22_973 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_967 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67689,6 +67544,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_22_98 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_22_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -67697,11 +67556,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_100 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_1003 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67721,15 +67576,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_1045 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_1045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_1057 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_1059 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67749,6 +67600,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_23_109 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_23_1101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -67761,19 +67616,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_1121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_1121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_1127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_1133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_1145 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_23_1153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67785,31 +67644,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_23_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_15 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_157 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_23_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67821,19 +67672,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_197 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_23_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67841,115 +67696,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_23_22 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_23_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_23_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_23_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_23_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_28 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_23_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_23_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_23_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_23_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67961,23 +67820,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_23_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_455 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_476 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67985,10 +67836,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_49 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_23_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -67997,87 +67844,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_23_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_23_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_23_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_23_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_652 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_23_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68085,11 +67936,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_679 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_23_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68097,39 +67944,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_23_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_23_743 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_23_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_765 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_23_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68137,39 +67988,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_873 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_883 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68181,83 +68028,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_23_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_921 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_910 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_23_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_967 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_1005 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_1017 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_1004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_1029 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_1016 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_1028 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_1049 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_1047 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_1061 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_1073 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_1071 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_1085 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_1077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_1091 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_24_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68273,39 +68120,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_1129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_1129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_1141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_1133 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_1147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_1144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_1149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_1159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_1167 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_1153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_1156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_1164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_121 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_24_13 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_24_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68313,35 +68168,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_24_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_17 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_24_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_24_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68349,23 +68204,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_24_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_231 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68373,15 +68224,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_24_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68389,11 +68240,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68405,15 +68256,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_327 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68421,27 +68268,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_369 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68453,7 +68296,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_24_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_24_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68461,19 +68304,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_24_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_428 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68485,111 +68324,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_24_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_5 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_24_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_24_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_24_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_674 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_24_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_721 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_24_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68605,99 +68448,127 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_784 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_24_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_24_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_24_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_856 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_24_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_887 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_893 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_906 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_960 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_972 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_993 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_25_1006 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_979 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_24_981 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_24_989 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_24_99 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_24_994 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_25_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_25_1001 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_25_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68705,10 +68576,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_101 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_25_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -68721,51 +68588,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_1057 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_1063 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_25_1065 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_1060 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_1088 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_1070 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_1100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_1097 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_25_1112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_1109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_1116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_111 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_25_1117 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_1121 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_1133 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_1143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_1141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68773,6 +68624,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_25_115 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_25_1156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -68781,11 +68636,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_129 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_25_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_25_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68793,55 +68656,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_244 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_250 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_253 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_265 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_25_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68849,31 +68696,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_322 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_25_326 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_25_329 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_25_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68881,79 +68740,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_25_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_25_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_25_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_417 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_420 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_428 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_436 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_443 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_25_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68965,55 +68804,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_553 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_25_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69029,31 +68864,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_25_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_646 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_25_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69061,10 +68900,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_25_661 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_25_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -69073,27 +68908,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_25_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_710 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_25_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69101,123 +68932,131 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_25_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_25_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_25_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_25_838 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_25_814 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_25_831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_874 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_25_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_886 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_846 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_25_894 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_883 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_25_921 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_25_949 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_912 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_25_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_958 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_970 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_982 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_994 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_965 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_25_977 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_25_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69229,10 +69068,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_102 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_26_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -69249,47 +69084,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_1061 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_1061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_26_1073 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_1071 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_1080 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_1077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_26_1086 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_26_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_1093 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_1095 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_26_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_1105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_1119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_1117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_1131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_1129 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_26_1139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_26_1141 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_1147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_1144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69305,67 +69140,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_26_13 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_26_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_26_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_26_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_223 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69373,10 +69204,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_247 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_26_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -69389,7 +69216,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69397,219 +69224,207 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_26_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_26_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_26_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_26_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_26_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_5 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_26_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_26_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_26_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_26_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_26_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_713 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_725 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_739 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_747 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69617,47 +69432,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_26_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_847 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_26_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_842 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_858 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_26_854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69665,35 +69484,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_879 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_26_896 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_904 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_905 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_26_912 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_91 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_26_913 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69705,23 +69516,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_26_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_26_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_956 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_962 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_26_974 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_26_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69733,7 +69544,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_1002 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_1000 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69745,6 +69556,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_27_103 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_27_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -69753,10 +69568,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_105 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_27_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -69769,15 +69580,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_1077 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_1077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_1089 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_1080 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_1101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_1104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69785,27 +69596,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_1113 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_27_1116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_1141 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_1121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_1147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_1124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_1150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_1148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69817,39 +69624,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_18 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_27_181 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_27_193 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_27_205 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_27_208 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_27_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69857,43 +69684,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_27_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_250 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_261 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_267 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_27 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_279 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_281 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_27_289 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69901,19 +69700,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_309 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69925,59 +69720,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_27_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69989,7 +69784,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_478 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_27_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70005,63 +69804,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_27_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_597 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_603 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_609 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70069,83 +69856,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_27_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_27_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_702 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_27_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_27_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_756 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_27_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70153,31 +69940,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_27_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_796 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_795 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_807 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_819 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_823 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70185,95 +69960,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_27_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_845 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_27_849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_856 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_862 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_868 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_866 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_907 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_27_915 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_27_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_947 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_957 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_984 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_990 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_1008 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_988 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_1020 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_1019 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_28_1031 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_28_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70285,11 +70068,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_1061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_1061 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70305,7 +70088,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_1093 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_1093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70313,35 +70096,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_1105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_28_1101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_1117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_1112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_1129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_1124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_1136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_1141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_1144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_1147 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_1149 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_1156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_1157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70349,7 +70124,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_117 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_28_125 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_28_131 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_28_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70357,11 +70144,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_144 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70373,11 +70156,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_186 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_28_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70385,27 +70172,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_240 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70413,19 +70196,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70433,39 +70216,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_28_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70473,35 +70256,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_28_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70509,31 +70292,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_455 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70541,43 +70320,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_28_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_28_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_28_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_28_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70593,75 +70372,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_28_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_28_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_28_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_28_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_28_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_706 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70669,35 +70444,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_747 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_28_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_788 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_28_796 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_28_802 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_28_810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70705,23 +70492,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_28_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_856 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_863 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_28_850 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_28_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70729,75 +70524,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_28_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_894 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_28_902 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_903 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_906 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_916 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_947 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_946 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_954 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_958 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_960 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_961 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_966 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_973 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_28_978 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_983 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_983 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_995 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_989 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_996 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_1003 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_1000 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70829,27 +70612,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_29_1077 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_1077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_1087 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_1087 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_1099 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_1111 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_1119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_1112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70857,10 +70636,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_113 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_8 FILLER_29_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -70881,87 +70656,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_29_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_29_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_29_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_27 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70969,131 +70740,151 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_28 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_29_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_29_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_29_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_29_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_29_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_29_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_29_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_500 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_29_507 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_29_519 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_29_531 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_29_537 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_29_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71113,71 +70904,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_590 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_29_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_29_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_29_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_29_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_29_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_675 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_29_687 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_29_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71185,123 +70984,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_746 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_756 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_29_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_29_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_775 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_29_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_795 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_868 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_876 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_883 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_908 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_903 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_934 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_913 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_92 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_930 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_936 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_942 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71309,23 +71092,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_955 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_962 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_968 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_972 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_973 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_29_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71341,10 +71128,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_103 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_2_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -71353,6 +71136,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_2_104 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_2_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -71369,10 +71156,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_109 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_2_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -71381,6 +71164,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_2_110 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_2_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -71405,7 +71192,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_1153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_1156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71413,7 +71200,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_122 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_2_129 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_2_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_2_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71421,35 +71220,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_169 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_176 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_182 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71501,127 +71288,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_2_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_2_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_2_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_2_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_2_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_483 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_486 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_510 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_516 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_2_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71637,15 +71408,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_2_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_2_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71653,19 +71424,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_2_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_637 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_2_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71673,11 +71448,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_657 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_2_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71685,35 +71456,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_2_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_2_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_706 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_715 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_2_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71733,15 +71508,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_2_802 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_2_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71749,7 +71528,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_827 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71757,11 +71536,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_834 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_840 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_2_835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71769,11 +71544,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_852 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_858 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71809,11 +71584,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_961 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71837,10 +71612,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_101 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_30_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -71857,39 +71628,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_1049 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_1055 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_1079 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_1061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_1073 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_1091 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_1085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_1093 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_1088 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_1105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_1101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_1117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_1129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_112 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_30_1125 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_30_1137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71901,10 +71680,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_115 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_30_1154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -71913,19 +71688,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_133 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_139 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71933,63 +71700,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_30_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_30_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_30_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_30_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_30_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71997,27 +71772,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_294 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72025,35 +71796,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_30_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_30_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_336 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_345 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_30_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72065,119 +71840,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_30_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_30_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_30_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_30_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_30_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_30_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_616 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72189,71 +71964,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_30_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_30_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_30_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_30_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_73 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_747 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_755 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_759 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_771 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72261,115 +72016,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_790 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_30_810 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_30_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_30_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_30_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_846 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_858 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_859 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_30_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_874 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_890 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_882 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_902 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_30_910 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_915 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_30_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_30_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_30_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_954 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_961 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_967 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_973 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_979 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72381,23 +72116,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_1000 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_31_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_31_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_1017 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_1044 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_1056 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_1045 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_31_1057 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_31_1063 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72413,19 +72156,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_1089 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_1101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_1097 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_31_1113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_1109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_1119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_31_1117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72433,6 +72176,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_31_113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_31_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -72449,63 +72196,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_31_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_31_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_31_200 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_208 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72513,39 +72252,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_276 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72553,71 +72288,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_31_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_31_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_31_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_366 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_31_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_31_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_31_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_424 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_31_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72629,27 +72360,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_31_46 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_31_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_31_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_31_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_31_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72661,15 +72396,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_527 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72681,131 +72412,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_588 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_590 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_31_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_31_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_31_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_31_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_31_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_762 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_31_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_31_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_796 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_795 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_31_831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_31_837 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_841 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_85 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_31_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72813,39 +72532,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_868 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_31_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_903 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_906 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_31_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_930 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_904 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_942 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_916 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_31_950 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_31_928 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_31_932 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_31_945 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_31_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72853,27 +72584,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_982 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_988 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_985 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_32_1017 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_1023 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_1011 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_32_1015 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_32_1018 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_32_1026 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_32_1031 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72885,35 +72632,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_104 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_32_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_1061 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_1069 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_1061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_1081 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_1073 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_32_1089 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_1085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_1093 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_1105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_1093 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_32_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72921,19 +72668,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_1129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_1129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_1137 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_1143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_1136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_1147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_1142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72949,39 +72696,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_32_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_14 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_32_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_32_170 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_178 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72989,31 +72728,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_32_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73021,131 +72760,155 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_32_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_32_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_32_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_336 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_32_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_32_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_32_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_517 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_32_521 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_32_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_32_542 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_32_554 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_32_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_32_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73153,11 +72916,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_572 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_32_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73165,10 +72924,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_59 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_32_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -73177,23 +72932,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_624 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_628 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_636 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73201,39 +72944,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_685 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73241,39 +72980,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_32_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_730 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_739 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_743 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_747 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73281,23 +73008,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_77 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73305,39 +73028,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_827 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_862 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_904 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_883 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_32_891 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_32_902 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73345,87 +73076,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_914 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_32_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_32_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_939 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_938 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_32_977 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_963 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_975 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_985 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_1000 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_984 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_33_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_33_1017 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_1013 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_1029 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_1016 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_1043 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_1039 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_1042 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_1054 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_33_1061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_33_1062 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_1065 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_1065 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_1077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73433,15 +73160,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_1092 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_1104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_1101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_1116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_33_1113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_33_1119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73449,19 +73180,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_33_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_33_1133 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_1137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_1141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_1140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_1150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_1149 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_33_1153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73473,19 +73208,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_33_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_33_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_33_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_33_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_145 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_33_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73493,15 +73232,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_173 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73509,7 +73244,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_193 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_33_201 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_33_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73521,23 +73264,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_33_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_33_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_258 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_33_26 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_33_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73545,23 +73296,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_33_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_33_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_322 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_33_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73577,39 +73332,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_33_397 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_33_403 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_33_406 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_33_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73617,123 +73388,131 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_33_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_33_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_33_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_33_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_33_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_33_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_33_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_33_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_33_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_33_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_644 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_33_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_648 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_33_656 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_33_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73741,31 +73520,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_33_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_33_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_33_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_33_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_706 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73781,55 +73560,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_33_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_33_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_785 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_33_797 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_802 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_809 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_815 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_819 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_822 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73837,35 +73588,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_858 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_846 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_866 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_853 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_859 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_867 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_870 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_883 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73873,27 +73608,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_899 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_33_907 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_918 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_92 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_928 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_942 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73905,43 +73624,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_33_961 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_33_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_966 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_33_992 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_1020 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_1016 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_1041 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_34_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_1065 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_1077 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_1039 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_1083 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_1043 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_34_1067 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_34_1079 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73949,23 +73676,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_1093 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_34_1093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_1096 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_1099 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_1120 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_1132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_1102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_34_1140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_1126 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_34_113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_34_1138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73985,15 +73720,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_136 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_34_141 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_34_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74001,71 +73744,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_34_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_34_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_34_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_34_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_34_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_34_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_241 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_245 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_251 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_262 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74073,7 +73800,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74081,15 +73808,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_34_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74101,10 +73828,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_33 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_34_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -74125,63 +73848,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_34_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_34_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_34_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_34_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_487 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_34_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_34_499 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_34_51 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_34_511 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_34_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74189,27 +73932,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_34_53 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_34_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_34_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_555 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_34_561 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_34_567 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_34_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74217,131 +73968,135 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_34_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_34_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_34_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_34_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_34_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_34_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_34_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_34_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_734 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_34_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_34_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_784 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_790 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_796 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_34_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_34_810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_835 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_34_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74349,79 +74104,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_34_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_34_855 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_871 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_880 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_882 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_904 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_916 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_943 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_34_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_34_918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_967 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_34_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_939 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_987 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_966 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_34_978 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_999 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_1003 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_992 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_35_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74429,31 +74188,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_35_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_35_1017 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_1016 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_1023 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_1022 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_1026 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_1026 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_1039 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_1030 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_1046 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_1034 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_35_1058 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_1044 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_35_1051 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_35_1063 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74465,19 +74232,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_1077 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_1077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_1081 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_1084 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_1108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_1101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74485,11 +74248,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_35_1121 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_1126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_1116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74509,23 +74272,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_129 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_141 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_35_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74537,75 +74292,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_35_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_35_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_35_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_35_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_35_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_35_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_35_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_35_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_264 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_27 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74613,11 +74360,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_35_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74625,67 +74372,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_35_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_35_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_35_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_35_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_408 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_35_420 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_35_428 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_35_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74693,19 +74452,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_35_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_479 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_35_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74717,27 +74480,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_35_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_517 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_541 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_55 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_35_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74745,51 +74496,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_35_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_35_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_35_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_66 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_35_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74797,223 +74544,207 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_35_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_700 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_756 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_35_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_770 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_35_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_35_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_35_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_790 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_35_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_862 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_870 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_874 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_873 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_35_894 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_35_893 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_906 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_35_918 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_929 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_935 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_35_929 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_947 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_35_946 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_955 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_967 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_973 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_978 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_977 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_988 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_35_985 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_1002 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_997 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_1008 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_36_1008 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1014 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_1012 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_1026 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_1025 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_36_1034 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_1031 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_1043 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_36_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1046 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_36_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1058 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_1041 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1070 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_1053 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_1082 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_1065 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_36_1090 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_1068 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_36_1093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_1080 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_1098 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_1093 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_1137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_36_1101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_36_1145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_1105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_1157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_1132 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_1144 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_1149 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_1153 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_1156 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75021,95 +74752,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_36_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_36_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_36_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75117,47 +74848,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_36_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_36_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_397 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_409 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75169,7 +74892,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_43 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_36_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_36_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75177,27 +74908,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_519 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_36_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75205,39 +74940,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_599 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_36_605 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_36_617 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_36_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75245,95 +74992,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_36_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_736 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_36_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_803 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_796 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_806 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_36_822 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_36_828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75341,55 +75096,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_36_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_873 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_904 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_883 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_919 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_889 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_903 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_916 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75397,10 +75140,22 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_36_934 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_36_940 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_36_952 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_36_96 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_36_964 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -75413,63 +75168,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_996 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_996 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_1001 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_1003 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_37_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_1013 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_37_1043 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_1047 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_1043 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_1059 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1046 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_1063 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_1060 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_37_1065 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_1085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_37_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_1088 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_1094 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_1106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_1115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_37_1118 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_1119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75477,19 +75232,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_37_113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_37_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_1145 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_1145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_115 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_1153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_1148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75501,11 +75256,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75513,11 +75268,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_150 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75525,23 +75276,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_37_202 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_37_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_37_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75553,19 +75312,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75573,11 +75332,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_285 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_37_289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_37_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75585,27 +75352,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_37_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_357 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_37_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75613,27 +75384,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_37_405 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_410 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75641,7 +75404,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75653,43 +75416,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_37_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_541 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_37_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75697,35 +75464,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_37_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_37_585 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_37_593 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_37_605 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_37_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75733,35 +75516,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_37_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_644 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_657 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_663 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_37_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75769,55 +75540,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_37_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_37_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_37_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_734 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_747 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_775 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_795 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_801 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_768 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_37_776 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_37_785 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_37_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75837,99 +75620,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_862 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_866 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_844 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_888 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_37_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_887 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_930 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_912 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_942 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_936 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_37_950 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_967 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_37_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_37_975 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_970 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_993 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_980 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_988 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1016 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_994 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1023 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_1039 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_1031 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1070 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1077 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_38_1039 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_1083 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_1045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_1091 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_1069 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_38_1076 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_38_1082 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_38_1090 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75937,6 +75732,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_38_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_38_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -75949,10 +75748,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_114 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_3 FILLER_38_1145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -75969,79 +75764,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_38_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_38_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_38_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_197 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_207 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_219 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_231 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_24 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76049,31 +75824,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_38_291 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_38_299 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_38_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76085,43 +75872,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_31 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_38_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_38_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_38_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_38_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_37 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_38_377 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_38_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_38_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76133,19 +75928,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_38_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_38_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76153,199 +75948,239 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_38_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_38_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_38_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_506 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_38_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_38_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_38_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_38_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_560 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_38_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_38_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_38_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_38_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_38_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_674 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_38_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_736 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_38_754 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_38_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_762 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_38_734 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_786 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_38_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_793 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_38_806 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_38_815 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_38_821 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_38_824 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_38_828 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_38_83 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_38_832 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_38_838 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_38_841 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_38_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76353,51 +76188,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_38_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_875 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_887 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_38_908 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_38_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_916 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_899 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_903 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_907 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_919 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_923 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_927 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_932 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76405,11 +76220,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_944 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_942 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76421,11 +76236,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_38_99 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_2 FILLER_39_1006 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1011 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76433,19 +76252,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1018 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_1019 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_1022 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1025 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1038 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_1032 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76453,11 +76268,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_1051 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_1063 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1052 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76465,31 +76276,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_1069 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1071 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_1072 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_1084 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1083 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_1096 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1095 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_1108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_1119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_1121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_1121 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_39_1127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76497,15 +76312,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_1133 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_1145 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_39_1157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_1154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76513,15 +76320,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_39_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76529,15 +76336,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_39_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_151 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_39_154 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_39_158 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_39_161 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_39_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76549,7 +76372,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76557,15 +76380,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76585,51 +76408,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_39_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_264 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_270 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_39_278 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_281 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_285 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_291 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76637,47 +76436,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_39_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_39_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_39_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_360 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_39_372 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_39_380 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_39_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76685,47 +76496,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_39_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_39_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_476 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_39_482 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_39_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76737,27 +76556,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_39_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_39_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_39_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_543 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_39_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76769,7 +76584,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_39_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76793,107 +76608,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_39_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_702 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_719 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_39_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_753 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_39_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_39_782 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_39_812 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_39_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76905,59 +76728,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_39_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_847 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_865 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_880 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_873 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_39_893 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_912 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_924 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_939 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_930 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_937 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_39_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76969,31 +76784,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_968 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_966 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_39_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_982 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_39_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_982 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_994 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_992 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_998 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_1003 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77005,6 +76820,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_3_101 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_3_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -77029,11 +76848,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_1077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_1077 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77045,10 +76864,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_111 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_3_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -77061,15 +76876,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_1133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_1139 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_1142 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_1145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77081,7 +76892,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77089,19 +76900,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_126 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_132 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_14 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77109,43 +76912,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_15 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_3_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_3_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77153,11 +76952,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77173,19 +76972,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_3_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77197,79 +76996,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_3_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_3_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_411 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_3_417 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_3_429 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_3_444 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_3_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77277,35 +77092,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_460 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_463 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_467 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_3_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_3_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_3_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77313,39 +77116,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_3_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_612 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_3_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77353,11 +77160,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_655 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_3_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77365,15 +77176,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77381,47 +77192,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_3_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_3_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_3_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_780 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_3_785 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_3_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77429,27 +77248,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_803 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_8 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_814 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77473,15 +77292,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_903 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77489,115 +77308,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_921 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_929 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_3_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_940 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_947 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_946 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_967 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_1017 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_1027 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_1025 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_1028 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_1039 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_40_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_1045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1041 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1051 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_1047 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1063 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_40_1059 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1075 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1063 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_1069 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_1087 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_40_1077 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_1082 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_1093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_1099 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_40_1090 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_1123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_1093 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_1105 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_40_1113 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1117 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_1141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77617,99 +77424,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_40_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_18 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_40_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_40_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_29 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_40_290 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77717,151 +77516,131 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_40_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_40_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_40_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_40_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_40_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_513 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_516 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_524 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_527 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_531 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77869,43 +77648,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_40_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_626 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_40_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77913,15 +77696,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77929,11 +77712,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_686 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_40_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77945,35 +77732,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_40_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_40_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_766 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77981,147 +77764,127 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_782 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_40_796 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_40_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_852 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_858 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_40_866 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_882 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_40_894 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_890 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_899 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_902 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_903 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_914 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_908 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_40_922 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_40_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_967 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_938 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_974 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_959 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_990 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_41_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_971 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_1015 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_1018 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_987 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_1031 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_990 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_41_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1004 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1009 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_1013 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_1016 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1026 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1039 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_1043 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78137,63 +77900,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_1074 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_1067 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_1105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_1073 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_41_1117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_1121 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_41_1112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_1133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_1116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_1145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_1157 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_1156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_1160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_41_1165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_16 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_41_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_154 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_41_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78201,47 +77968,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_258 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_41_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78249,7 +78020,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_283 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_41_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78257,35 +78032,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_323 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78293,7 +78064,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_41_337 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_41_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78301,67 +78076,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_41_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_41_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_483 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_41_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_41_499 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_41_503 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_41_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78369,19 +78160,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_41_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_542 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78389,7 +78176,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78397,159 +78184,147 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_41_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_41_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_702 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_41_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_734 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_747 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_41_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_814 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_847 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_858 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_856 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_862 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_87 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_886 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_882 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78557,35 +78332,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_41_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_41_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_902 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_908 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_931 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_935 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_932 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_946 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_962 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_41_972 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78593,23 +78372,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_990 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_992 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_42_1010 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1008 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1015 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1014 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1020 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_42_1024 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78617,19 +78400,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_1039 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_1068 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1041 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_1082 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1047 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_42_1090 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1056 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_42_1069 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_42_1075 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_42_1087 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_42_109 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_42_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78669,19 +78472,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_42_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_134 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78689,23 +78488,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_153 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_157 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_42_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78713,35 +78504,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_251 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_42_253 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78749,11 +78532,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78761,7 +78544,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78769,75 +78552,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_42_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_42_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_425 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_436 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_448 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78845,59 +78616,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_47 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_42_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_42_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_563 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_42_575 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_42_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78905,23 +78680,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_635 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_42_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78929,23 +78708,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_674 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_680 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_42_686 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_42_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78953,23 +78740,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78981,11 +78768,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_775 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78993,63 +78780,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_42_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_42_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_838 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_856 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_873 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_891 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_42_899 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79057,43 +78840,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_917 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_912 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_916 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_934 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_932 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_940 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_937 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_943 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_96 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_964 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_970 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_964 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79101,43 +78868,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_42_981 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_42_99 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_42_991 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_998 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_998 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_1000 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1012 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_1013 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1025 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1018 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_1033 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1044 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_1050 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_1031 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79145,23 +78912,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_43_1067 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_43_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1070 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1079 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_1076 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1102 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_1108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79169,83 +78936,127 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_1121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_1133 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_1119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_1145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_1157 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_1125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_43_1165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_43_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_1152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_1164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_188 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_43_196 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_43_208 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_43_220 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_43_225 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_43_233 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_43_239 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_43_243 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_43_246 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_43_258 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_43_264 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_43_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79253,11 +79064,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79265,15 +79076,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_43_307 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_43_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_323 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_43_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79281,23 +79100,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_43_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_43_37 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_43_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79305,139 +79132,151 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_384 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_43_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_43_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_43_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_43_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_43_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_63 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_43_633 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_43_639 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_43_660 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_43_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79445,15 +79284,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_682 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_43_690 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_43_693 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_43_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79461,55 +79312,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_700 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_719 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_43_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_744 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_43_752 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_756 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_764 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_772 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79517,51 +79352,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_810 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_824 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_43_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_852 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_855 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_858 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_873 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_43_885 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_43_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79569,27 +79412,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_899 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_907 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_907 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_43_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_910 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_931 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_43_943 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79597,55 +79444,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_43_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_968 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_43_961 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_43_980 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_966 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_972 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_991 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_978 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_988 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_1012 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_994 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1017 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1031 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1026 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1039 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_1037 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1040 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_1045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79653,19 +79496,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_1067 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_1079 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_1069 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_1091 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_1081 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_1095 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_44_1089 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_44_1093 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_44_1099 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79673,23 +79524,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_1107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_1121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_1138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_1133 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_44_1145 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_44_1146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79705,15 +79552,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_127 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79721,51 +79564,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_222 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_225 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79773,35 +79608,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_44_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_44_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_287 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_44_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79809,11 +79648,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_44_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79821,51 +79660,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_44_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_44_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_44_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_401 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_44_408 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_44_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79873,59 +79720,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_44_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_44_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_44_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_502 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_510 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79937,83 +79776,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_44_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_44_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_44_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_44_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_616 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_44_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80021,63 +79860,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_719 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_44_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_734 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_796 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_786 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_44_804 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_803 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_44_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80085,91 +79928,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_44_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_842 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_852 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_847 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_858 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_859 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_44_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_44_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_882 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_874 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_882 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_902 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_908 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_44_916 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_44_921 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_942 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_954 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_964 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_974 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_968 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_990 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_44_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_985 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80177,55 +80020,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_45_1017 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1020 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_45_1033 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_1026 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_1039 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_1038 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_1051 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_1050 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_1063 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_1060 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1065 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_1088 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_45_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1089 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_1100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_1112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_1113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_1123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_1119 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_1135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80237,23 +80080,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_45_116 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_45_1167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_121 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_128 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_134 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80261,27 +80096,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_45_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_199 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_45_211 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_45_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80289,19 +80132,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_45_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_263 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80313,11 +80152,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_287 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_45_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80325,47 +80160,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_45_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_376 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80373,35 +80212,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_45_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_426 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_429 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_45_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80413,115 +80244,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_45_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_45_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_45_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_45_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_45_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_45_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_45_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_45_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_45_65 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_45_650 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80529,23 +80352,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80561,15 +80384,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_763 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_45_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80577,43 +80404,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_814 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_45_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_827 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_883 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_871 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80625,19 +80456,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_899 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_45_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_916 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_929 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_922 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80645,147 +80476,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_45_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_978 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_974 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_998 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_996 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_1005 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_1003 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_1017 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_1006 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_46_1014 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_1039 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1018 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_46_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1024 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_1051 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_1030 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1063 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_1069 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_1081 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_1072 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_46_1089 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_46_1084 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1088 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_1093 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_46_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_1105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_1117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_1140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_1129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_1149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_1141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_1147 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_1167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_1157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_46_1165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_46_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_16 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_212 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_220 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80797,27 +80620,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_46_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_277 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80825,11 +80644,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_293 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80837,199 +80652,183 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_46_303 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_46_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_46_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_46_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_46_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_46_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_46_660 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_664 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_670 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_68 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_684 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_46_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81037,99 +80836,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_804 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_46_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_46_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_46_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_46_831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_852 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_855 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_858 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81137,51 +80936,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_873 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_882 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_896 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_46_904 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_908 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_912 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_916 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_46_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_946 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_952 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_959 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_97 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_971 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_975 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81193,111 +80980,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_46_989 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_993 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_991 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_1002 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_1000 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_1004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_1015 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_1016 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1018 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_1020 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_1043 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_1055 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_1044 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_1063 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_1056 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_1065 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_1067 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_1077 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_47_1089 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_1073 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_47_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_1076 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_1093 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_1105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_1103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_47_1117 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_1123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_47_1111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_1135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_1116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_1147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_1144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_1159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_1156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_1167 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_47_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_47_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81305,31 +81092,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_47_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_47_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81337,39 +81124,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_283 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_47_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81381,11 +81172,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_311 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_47_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81397,35 +81192,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_47_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_366 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_380 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_47_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81441,7 +81240,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81449,7 +81248,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81457,31 +81256,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_461 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_465 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81489,7 +81280,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81497,251 +81288,295 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_47_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_47_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_47_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_719 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_736 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_801 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_47_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_47_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_856 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_862 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_868 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_908 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_47_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_932 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_944 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_863 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_47_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_875 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_47_973 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_883 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_984 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_990 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_1013 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_47_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_1024 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_92 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_47_923 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_47_929 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_47_941 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_47_945 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_47_948 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_47_962 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_47_968 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_47_980 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_47_992 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_48_1006 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_48_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81749,35 +81584,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1039 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_1051 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_1043 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1046 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_1078 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_1084 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1059 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_1116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1066 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_1120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_1072 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_1144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_1080 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_48_1095 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_48_1101 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_48_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_48_1113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_48_1125 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_48_1137 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_48_1145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81793,23 +81656,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_48_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_141 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_48_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81817,11 +81684,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81833,19 +81700,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_221 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_48_229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_48_232 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_48_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81853,15 +81732,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_259 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81869,19 +81744,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_287 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81889,91 +81760,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_48_303 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_48_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_48_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_48_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_48_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_48_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_48_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81985,71 +81864,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_48_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_48_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_609 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_48_617 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_48_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82057,79 +81944,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_48_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_48_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_747 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_746 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_48_754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82137,43 +82028,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_772 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_784 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_790 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_796 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_796 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82181,131 +82072,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_826 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_48_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_838 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_848 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_48_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_48_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_854 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_871 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_887 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_879 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_48_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_887 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_912 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_893 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_911 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_917 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_939 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_963 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_939 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_975 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_964 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_972 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_987 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_49_1000 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_990 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_1000 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_1032 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_1018 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_1056 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1028 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_1074 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_1034 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1046 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_1050 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1053 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1060 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1074 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_1080 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82313,39 +82180,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_49_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_1116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1095 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_49_1121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_1101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_49_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_1113 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_1119 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_1123 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_1135 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1147 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_1145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82361,11 +82208,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_49_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_49_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82373,7 +82220,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_153 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_49_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82381,63 +82232,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_295 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82445,47 +82292,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_49_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_49_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_366 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_49_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82493,35 +82340,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_414 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_418 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_430 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_438 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82529,23 +82360,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_49_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_49_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_472 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_49_478 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_49_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82553,23 +82392,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_528 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_49_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82581,43 +82416,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_49_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_599 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_605 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_49_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82625,23 +82452,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_49_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_644 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82653,167 +82476,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_700 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_49_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_49_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_775 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_814 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_49_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_49_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_870 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_883 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_49_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_49_926 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_49_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_903 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_49_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_49_950 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_49_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_931 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_957 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_49_943 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_982 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_948 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_962 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_968 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_97 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_49_976 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_981 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_985 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_988 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_994 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82865,10 +82660,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_111 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_4_1117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -82877,11 +82668,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_1141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_1141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1144 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_1147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82889,6 +82680,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_4_1153 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_4_1156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -82897,15 +82692,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82913,7 +82708,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82921,43 +82716,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_4_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_208 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_220 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82965,19 +82752,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_259 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82985,6 +82768,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_4_282 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_4_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -82993,31 +82780,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_4_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_337 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_4_349 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_4_357 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_4_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83025,83 +82824,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_4_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_4_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_4_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_511 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83109,71 +82904,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_4_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_4_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_4_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_4_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_674 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_686 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_4_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83185,7 +82984,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_4_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83193,11 +82992,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_733 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83205,11 +83000,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_743 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_747 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83225,23 +83016,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_4_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_784 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_788 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_796 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83249,7 +83032,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83257,15 +83040,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_4_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_841 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_4_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83273,55 +83060,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_4_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_883 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_882 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_907 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_894 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_4_915 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_906 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_930 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_936 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_947 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_942 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_4_977 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_960 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_4_972 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83333,11 +83124,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_50_1000 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_50_1003 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_1005 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_1008 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_50_1014 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_50_1026 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83345,47 +83144,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_1045 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_1048 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_1043 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_1051 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_1073 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_1059 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_1088 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_1083 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_1116 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_1122 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_50_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_50_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_1102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_1143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_1108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_1147 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_1116 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_50_1119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_50_112 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_50_1144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83393,6 +83204,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_50_116 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_50_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -83401,39 +83216,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_50_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_16 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_177 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_50_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83457,7 +83268,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_230 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_50_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83465,75 +83280,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_50_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_50_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_50_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_371 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83541,15 +83352,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_419 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_50_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83557,7 +83372,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_50_439 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_50_444 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_50_453 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_50_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83569,27 +83396,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_50_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_514 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_50_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_50_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_50_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83601,27 +83440,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_581 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_50_587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_50_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83629,15 +83476,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_615 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_50_622 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_50_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83645,7 +83500,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_635 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_50_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83653,39 +83512,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_647 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_50_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83693,47 +83548,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_50_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_748 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83741,35 +83596,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_786 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_796 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_804 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_821 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_50_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83777,35 +83636,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_846 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_858 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_863 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_50_866 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_871 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_899 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_907 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_898 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_50_904 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_50_910 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_50_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83817,151 +83688,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_50_939 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_939 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_963 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_975 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_968 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_983 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_987 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_992 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_995 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_51_1006 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_1013 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1016 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_1020 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1023 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_1045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_1047 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_1058 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_1060 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_1068 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_1074 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_1080 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1082 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_51_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1095 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_1090 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_1102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_1097 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_1109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_1114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_51_1117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_1121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_1125 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_51_1129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_1152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_1158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_1164 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_51_1166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_51_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_51_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_147 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_153 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_163 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83977,39 +83836,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_51_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_51_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_51_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84017,11 +83876,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_28 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_51_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84029,43 +83888,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_51_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_51_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_373 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_51_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84073,31 +83936,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_429 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_51_432 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_51_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84105,43 +83976,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_51_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_503 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_512 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_51_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84149,19 +84012,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_51_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_549 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_51_555 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_51_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_51_561 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_51_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84169,19 +84048,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_51_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84189,31 +84068,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_656 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_51_66 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_51_662 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_51_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84225,119 +84116,143 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_51_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_758 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_772 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_51_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_51_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_790 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_51_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_51_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_856 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_51_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_888 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_51_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_51_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_901 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_916 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_51_844 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_866 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_940 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_51_890 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_51_897 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_51_909 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_51_913 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_51_92 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_51_925 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_51_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84345,11 +84260,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_95 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_51_955 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84357,71 +84268,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_975 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_987 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_985 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_993 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_991 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_999 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_995 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_1001 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_998 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_1013 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_52_1019 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_1025 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_1028 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_1039 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_52_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_1047 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_52_1042 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_1050 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_1048 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_1058 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1051 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_1062 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_1059 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_1065 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_1073 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_1076 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_1071 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84429,51 +84332,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_1088 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_1083 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_52_1093 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_1097 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_1093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_1128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_1117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_1138 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_1129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_1144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_52_1141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_1154 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_1147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_52_1162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_1149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_52_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_52_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_1167 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_52_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84481,23 +84388,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_177 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_52_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84505,15 +84416,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_52_211 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_52_215 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_52_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84521,43 +84440,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_52_286 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_298 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84565,7 +84476,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_309 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_52_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84573,19 +84488,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_340 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_52_343 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_52_349 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_52_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84593,51 +84520,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_52_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_52_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_52_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_52_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_429 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_437 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_52_447 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84645,19 +84560,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_52_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_52_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_52_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_511 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_52_517 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_52_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84665,15 +84588,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_547 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_52_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84681,31 +84608,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_52_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_52_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_52_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_63 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84713,27 +84636,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_52_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_52_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_674 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_52_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_675 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_52_687 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_52_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84741,83 +84672,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_52_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_730 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_736 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_52_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_52_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_777 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_780 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_793 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_799 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_80 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_52_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84825,39 +84736,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_52_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_52_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_52_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_52_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_876 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_880 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_883 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_887 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84865,39 +84772,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_52_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_52_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_931 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_52_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_934 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_938 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_962 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_52_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_52_974 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_985 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_52_981 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_52_987 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84905,39 +84816,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_1001 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_999 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_1011 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_1002 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_1015 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1024 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_1039 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1051 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_1049 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_1055 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_1057 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_1063 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_1058 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84945,79 +84852,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_1077 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_1089 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1083 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_53_1097 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_1110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_1101 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_53_1118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_1107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_1121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_1119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_1145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_1121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_53_1129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_1167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_1133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_1158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_53_1166 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_134 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_142 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_15 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_151 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85025,27 +84916,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_211 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85053,175 +84940,183 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_53_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_53_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_53_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_53_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_53_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_505 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_53_529 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_53_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85233,99 +85128,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_53_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_53_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_644 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_650 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_656 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_663 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_671 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_682 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_689 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_702 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_706 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_53_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85337,55 +85200,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_748 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_772 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_778 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_53_785 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_790 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_798 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_804 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_810 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_53_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85393,47 +85232,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_53_838 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_53_852 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_856 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_53_868 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_53_865 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_88 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_883 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_891 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_884 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85445,59 +85272,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_943 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_962 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_968 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_971 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_985 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_974 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_993 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_982 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_994 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1010 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_1016 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1031 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1039 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1032 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85505,31 +85332,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_1051 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_1072 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_1078 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_1081 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1088 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_54_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_1095 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1095 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_1124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_1122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_1136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_1134 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_54_114 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_54_1140 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_54_1143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_54_1147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85545,15 +85388,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_133 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_54_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85561,7 +85400,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_15 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_54_165 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_54_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85573,71 +85420,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_18 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_54_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_54_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_54_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85645,7 +85492,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85653,147 +85500,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_54_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_54_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_460 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_471 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_475 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_479 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_485 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_488 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_494 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_498 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85805,7 +85620,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85813,7 +85628,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_538 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_54_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85821,59 +85640,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_54_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_645 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_54_66 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_54_662 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_54_668 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_54_672 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_54_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85881,6 +85720,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_54_69 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_54_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -85889,71 +85732,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_743 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_54_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_777 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_785 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_789 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_792 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85961,19 +85788,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_826 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_847 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_54_859 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_832 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_54_838 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_54_844 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_54_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_54_856 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85981,19 +85824,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_54_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_890 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_902 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_906 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_54_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86001,31 +85844,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_92 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_2 FILLER_54_922 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_929 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_973 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_54_969 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_54_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86033,71 +85876,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_54_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_985 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_987 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_998 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_1011 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_1002 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_1023 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_55_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_55_1031 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_1019 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_1047 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_1031 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_1051 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_1055 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_1045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_1051 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_1063 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_1060 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_1065 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_1074 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_1075 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_1102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_1080 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_1114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_1095 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_55_1101 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_55_1113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_55_1119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86105,63 +85960,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_1133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_1145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_1141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_1157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_1150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_1162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_55_1165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_55_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_18 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_198 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_55_207 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_55_211 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_55_214 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_55_220 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_55_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86169,19 +86044,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86189,27 +86064,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_55_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86217,19 +86092,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_55_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_374 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_55_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86237,19 +86116,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_411 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_55_419 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_55_42 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_55_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86265,75 +86156,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_55_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_55_542 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_546 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_55_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86341,59 +86224,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_644 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_632 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_55_638 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_55_659 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_55_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86401,115 +86296,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_756 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_748 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_55_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_55_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_55_772 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_791 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_814 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_819 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_841 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_853 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_867 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_871 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_868 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86517,39 +86400,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_55_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_55_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_901 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_907 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_931 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_55_939 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_94 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_943 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86557,99 +86428,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_974 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_974 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_985 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_980 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_997 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_983 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1013 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_995 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1026 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_999 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_1003 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_1015 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1049 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_1027 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1062 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_1068 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_1080 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_1084 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_56_1045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_1093 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_1061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_1105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_1073 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_1081 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_1088 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_1147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_1116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_1128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_1157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_1140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_56_1165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_1149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_1167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_56_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86657,31 +86532,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_155 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_159 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_163 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_166 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_172 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86689,35 +86544,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_56_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_248 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_253 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86725,15 +86572,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_56_293 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_56_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86741,55 +86596,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_56_301 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_56_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_56_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_360 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_365 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_375 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86797,23 +86636,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_56_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_56_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_413 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_56_419 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_56_423 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_56_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86821,187 +86672,163 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_56_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_56_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_56_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_56_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_56_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_56_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_56_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_56_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_56_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_56_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_56_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_716 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_719 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_732 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_744 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_757 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_765 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_775 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87009,14 +86836,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_791 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_80 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_56_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -87025,23 +86844,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_56_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_824 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87049,51 +86868,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_56_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_874 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_880 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_903 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_914 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_902 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_56_922 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_912 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_942 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_925 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_56_929 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_56_939 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87109,43 +86936,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_56_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_989 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_985 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_999 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_991 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1003 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_1004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_57_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1012 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_1027 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_1039 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_1051 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_1049 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_57_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87153,31 +86984,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1074 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_1074 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_1080 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_1080 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_1092 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_1083 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_1104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_1107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_1119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1116 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_1121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87185,23 +87012,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_1150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_1162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_1145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_1157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_57_1165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_125 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_57_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87209,43 +87040,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_57_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_57_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_57_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_18 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_57_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_193 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_57_199 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_57_207 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_57_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87253,39 +87096,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_57_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_57_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_293 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_57_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87297,51 +87144,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_57_399 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_57_405 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_57_41 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_57_415 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_57_419 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_57_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87349,91 +87220,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_57_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_57_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_590 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_57_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_647 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_57_65 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_57_655 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_57_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87441,27 +87324,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_709 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_57_71 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_57_712 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_57_718 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_57_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87473,15 +87372,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_765 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_57_768 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_57_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87489,11 +87396,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_793 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87501,15 +87404,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_57_826 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_57_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87517,123 +87416,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_848 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_57_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_856 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_859 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_858 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_865 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_880 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_890 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_899 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_905 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_57_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_911 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_902 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_915 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_908 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_914 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_942 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_939 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_57_950 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_956 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_57_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_968 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_982 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_986 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_1005 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_991 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_1017 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_57_999 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_1002 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_58_1033 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_1006 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_58_1030 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1051 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_1046 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_1052 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_58_1076 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_1077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_1084 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_1085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1087 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_1088 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_1091 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87645,10 +87544,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_112 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_58_1120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -87665,6 +87560,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_58_115 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_58_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -87673,39 +87572,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_58_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_184 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87713,11 +87608,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_58_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_58_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87725,7 +87620,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87733,7 +87628,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_240 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_58_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_58_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87741,15 +87644,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_58_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_58_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87757,43 +87660,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_58_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_335 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_342 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_348 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87801,47 +87692,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_58_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_58_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_414 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_428 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87861,31 +87744,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_58_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_58_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_494 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87905,19 +87784,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_556 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_58_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87929,19 +87804,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_611 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_58_623 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_58_631 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_58_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87949,83 +87836,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_58_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_58_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_58_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_58_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_782 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_58_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_787 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_58_797 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_58_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88041,127 +87936,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_58_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_58_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_898 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_58_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_910 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_916 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_896 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_939 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_905 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_911 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_966 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_58_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_58_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_59_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_1012 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_963 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_1022 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_975 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_1026 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_1030 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_985 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_993 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_1001 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_1007 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_1009 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_1015 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_1039 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_1051 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_1055 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88169,31 +88040,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_1065 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_1068 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_59_1077 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_1080 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_1084 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_1083 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_1111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_59_11 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_1110 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_59_1118 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_1119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88221,7 +88084,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88229,19 +88092,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_16 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_59_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88249,51 +88112,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_59_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_59_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_59_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_26 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_59_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_59_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88301,31 +88172,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_59_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_318 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88333,27 +88200,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_348 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_356 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_359 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88361,11 +88216,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88373,75 +88228,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_59_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88449,7 +88304,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_552 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_59_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88457,107 +88316,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_59_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_590 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_59_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_59_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_700 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_719 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_727 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_729 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_73 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_733 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_59_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88565,19 +88408,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_756 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_59_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_59_775 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_59_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88585,23 +88428,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_810 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_814 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_59_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_817 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_825 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_59_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88609,15 +88456,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88625,15 +88472,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_874 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_880 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_875 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_59_888 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88641,19 +88488,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_905 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_911 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_910 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_917 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88661,31 +88508,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_935 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_931 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_59_934 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_940 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_947 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_961 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_982 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_955 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_995 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_98 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_59_983 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_59_991 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_59_997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88701,6 +88560,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_5_101 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_5_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -88761,15 +88624,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_1145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_5_1145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_1153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_1159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1156 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_5_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88777,7 +88644,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_122 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_5_137 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_5_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88789,47 +88664,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_5_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_5_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_5_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_5_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_5_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_262 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_5_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88837,87 +88716,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_5_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_401 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_404 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_41 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_411 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_423 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88925,51 +88784,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_5_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_5_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_5_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_530 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88977,47 +88832,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_5_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_5_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_5_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_615 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_617 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89025,11 +88872,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_644 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89037,19 +88884,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_692 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_5_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89057,15 +88908,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89077,15 +88928,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_5_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89101,15 +88952,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_827 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89117,15 +88968,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_844 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_850 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89137,10 +88980,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_87 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_5_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -89149,6 +88988,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_5_90 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_5_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -89157,23 +89000,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_935 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_939 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_947 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_94 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_942 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_5_950 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89185,6 +89020,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_5_97 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_5_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -89193,59 +89032,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_60_1002 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_1001 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_1013 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_60_1016 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_1028 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1031 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_60_1039 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_60_1051 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_60_1063 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_1049 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_60_1075 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_1061 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1087 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_1067 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_1070 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_108 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_1082 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_60_1090 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89285,11 +89112,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_60_138 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_60_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89297,27 +89128,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_60_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_60_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_60_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_195 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89325,7 +89152,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_215 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_60_221 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_60_227 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_60_230 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_60_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89333,7 +89176,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89341,39 +89184,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_60_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_60_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_29 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89381,75 +89220,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_336 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_399 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_60_409 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_60_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89461,59 +89308,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_60_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_60_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_513 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89525,43 +89368,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_60_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_567 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_575 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89577,115 +89412,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_60_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_60_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_60_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_60_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_728 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_60_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_786 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_60_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_813 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_60_816 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_60_824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89693,79 +89536,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_856 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_862 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_863 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_879 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_60_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_908 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_894 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_914 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_935 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_938 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_963 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_943 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_60_971 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_95 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_60_964 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89773,11 +89620,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_60_990 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_1004 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_61_1012 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_61_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89789,75 +89644,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_1048 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_1048 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_1056 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_1060 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_1059 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_1065 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_1063 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_1069 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_1065 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_1090 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_1077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_61_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_1102 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_61_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_1114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_1101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_1123 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_1116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_1150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_1121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_1162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_61_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_1144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_61_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_1156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_16 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_61_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_136 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_61_148 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_61_155 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_61_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89869,23 +89736,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_61_201 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_205 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_211 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89893,139 +89748,171 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_61_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_61_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_61_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_61_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_61_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_61_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_366 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_61_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_61_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_485 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_61_491 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_61_503 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_61_505 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_61_51 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_61_515 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_61_521 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_61_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_61_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90033,155 +89920,179 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_61_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_61_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_61_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_772 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_61_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_61_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_810 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_61_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_779 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_61_783 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_61_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_61_808 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_61_814 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_61_820 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_61_826 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90193,23 +90104,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_856 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_856 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_862 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_868 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_876 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_875 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_884 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_878 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_61_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90217,75 +90132,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_908 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_914 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_61_907 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_915 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_924 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_61_928 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_930 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_942 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_946 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_970 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_994 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_1000 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_61_977 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_983 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_989 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_999 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_100 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_1011 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_1019 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_1024 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90293,23 +90184,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_1039 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_1046 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_1051 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_1052 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_1054 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_1058 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_1079 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_1080 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90317,31 +90208,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_1095 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_1102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_1107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_62_1108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_1119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_1136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_113 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_1131 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_1143 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_1147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_1142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90357,67 +90236,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_62_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_62_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_62_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_236 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90425,55 +90300,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_62_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_322 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_62_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_62_331 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_62_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90489,67 +90376,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_62_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_62_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_62_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_464 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90557,123 +90440,159 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_62_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_62_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_684 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_62_691 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_62_699 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_62_70 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_62_709 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_62_715 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_62_725 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_62_731 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_62_739 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_62_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90681,7 +90600,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_757 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_62_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90689,15 +90612,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_784 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_62_796 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_801 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90705,19 +90628,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_852 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_62_860 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_855 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_62_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90725,39 +90652,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_62_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_874 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_880 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_890 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_894 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_906 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90765,15 +90692,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_940 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_952 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_957 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_964 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90781,11 +90708,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_987 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_987 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_1003 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90797,51 +90724,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_63_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_1027 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_1052 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_1027 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_1056 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_1031 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_1060 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_1056 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_1068 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_1074 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_1076 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_1080 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_1080 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_63_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_63_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_1104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_1107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_111 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_1116 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_1119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90849,7 +90772,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90869,11 +90792,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_136 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_63_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90881,55 +90808,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_16 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_63_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_17 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_63_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_63_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_263 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_63_269 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_63_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90937,43 +90868,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_309 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_315 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_323 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_326 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90981,15 +90896,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_347 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91001,15 +90912,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_376 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91017,47 +90924,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_63_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_486 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_63_494 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_63_5 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91065,7 +90980,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_63_505 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_63_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91073,23 +90992,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_539 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_545 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91097,191 +91008,179 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_63_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_63_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_63_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_644 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_702 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_736 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_748 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_754 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_63_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_796 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_847 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_848 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_854 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_874 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_881 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_889 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91289,63 +91188,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_903 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_903 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_917 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_914 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_63_949 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_924 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_928 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_931 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_959 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_972 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_978 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_63_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_988 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_958 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_995 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_971 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_1005 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_1017 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_991 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_1019 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_64_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91357,27 +91260,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_1039 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_1049 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_1051 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_64_1061 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_1054 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_1065 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_1075 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_1067 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_1073 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_64_1085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91385,31 +91292,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_1095 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_1102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_1107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_1108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_1119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_64_1120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_1131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_1125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_1143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_1137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_1147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_64_1145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91425,87 +91332,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_64_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_64_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_64_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_17 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_64_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_64_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_64_25 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_266 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_64_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_64_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91513,11 +91428,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91525,39 +91440,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91565,55 +91480,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_64_477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_64_48 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_64_482 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_64_488 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_64_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91621,135 +91552,143 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_64_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_64_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_64_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_674 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_64_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_711 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_64_738 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_64_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91757,27 +91696,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_64_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_780 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_792 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91785,107 +91716,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_64_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_827 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_842 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_847 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_848 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_64_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_852 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_858 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_863 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_884 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_899 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_905 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_908 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_914 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_939 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_64_947 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_64_941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_993 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_946 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_1001 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_971 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_64_979 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_64_981 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_64_989 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_64_994 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91897,19 +91844,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_65_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_1033 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_1039 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_1042 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_1045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91917,6 +91856,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_65_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_65_1060 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -91925,15 +91868,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_1077 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_1077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_1083 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_65_1085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_1096 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91941,95 +91884,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_1102 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_65_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_1114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_1116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_1121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_1121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_65_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_1133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_65_1148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_1145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_1160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_1157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_65_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_65_1165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_65_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_65_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_65_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_65_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_65_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_65_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_17 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_173 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_176 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_200 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_213 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_217 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_65_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92037,31 +91952,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_65_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92069,51 +91984,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_65_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_65_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_65_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_33 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_339 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_65_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92121,15 +92028,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92137,23 +92044,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_65_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_65_411 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_65_417 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_65_420 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_65_43 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_65_430 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_65_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92161,19 +92088,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92185,71 +92112,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_65_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_65_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_65_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_65_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_595 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_602 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_65_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92261,23 +92180,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_644 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_653 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_65_659 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_65_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92285,19 +92212,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_65_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_65_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_677 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_688 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92309,71 +92228,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_65_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_65_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_756 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_65_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_826 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92389,7 +92308,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_862 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_862 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_65_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92401,79 +92324,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_884 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_887 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_65_894 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_65_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_65_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_902 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_903 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_65_910 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_65_922 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_912 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_65_934 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_924 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_942 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_65_950 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_65_960 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_959 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_65_972 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_963 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_984 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_975 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_990 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_983 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_65_996 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_1003 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_995 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_66_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_66_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92485,31 +92408,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_1039 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_1041 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_1066 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_66_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_1078 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_1065 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_66_1090 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_1077 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_66_1093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_66_1089 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_1099 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_1096 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_110 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_66_1111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92521,10 +92448,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_114 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_66_1147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -92533,6 +92456,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_66_116 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_66_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -92549,23 +92476,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_66_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_182 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_66_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92573,23 +92496,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_66_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_66_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_231 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_66_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92597,43 +92516,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_66_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_66_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_66_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_66_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_314 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_66_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92641,55 +92564,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_66_336 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_408 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92697,27 +92616,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92725,135 +92644,179 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_66_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_66_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_66_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_66_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_66_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_66_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_66_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_683 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_66_689 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_66_697 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_66_701 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_66_709 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_66_71 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_66_713 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_66_719 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_66_725 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_66_737 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_66_740 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_66_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92861,23 +92824,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_66_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_66_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_791 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_66_797 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_66_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92885,15 +92856,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_66_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_818 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_824 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92905,7 +92872,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_856 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_858 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92913,47 +92880,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_66_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_898 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_904 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_66_916 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_66_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_916 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_929 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_958 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_934 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_970 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_946 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_66_950 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_66_953 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_66_965 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_66_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92961,31 +92944,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_66_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_990 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_66_992 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_67_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_67_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_1014 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_1020 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_1013 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93017,15 +93000,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_1088 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_67_1096 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_67_1088 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93045,6 +93020,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_67_113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_67_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -93061,87 +93040,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_67_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_14 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_67_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_67_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_67_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_67_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_20 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_67_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_67_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_269 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_67_275 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_67_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93149,23 +93136,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_67_305 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_309 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_67_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93173,35 +93152,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_33 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_67_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_67_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_67_366 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_67_372 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_67_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93209,31 +93192,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_67_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_413 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_42 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_422 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_67_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93245,11 +93216,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_67_45 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_67_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_468 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_67_476 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_67_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93257,7 +93240,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_49 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_67_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93269,23 +93256,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_67_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_513 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_529 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93293,10 +93272,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_55 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_67_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -93305,27 +93280,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_67_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93333,23 +93308,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_67_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_67_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_67_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_661 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_67_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93357,51 +93336,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_67_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_67_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_719 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_67_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_67_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_67_769 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93409,75 +93384,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_67_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_846 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_86 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_863 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_866 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_872 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_878 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_884 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_880 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93485,6 +93440,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_67_89 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_67_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -93497,7 +93456,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_936 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93505,55 +93464,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_67_95 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_67_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_67_970 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_975 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_975 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_988 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_992 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_1000 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_998 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_1000 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_1006 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_68_1012 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_1018 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_1021 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_1029 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_68_1024 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93565,10 +93508,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_105 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_68_1061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -93585,23 +93524,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_68_1101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_68_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_1106 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_1101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_1104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_1130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_68_1128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_68_1142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_1140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93617,39 +93556,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_12 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_18 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_68_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_68_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_185 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_68_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93657,67 +93600,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_68_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_68_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_68_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_68_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_68_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_68_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_291 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_68_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_68_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93725,87 +93676,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_68_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_68_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_68_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_68_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_68_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_68_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_68_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_68_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_432 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_68_44 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_68_440 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_68_443 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_68_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93825,55 +93792,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_5 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_68_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_68_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_553 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_563 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_68_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93881,11 +93840,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_68_58 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_68_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93897,27 +93860,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_627 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_68_632 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_68_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93925,51 +93896,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_734 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_731 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_68_739 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_68_749 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_68_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93977,27 +93960,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_786 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_790 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_68_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94009,31 +93984,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_68_835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_847 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_68_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_851 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_68_857 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_68_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94041,27 +94024,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_68_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_883 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_68_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_68_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_907 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_68_903 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94073,11 +94056,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_945 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_957 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94093,11 +94072,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_68_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_993 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_992 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94105,23 +94084,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_69_1011 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_1038 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_69_1023 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_1041 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_1049 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_1043 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_69_1061 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_69_1051 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_69_1063 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94133,11 +94116,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_1079 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_1079 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94149,171 +94132,171 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_1109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_1109 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_69_1117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_1112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_1121 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_1121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_69_1124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_1133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_1139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_69_1136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_1163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_69_1148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_1167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_1160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_69_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_13 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_69_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_69_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_69_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94321,51 +94304,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_69_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_482 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_69_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94373,55 +94360,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_50 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_69_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_69_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_69_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_588 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_577 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_69_586 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_69_594 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_69_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94433,10 +94428,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_61 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_69_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -94445,6 +94436,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_69_65 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_69_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -94453,75 +94448,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_67 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_2 FILLER_69_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_69_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_69_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_69_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_753 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_69_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_772 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94529,31 +94520,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_69_817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_69_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_836 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_69_849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94561,23 +94548,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_865 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_859 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_873 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_879 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_887 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94585,51 +94572,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_69_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_69_905 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_936 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_942 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_69_950 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_69_943 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_69_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_957 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_69_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_69_956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_970 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_968 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_971 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_986 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_984 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_69_990 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_69_994 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94641,7 +94636,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94673,10 +94668,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_109 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_6_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -94685,6 +94676,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_6_110 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_6_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -94697,6 +94692,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_6_113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_6_1141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -94717,23 +94716,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_116 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_6_1164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_6_133 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_6_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94749,31 +94748,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_6_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_6_212 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_6_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94789,7 +94792,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_6_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94797,19 +94800,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_279 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_291 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_6_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94817,7 +94812,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_6_309 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_6_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94825,35 +94824,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_6_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94861,7 +94864,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_6_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_6_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94869,15 +94872,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_6_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_6_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_448 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_6_456 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_6_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94885,43 +94896,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_6_479 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_6_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_6_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_6_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_6_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_561 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_6_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94929,11 +94948,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_570 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94941,27 +94956,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_6_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_6_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_6_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94969,23 +94984,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_6_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_686 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_6_689 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_6_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94993,7 +95016,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_701 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_6_705 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_6_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95005,51 +95036,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_6_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_6_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_6_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_771 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_775 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_781 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_787 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_796 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95057,27 +95072,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_803 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_6_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_6_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_819 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95085,11 +95100,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_858 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_6_866 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_856 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95101,19 +95112,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_882 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_906 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_6_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_925 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_6_937 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_6_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95121,15 +95140,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_957 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_6_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_6_977 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95141,19 +95160,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_1013 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_1003 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_1009 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95169,10 +95180,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_106 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_70_1061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -95193,27 +95200,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_1093 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_70_1093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_70_1105 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_1099 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_1109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_1121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_1108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_1133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_1120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_70_1145 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_114 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_70_1144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95229,103 +95240,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_70_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_70_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_70_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_70_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_20 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_29 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95333,143 +95340,143 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_70_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_70_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_70_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_70_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_70_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_70_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_70_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_70_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_70_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_70_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_70_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_70_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95477,71 +95484,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_70_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_688 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_692 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95549,39 +95548,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_70_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_723 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_731 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_734 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_70_746 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_70_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95589,6 +95576,22 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_70_759 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_70_765 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_70_777 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_70_78 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_70_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -95601,119 +95604,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_796 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_827 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_842 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_831 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_70_842 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_70_854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_846 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_70_862 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_70_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_852 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_863 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_884 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_890 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_876 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_902 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_901 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_914 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_907 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_70_922 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_928 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_936 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_70_939 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_960 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_972 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_985 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_70_973 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_979 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_983 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_996 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95721,35 +95712,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_71_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_1024 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_1048 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_1022 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_71_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_1046 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_1060 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_1052 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_71_1065 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_1055 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_1071 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_1063 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_71_1065 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_71_1073 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95757,151 +95756,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_1086 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_1095 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_1086 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_71_1094 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_1109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_1107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_71_1117 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_1119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_1121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_1121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_1135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_1145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_1157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_1159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_71_1165 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_1167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_71_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_71_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_71_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_71_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_71_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_273 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_276 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_289 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95909,15 +95896,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_71_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_319 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_71_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95925,119 +95916,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_71_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_71_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_71_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_71_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_71_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_71_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_71_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_71_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_71_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_71_505 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_52 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_530 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_536 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_71_542 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96045,107 +96016,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_71_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_71_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_71_719 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_71_748 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_754 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_71_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_770 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_71_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96153,119 +96128,155 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_848 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_873 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_71_849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_880 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_71_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_884 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_902 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_890 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_929 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_71_949 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_960 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_939 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_974 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_998 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_1019 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_1031 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_960 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_963 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_1045 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_982 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_1054 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_986 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_1062 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_992 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_72_1008 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_72_1014 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_72_1026 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_72_1034 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_72_1037 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_72_1041 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_72_1051 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_72_1059 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_72_1065 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96273,31 +96284,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_72_1070 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_1079 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_1080 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_1088 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_72_1086 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_1093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_1100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_1101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_1112 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_1118 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_1122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96309,6 +96316,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_72_114 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_3 FILLER_72_1145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -96325,43 +96336,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_12 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_72_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_72_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_72_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_72_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_72_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_189 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96369,43 +96376,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_72_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_72_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_72_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_72_263 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_72_271 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_72_274 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_72_280 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_72_286 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_72_290 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_72_293 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_72_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96413,91 +96448,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_72_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_72_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_72_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_72_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_72_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_72_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_72_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_72_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_72_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_72_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_453 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_72_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96505,115 +96536,143 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_72_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_72_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_72_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_72_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_72_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_72_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_72_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_72_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_72_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_72_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_72_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_72_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_72_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_72_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_660 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_72_667 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_72_671 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_72_674 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_72_680 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_72_686 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_72_690 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_72_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96621,59 +96680,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_72_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_72_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_746 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_72_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_72_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_72_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_782 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_788 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_72_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96681,19 +96736,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_819 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_72_826 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96701,35 +96748,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_856 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_72_859 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_871 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_883 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_72_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_908 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_914 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_904 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_91 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_72_916 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96737,47 +96788,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_932 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_72_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_939 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_949 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_955 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_961 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_72_973 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_72_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_987 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_1003 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_989 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_992 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_1000 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96785,19 +96828,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_101 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_73_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_1033 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_73_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_1039 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_1043 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96809,27 +96848,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_1065 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_1065 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_1073 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_1074 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_1077 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_1080 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_73_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_1085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_1099 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_1111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_1100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_73_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_73_1112 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_73_1115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96837,75 +96888,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_1121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_1121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_73_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_1125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_73_1133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_1128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_1137 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_73_1161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_1152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_1167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_1164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_12 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_13 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_73_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_20 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_21 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_73_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96913,67 +96960,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_73_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_73_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_73_295 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_3 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_304 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_316 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_319 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_323 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_73_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96981,87 +97004,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_366 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_73_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_73_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_73_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_73_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_73_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97073,67 +97096,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_73_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_73_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_73_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_73_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_608 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97141,111 +97160,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_702 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_73_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_719 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_73_756 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_762 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_73_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_73_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_785 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_797 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_809 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_812 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97253,15 +97256,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_868 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_880 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_864 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_73_87 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_73_876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97269,67 +97280,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_89 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_73_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_906 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_906 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_912 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_926 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_936 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_942 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_73_950 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_955 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_73_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_963 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_961 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_967 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_988 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_1016 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_74_1028 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_991 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_74_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_1013 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_1045 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_1025 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_74_1033 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_74_1042 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_74_1048 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97337,55 +97356,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_1051 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_1053 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_1054 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_1065 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_1066 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_1071 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_1072 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_1077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_1078 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_1085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_1086 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_1088 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_1096 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_1093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_1102 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_1097 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_1107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_1110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_74_1122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_1123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_1132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_1132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_74_1139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_1141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97405,75 +97424,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_12 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_74_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_74_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_74_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_74_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97481,19 +97500,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_74_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_74_275 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97501,115 +97516,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_74_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_74_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_74_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_74_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_46 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_74_466 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_74_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97621,147 +97628,127 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_74_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_74_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_682 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_686 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_689 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_693 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_696 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97769,7 +97756,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_704 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_74_710 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_74_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97777,15 +97772,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_738 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_74_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97793,47 +97792,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_74_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_819 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_83 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_74_831 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_74_835 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_74_843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97841,7 +97852,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_862 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_855 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_74_861 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_74_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97849,23 +97868,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_87 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_74_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_74_893 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_74_898 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_908 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_9 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_74_902 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_74_910 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_74_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97873,43 +97900,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_74_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_931 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_935 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_74_959 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_954 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_970 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_966 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_74_978 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_74_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_989 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_986 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_99 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_75_1006 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97921,7 +97944,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_1024 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_1024 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97929,15 +97952,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_1036 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_1044 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_1051 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_1052 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97945,31 +97968,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_1065 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_1069 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_1069 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_1077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_1075 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_1092 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_1082 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_75_1089 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_1097 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_1107 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_1100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97977,87 +97988,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_75_1114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_1111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_75_1126 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_1119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_1132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_1123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_1139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_1153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_75_1165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_1163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_75_12 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_1167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_75_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_75_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_75_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_75_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_75_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_75_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_75_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_75_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_252 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_75_262 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_75_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98065,43 +98084,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_75_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_75_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_75_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_75_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_75_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_337 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_341 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_75_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98109,31 +98120,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_75_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_75_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_397 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_75_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98141,15 +98156,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_75_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98157,11 +98176,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_75_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_462 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_75_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98169,11 +98192,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_75_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98181,27 +98204,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_75_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_75_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_75_544 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_549 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98209,27 +98224,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_75_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_75_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_590 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_594 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_75_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98241,87 +98252,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_75_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_75_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_75_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_702 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_75_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_734 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_75_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_735 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_738 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_762 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_75_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98329,127 +98328,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_75_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_824 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_847 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_859 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_856 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_863 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_866 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_866 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_75_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_884 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_75_890 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_904 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_922 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_908 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_926 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_918 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_929 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_942 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_930 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_936 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_75_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_940 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_958 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_75_944 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_75_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_970 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_959 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_982 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_962 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_75_990 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_966 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_995 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_982 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_994 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_75_998 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_1002 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_1008 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_1011 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98457,31 +98444,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_1039 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_1041 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_1053 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_1047 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_1063 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_1051 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_1067 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_1058 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_1076 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_1064 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_1084 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_1073 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_1088 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_1080 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_76_1087 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_76_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98489,27 +98484,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_110 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_76_1102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_1115 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_1106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_1127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_1116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_1133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_1129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_1144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_1143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_76_1147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98517,10 +98512,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_116 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_76_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -98529,35 +98520,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_12 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_76_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_76_180 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_76_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98565,83 +98564,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_76_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_76_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_76_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_76_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98649,83 +98648,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_76_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_505 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_76_517 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_76_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98733,31 +98740,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_76_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_550 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_558 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_561 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_567 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98773,55 +98764,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_76_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_76_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_76_691 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_76_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98841,111 +98836,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_76_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_76_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_76_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_76_838 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_854 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_76_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_76_866 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_884 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_76_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_76_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_896 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_76_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98953,35 +98944,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_931 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_958 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_76_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_971 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_989 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_77_1006 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_988 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_77_1004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98989,39 +98984,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_77_102 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_77_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_1033 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_77_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_1045 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_1051 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_1054 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_1052 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_77_1062 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_1060 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_1067 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_77_1065 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_1073 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_1076 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_1073 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99029,15 +99024,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_1090 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_77_1085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_1105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_77_1117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_1104 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_77_1110 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_77_1118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99045,7 +99048,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_77_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99065,87 +99068,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_12 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_12 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_77_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_77_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_20 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_77_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_77_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_77_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_252 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_258 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_264 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99153,39 +99144,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_77_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_343 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_77_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99193,59 +99188,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_77_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_475 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_77_48 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_77_481 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_77_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99253,27 +99260,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_525 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_55 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99281,19 +99280,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_77_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_575 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_77_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99301,35 +99304,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_77_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99337,51 +99340,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_690 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_700 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99389,10 +99384,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_73 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_77_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -99405,55 +99396,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_77_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_793 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_80 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_805 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_77_813 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99465,111 +99440,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_77_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_847 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_77_863 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_876 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_888 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_905 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_904 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_921 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_929 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_957 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_968 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_974 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_960 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_964 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_967 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_1013 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_994 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_1008 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_102 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_1020 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_78_1028 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_1024 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99577,27 +99540,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_78_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_1043 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_1045 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_1050 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_1052 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_1056 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_1063 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_1060 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_1074 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_1071 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_1077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99605,31 +99568,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_1093 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_1096 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_1101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_1102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_1115 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_1119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_1124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_1125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_1136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_1132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_1136 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_78_114 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_78_1143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_78_1147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99645,7 +99620,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99653,79 +99628,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_78_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_78_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_78_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_20 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_78_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_78_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_260 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_78_266 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_78_272 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_78_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99733,23 +99720,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_303 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99757,35 +99740,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_78_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_78_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_78_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_369 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_78_373 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_78_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99793,19 +99784,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_413 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_78_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99821,83 +99816,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_78_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_78_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_78_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_78_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_57 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_78_571 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_78_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_78_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99905,43 +99912,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_60 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_78_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_78_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_651 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_78_657 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_78_669 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_78_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99949,31 +99964,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_78_701 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_78_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_78_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_738 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_78_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99989,131 +100012,151 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_78_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_78_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_801 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_78_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_819 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_846 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_854 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_78_862 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_846 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_78_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_876 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_882 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_855 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_894 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_78_906 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_911 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_939 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_78_893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_952 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_898 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_910 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_964 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_972 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_990 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_959 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_996 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_971 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_1000 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_985 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_1027 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_995 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_79_1006 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_1044 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_1009 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_79_1019 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_79_1023 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_79_1031 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_79_1042 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_79_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100121,11 +100164,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_1050 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_1055 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_1056 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_1063 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100133,15 +100176,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_1086 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_1086 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_1092 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_1094 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_1099 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_1101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100149,7 +100192,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_1111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100157,47 +100200,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_1124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_1121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_79_1129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_79_1136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_1136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_1144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_1162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_1156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_12 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_128 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_134 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100213,27 +100248,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_79_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_79_206 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100245,143 +100276,155 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_366 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_488 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_79_497 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_79_503 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_79_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100389,63 +100432,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_79_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_62 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_79_622 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_79_628 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_79_634 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_79_640 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_79_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100453,15 +100516,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100469,51 +100532,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_736 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_747 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100525,71 +100588,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_817 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_79_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_79_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_874 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_880 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_886 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_887 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_79_894 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_906 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_910 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_916 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_932 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_924 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_938 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_79_936 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_944 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_948 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_79_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100597,19 +100664,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_79_977 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_975 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_982 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_983 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_988 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_986 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_79_998 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100633,6 +100704,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_7_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_7_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -100649,10 +100724,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_108 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_7_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -100661,6 +100732,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_7_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_7_1113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -100697,27 +100772,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_140 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100725,43 +100796,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_7_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_7_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_223 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_7_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100769,39 +100844,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_7_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_295 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_301 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100809,39 +100876,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_7_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_7_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100849,6 +100920,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_7_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_7_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -100857,15 +100932,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100873,11 +100948,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_7_461 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_7_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100885,15 +100964,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100905,19 +100984,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_537 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_7_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100925,87 +101008,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_590 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_7_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_663 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_671 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_673 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_679 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_682 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_7_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101017,75 +101080,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_700 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_7_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_7_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_748 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_754 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_7_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_819 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_796 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_804 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_7_817 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_7_824 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_7_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101097,23 +101172,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_865 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_880 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101121,23 +101196,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_7_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_911 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_916 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_7_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_923 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_935 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_940 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101165,11 +101236,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_7_99 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_7_992 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_1001 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_80_1013 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101177,55 +101256,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_1023 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_80_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_1029 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_1044 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_1045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_1056 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_1055 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_1068 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_1067 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_1080 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_1079 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_1100 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_80_1108 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_1093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_1118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_1101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_1130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_1121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_1136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_80_1131 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_80_1139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_80_1142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101233,10 +101324,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_115 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_80_1161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -101245,7 +101332,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_80_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101265,51 +101356,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_80_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_80_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_201 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101317,19 +101404,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101337,19 +101424,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_80_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_296 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101357,83 +101440,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_80_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_80_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_44 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_80_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_80_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_80_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101441,23 +101536,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_80_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101465,6 +101560,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_80_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_8 FILLER_80_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -101473,59 +101572,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_80_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_80_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_80_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_80_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_605 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_612 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_80_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101541,15 +101632,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101557,95 +101648,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_80_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_80_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_719 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_80_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_80_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_752 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_77 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_777 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_785 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_795 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101653,47 +101724,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_80_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_80_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_852 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_858 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_906 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_890 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_910 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_898 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_80_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101701,27 +101776,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_929 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_940 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_80_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_950 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_958 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_80_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_970 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_951 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_80_954 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_80_966 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_80_972 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101729,75 +101816,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_985 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_80_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_997 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_1000 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_1011 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_1011 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_1023 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_1033 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_1045 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_1043 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_1055 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_1047 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_1063 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_1054 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_1065 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_81_1062 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_1077 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_81_1065 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_1085 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_1077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_1090 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_81_1089 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_1102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_1095 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_111 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_81_1110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_1107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101805,27 +101888,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_1127 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_1121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_1135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_1127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_1138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_1139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_1151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_1162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_1163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_1167 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_81_117 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_81_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101833,15 +101924,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_142 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_81_152 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_81_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101849,71 +101948,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_81_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101921,19 +102020,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_316 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101941,55 +102036,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_425 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101997,7 +102088,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102005,27 +102096,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_81_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_508 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_81_514 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_81_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102033,39 +102132,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_588 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_81_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102085,31 +102188,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_81_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_648 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102129,123 +102228,143 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_702 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_748 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_754 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_772 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_81_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_831 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_81_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_846 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_854 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_81_862 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_866 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_880 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_868 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_81_912 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_875 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_917 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_883 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_81_895 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_81_897 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_81_90 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_81_908 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_81_916 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102253,43 +102372,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_931 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_930 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_940 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_943 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_960 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_969 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_974 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_980 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102301,39 +102412,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_103 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_82_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_1039 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_82_1051 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_82_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_82_1059 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_1054 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_1063 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_1061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_1067 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_1074 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_1077 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_82_1089 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_1086 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102341,47 +102444,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_1105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_82_1117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_1115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_1123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_1124 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_1129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_1128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_82_1132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_82_1145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_1140 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_1143 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_1147 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_1149 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_1161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_1155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102389,43 +102476,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_82_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_82_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_82_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_176 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_82_188 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_82_197 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_82_208 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_82_214 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_82_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102433,27 +102540,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_82_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_82_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_253 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102461,99 +102564,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_82_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_82_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_405 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_414 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102561,11 +102656,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102577,23 +102672,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102601,15 +102696,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_82_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_82_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_82_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102617,7 +102712,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_562 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_82_568 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_82_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102625,67 +102728,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_82_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_68 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_82_686 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_82_690 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_82_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102709,15 +102824,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_82_746 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_82_754 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102725,123 +102840,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_82_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_82_770 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_82_801 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_817 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_824 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_844 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_856 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_874 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_880 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_893 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_904 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_905 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_914 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_911 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_932 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_82_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_975 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_961 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_82_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_976 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_985 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_987 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102881,23 +102992,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_1074 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_1067 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_1088 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_1079 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_1102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_1093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_83_1114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_1107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_1129 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_1119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_83_1121 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_83_1131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102905,7 +103024,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_83_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102917,79 +103036,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_13 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_83_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_83_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_83_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_83_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_83_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_83_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_83_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_83_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_83_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_242 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102997,23 +103112,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_83_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_83_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_83_323 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_83_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103021,15 +103140,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_83_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_366 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_83_37 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_83_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103037,35 +103164,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_83_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_83_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_421 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_83_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103073,51 +103196,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_83_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_83_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_83_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_83_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_83_517 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103125,15 +103244,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_83_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_550 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_83_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103145,51 +103268,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_590 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_83_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_83_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_83_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_83_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_83_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_628 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_635 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_83_641 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_647 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_83_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103197,71 +103304,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_83_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_83_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_83_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_734 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_748 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_83_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_83_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103273,27 +103380,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_856 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_862 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_83_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_83_870 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_881 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103301,19 +103404,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_83_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_907 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_907 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_931 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_83_919 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_83_943 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_929 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_83_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103321,43 +103428,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_974 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_955 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_83_967 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_980 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_988 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_83_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_999 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_83_997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_1005 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_1011 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_1011 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_1023 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_1025 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_84_1032 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103369,23 +103480,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_1060 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_1067 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_1060 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_1073 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_1072 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_108 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_1085 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_84_1084 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103397,19 +103504,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_1107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_1106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_1114 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_1110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_1128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_84_1114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_84_1140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_112 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_84_1130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103429,15 +103540,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_13 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103445,71 +103556,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_84_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_84_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_84_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_84_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_84_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_84_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_84_238 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_242 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_248 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_253 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103517,67 +103612,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_84_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_84_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_84_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_84_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_84_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_84_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_371 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_84_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_84_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103589,7 +103692,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103601,63 +103704,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_84_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_84_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_84_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_84_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_84_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_84_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_531 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_84_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_84_546 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_84_550 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_84_554 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_84_565 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_84_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103665,23 +103792,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_84_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_84_624 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_84_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103689,67 +103820,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_84_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_84_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_84_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_84_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_734 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_84_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_84_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103757,19 +103888,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_84_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_782 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_84_789 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_84_795 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_84_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103781,95 +103920,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_817 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_855 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_863 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_901 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_884 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_84_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_84_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_84_918 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_9 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_949 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_912 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_967 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_84_983 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_989 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_992 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_968 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_85_1006 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_983 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_85_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_995 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_1013 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_998 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_85_1009 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_85_1014 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_85_103 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_85_1038 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_85_1044 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103881,15 +104036,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_1067 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_1070 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_1079 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_1076 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103901,6 +104052,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_85_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_8 FILLER_85_1111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -103909,23 +104064,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_1121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_1124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_1130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_1131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_1137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_85_1138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_1143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103933,47 +104088,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_13 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_85_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_85_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_178 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_19 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_199 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_85_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103981,27 +104124,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_85_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_85_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_254 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_260 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104013,59 +104148,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_281 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_85_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_85_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_85_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_85_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_85_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_85_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_85_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_85_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_37 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_85_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104077,27 +104212,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_85_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_85_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104105,31 +104240,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_85_46 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_8 FILLER_85_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_85_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_85_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_85_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_85_519 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_85_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_85_531 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_85_537 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_85_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104137,91 +104292,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_590 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_85_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_85_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_85_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_85_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_85_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_687 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_85_711 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_85_715 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_85_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104229,99 +104396,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_85_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_85_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_753 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_85_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_85_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_85_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_85_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_85_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_814 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_863 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_869 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_881 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_887 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_85_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104333,111 +104488,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_906 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_85_914 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_85_931 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_85_939 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_85_949 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_85_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_959 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_85_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_964 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_962 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_85_970 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_970 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_975 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_974 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_998 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_1010 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_85_999 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_1022 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_1015 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_86_1034 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_1027 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_86_1045 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_1055 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_1056 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_86_1063 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_1064 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_1069 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_1075 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_1077 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_1087 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_1085 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_1088 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_86_1093 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104445,6 +104596,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_86_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_86_1112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -104457,11 +104612,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_1133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_86_1145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_1139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_86_1147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104477,11 +104636,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_123 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_86_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104489,7 +104652,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_86_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104497,11 +104660,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_86_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104509,15 +104672,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_86_213 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_86_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104525,31 +104696,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_86_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_86_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_257 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_260 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104557,15 +104720,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_86_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104573,35 +104740,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_86_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_86_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_86_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_348 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_35 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104609,19 +104768,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_86_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_86_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104629,79 +104788,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_86_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_86_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_86_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_86_513 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_521 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_86_529 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_536 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_550 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_86_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104717,27 +104856,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_86_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_613 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_86_619 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_86_625 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_86_631 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_86_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104745,35 +104900,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_86_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_86_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_679 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_682 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_688 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104781,71 +104924,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_86_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_730 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_736 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_746 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_86_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_86_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_786 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_792 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104853,11 +104992,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_86_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_817 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_86_823 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_86_83 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_86_847 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_86_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_86_853 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_86_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104865,59 +105028,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_86_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_86_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_880 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_86_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_904 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_916 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_914 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_86_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_943 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_947 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_960 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_964 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_967 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104925,15 +105072,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_86_986 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_983 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_87_1006 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_1003 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_87_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104941,10 +105092,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_101 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_87_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -104957,11 +105104,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_87_1053 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_87_1053 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_1060 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_1059 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_87_1063 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104969,75 +105120,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_1082 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_1082 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_1094 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_1088 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_1102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_1099 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_1111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_87_1114 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_1119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_1121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_1121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_1125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_1132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_1135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_1159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_1156 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_1167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_130 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_136 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_87_142 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_148 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105053,71 +105188,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_87_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_87_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_289 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_295 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_87_307 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105125,31 +105248,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_87_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_353 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_87_365 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105157,7 +105272,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_87_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105165,19 +105284,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_413 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_87_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105185,43 +105308,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_87_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_87_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_87_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_87_516 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_87_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105237,99 +105364,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_55 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_3 FILLER_87_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_87_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_644 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_87_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_688 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_694 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_702 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_87_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105337,19 +105448,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_87_750 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_76 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_87_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105361,31 +105464,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_87_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_87_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_803 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_810 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_818 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_82 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105397,51 +105492,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_87_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_852 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_87_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_866 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_874 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_875 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_886 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_87_893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_87_894 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_87_919 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_87_917 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_929 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105453,31 +105544,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_87_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_87_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_982 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_959 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_992 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_971 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_998 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_975 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_1001 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_978 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_88_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_984 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_1013 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_997 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_88_10 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_88_1000 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_88_1012 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105485,6 +105588,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_88_103 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_88_1032 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -105493,27 +105600,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_88_1049 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_1058 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_1061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_1073 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_1070 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_88_1085 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_1082 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_88_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_88_1090 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105525,19 +105632,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_1127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_88_1127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_1139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_1133 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_1136 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_1147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105553,39 +105656,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_13 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_88_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_17 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_88_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_176 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_88_189 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_88_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105601,23 +105712,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_88_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_88_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_88_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105625,59 +105736,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_88_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_88_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_88_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_88_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_88_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_88_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_88_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_360 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_88_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_88_371 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_88_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105685,27 +105808,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_88_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_88_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_431 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_88_443 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_88_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105713,19 +105844,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_88_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_88_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_467 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105737,23 +105864,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_88_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_88_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105765,47 +105892,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_88_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_88_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_88_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_88_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_88_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_88_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_614 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_88_62 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_88_626 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_88_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105813,43 +105952,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_88_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_88_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_88_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_88_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_706 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_88_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_88_725 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_88_729 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_88_737 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_88_740 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_88_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105857,59 +106012,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_88_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_775 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_796 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_88_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_88_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_852 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105917,83 +106072,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_88_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_88_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_899 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_88_911 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_957 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_88_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_88_977 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_983 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_989 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_1000 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_88_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_1033 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_983 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_1045 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_994 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_1048 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_89_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_89_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_1012 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_1060 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_104 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_89_1040 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_89_1044 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_89_1047 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_89_1059 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_89_1063 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106001,27 +106176,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_1077 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_1077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_89_1089 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_1083 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_1101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_1086 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_1107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_1098 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_1119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_1110 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_89_1118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106029,39 +106208,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_89_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_1129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_1139 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_1151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_1140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_1163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_1152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_1167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_1164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_14 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106069,75 +106248,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_89_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_89_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_89_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_89_303 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_89_311 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_89_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106145,59 +106336,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_89_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_429 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_89_44 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_89_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106205,27 +106404,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_89_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_89_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_89_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106237,19 +106436,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_89_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_547 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_89_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106257,19 +106460,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_569 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_576 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106277,23 +106472,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_61 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_89_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_628 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_89_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106301,139 +106496,127 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_89_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_89_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_746 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_758 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_89_770 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_801 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_817 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_826 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_831 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_875 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_85 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_865 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_871 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_89_879 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106441,51 +106624,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_89_917 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_89_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_919 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_931 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_89_949 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_89_973 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_978 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_985 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_984 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_99 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_996 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106545,11 +106720,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_1141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_1144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_1141 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_8_1147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106565,31 +106744,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_183 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_8_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106597,59 +106780,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_8_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_8_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_309 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_8_313 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_8_316 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_8_324 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_8_329 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_8_341 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_8_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106661,23 +106868,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_8_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_8_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_8_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106685,27 +106892,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_47 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_8_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106713,27 +106916,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_8_49 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_8_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_8_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_527 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_53 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106745,27 +106944,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_8_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_8_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_581 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_8_586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106773,107 +106968,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_8_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_8_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_8_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_8_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_8_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_8_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_8_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_780 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_788 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_794 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106881,47 +107064,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_8_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_8_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_840 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_8_835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_8_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_863 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_8_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_883 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_8_882 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_907 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_890 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_8_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106937,19 +107124,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_8_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_8_941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_8_947 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_955 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106965,35 +107152,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_1002 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_1031 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_90_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_1032 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_1045 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_1051 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_90_1045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_1075 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_1050 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_90_1083 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_1074 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107001,27 +107188,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_1101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_1101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_90_1113 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_1107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_1121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_1110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_1133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_1134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_114 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_90_1145 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_90_1146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107037,11 +107220,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_90_117 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_90_123 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_90_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_90_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_90_14 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107049,39 +107248,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_90_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_90_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_197 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_90_201 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_90_225 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_90_231 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_90_24 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_90_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107097,55 +107316,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_90_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_90_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_90_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_345 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_90_351 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_90_357 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_90_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_90_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107153,27 +107388,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_90_394 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107181,27 +107412,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_446 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_450 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107221,27 +107444,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_535 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_90_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107249,59 +107476,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_90_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_560 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_90_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_90_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_632 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_90_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_90_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107309,23 +107532,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_65 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_90_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_90_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_90_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_674 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_675 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_90_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107333,39 +107556,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_90_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_90_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_746 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_90_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107373,19 +107592,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_766 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_90_776 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_90_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107393,95 +107620,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_8 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_90_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_804 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_840 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_846 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_854 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_852 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_90_865 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_90_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_874 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_871 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_898 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_90_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_894 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_912 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_940 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_966 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_937 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_954 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_966 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107489,39 +107704,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_1011 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_994 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_1017 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_1004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_1044 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_1012 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_1050 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_1024 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_1059 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_1036 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_1063 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_1046 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_1085 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_91_1058 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_91_1065 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_91_1077 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_91_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107533,23 +107760,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_111 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_91_1116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_1141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_1121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_1133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_1153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_1145 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_91_1157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107557,63 +107784,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_91_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_198 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_204 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_210 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107621,103 +107836,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_91_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_91_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_91_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_91_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_91_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107725,59 +107940,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_91_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_91_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_91_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_483 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_91_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107789,31 +108008,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_530 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_536 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_91_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107825,7 +108036,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_91_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107833,35 +108044,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_91_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_609 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107869,15 +108076,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_644 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107885,27 +108092,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_91_670 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_675 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_683 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_687 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107913,59 +108104,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_700 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_706 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_753 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_775 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_795 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_800 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107973,15 +108156,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_822 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107989,23 +108168,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_879 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_887 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108013,87 +108188,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_890 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_91_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_903 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_921 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_91_915 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_919 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_943 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_973 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_91_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_91_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_993 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_91_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_999 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_1006 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_1012 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_1032 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_1024 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_1045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_1048 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_1049 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_1072 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_92_1061 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_1065 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_1077 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_92_1089 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_1084 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108137,11 +108300,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_118 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108157,59 +108316,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_92_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_92_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_223 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108217,27 +108372,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_92_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_92_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_263 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_92_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108245,11 +108404,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_92_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_92_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_283 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_92_289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_92_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108257,111 +108424,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_92_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_92_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_92_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_92_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_92_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_511 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_92_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108373,23 +108544,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_92_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108401,31 +108572,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_92_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_92_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108433,195 +108604,183 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_92_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_92_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_747 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_92_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_796 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_92_786 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_92_817 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_842 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_847 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_859 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_92_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_858 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_92_866 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_882 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_888 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_92_893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_92_902 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_908 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_911 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_92_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_92_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_92_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_931 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_943 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_955 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_943 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_967 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_966 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_92_978 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_988 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_92_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_986 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_990 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_993 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_93_1006 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108629,47 +108788,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_1017 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_1044 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_1041 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_1056 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_1053 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_1065 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_93_1061 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_1077 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_93_1065 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_1089 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_1070 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_1101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_1080 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_1113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_1104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_1119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_1116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108697,23 +108856,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_12 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_93_14 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_93_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108721,35 +108880,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_18 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_20 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_213 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_93_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108757,27 +108920,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_93_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_238 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_262 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108785,43 +108940,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_93_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_93_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_93_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_93_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_93_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108829,55 +108984,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_422 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_93_433 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_93_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108885,31 +109048,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_93_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_93_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108921,35 +109088,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_93_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_93_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_55 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108965,47 +109128,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_93_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_93_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_93_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109013,95 +109176,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_93_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_706 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_706 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_93_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_748 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_754 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_93_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_775 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_93_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_796 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_812 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_81 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_814 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_826 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109109,31 +109260,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_93_843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_848 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_859 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_870 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_885 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_889 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_882 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109141,83 +109284,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_904 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_907 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_916 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_916 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_922 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_928 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_946 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_93_940 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_962 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_960 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_974 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_972 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_93_986 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_986 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_998 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_1018 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_94_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_1025 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_1010 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_94_1033 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_1016 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_94_1028 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_1049 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_1032 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_1061 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_1060 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_1070 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_1082 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_94_1090 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_1084 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109241,10 +109376,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_114 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_94_1141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -109265,11 +109396,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109277,11 +109408,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_151 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_94_157 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_94_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_94_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109289,11 +109432,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_191 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109301,15 +109440,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_201 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_207 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109317,11 +109448,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109329,23 +109460,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_94_286 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_94_292 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_94_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109357,23 +109496,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_94_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_347 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_94_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109381,135 +109516,147 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_94_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_94_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_94_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_94_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_94_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_94_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_94_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_94_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_94_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_654 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_94_666 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_94_678 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_94_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109521,19 +109668,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_94_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109541,23 +109688,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_94_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_94_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109565,75 +109712,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_801 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_846 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_852 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_858 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_847 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_94_866 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_884 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_94_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_916 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_94_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_906 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_946 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_912 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_94_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109641,47 +109792,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_94_95 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_94_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_985 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_995 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_1004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_1036 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_1033 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_1048 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_1037 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_1040 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_95_1052 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109689,15 +109836,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_1067 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_1085 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_1079 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_1097 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109705,11 +109852,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_95_1109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_1103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_95_1117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_1115 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_95_1119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109749,31 +109900,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_95_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_160 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_164 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_169 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_173 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109781,27 +109916,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_197 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_203 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_209 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_95_215 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109813,15 +109932,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_95_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_95_258 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_95_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109829,19 +109944,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_95_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_279 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_95_281 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109853,27 +109960,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_95_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_95_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_95_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_332 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_95_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109881,7 +109984,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_95_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109889,51 +109992,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_95_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_95_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_95_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_95_444 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_95_452 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_95_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109941,15 +110056,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_95_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_95_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_499 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_95_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109961,11 +110080,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_95_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_534 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_95_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_95_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109981,59 +110108,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_95_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_95_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_95_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_95_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_95_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_95_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_95_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_95_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_95_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_95_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_95_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_65 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_95_652 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_95_658 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_95_661 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_95_669 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_95_673 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_95_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110041,67 +110192,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_772 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_95_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_95_817 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_823 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_95_831 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110109,27 +110256,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_95_862 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_856 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_870 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_868 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_880 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_95_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_95_894 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110141,31 +110288,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_905 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_940 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_919 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_925 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_937 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_94 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_95_949 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_946 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110173,59 +110304,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_977 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_95_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_989 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_95_999 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_1003 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_1015 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_1013 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_1027 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_96_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_96_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_1040 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_1063 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_1048 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_1069 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_1051 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_1081 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_96_1089 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_1084 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110233,6 +110364,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_96_11 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_96_1105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -110257,7 +110392,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110269,19 +110404,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_12 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_128 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110289,79 +110420,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_96_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_96_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_96_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_96_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110369,91 +110500,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_96_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_96_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_96_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_96_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_96_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_96_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_475 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_96_480 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_96_486 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_96_512 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_96_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110465,55 +110612,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_627 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110521,103 +110664,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_96_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_719 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_743 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_96_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_796 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_96_810 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_96_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_96_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_826 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_824 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_848 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_96_860 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110625,39 +110760,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_890 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_904 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_908 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_910 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_911 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_96_917 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_923 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_925 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110665,7 +110784,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110673,23 +110792,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_96_974 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_967 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_983 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_96_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_997 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_985 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_97_1006 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_989 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_96_997 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_97_1000 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110701,15 +110828,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_1021 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_1027 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_1030 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_1023 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110717,7 +110836,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_1043 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_1042 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110729,7 +110848,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_1065 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_1065 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_97_1077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110741,10 +110864,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_11 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_97_1101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -110769,10 +110888,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_115 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_8 FILLER_97_1157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -110781,31 +110896,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_12 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_17 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110813,27 +110928,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_97_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_97_212 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_97_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110841,83 +110964,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_97_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_97_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_97_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_97_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_97_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_97_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_97_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_381 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_97_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110925,91 +111052,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_97_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_97_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_97_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_52 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_528 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_534 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_540 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111021,39 +111132,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_97_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_617 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_97_625 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_636 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_642 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111061,35 +111156,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_97_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_97_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_97_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_682 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_696 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_702 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111101,19 +111188,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_97_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_747 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_97_757 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_97_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111121,51 +111212,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_97_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_97_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_864 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_97_879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111173,23 +111268,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_97_893 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_97_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_903 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_935 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_906 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_97_912 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_97_924 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_97_928 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_97_932 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_97_944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111197,59 +111312,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_986 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_988 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_998 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_1002 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_1014 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_1010 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_98_1026 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_1016 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_98_1034 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_1029 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_1039 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_1046 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_1051 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_1052 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_1058 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_1058 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_1070 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_106 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_1066 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_1078 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_98_1082 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111273,10 +111380,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_114 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_98_1141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -111297,23 +111400,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_98_117 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_98_12 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_98_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_98_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_145 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_98_153 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_98_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111325,35 +111440,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_98_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_233 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_98_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111361,10 +111472,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_242 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_98_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -111373,19 +111480,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111393,7 +111500,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111401,23 +111508,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_336 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_98_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_337 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_98_345 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_98_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111425,19 +111540,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_98_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_395 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_98_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111449,31 +111568,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111481,43 +111600,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_552 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_98_573 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_98_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111525,23 +111652,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_98_616 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_606 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_98_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111549,75 +111672,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_674 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_98_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_98_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_98_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_98_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_98_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_98_772 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_801 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_778 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_98_790 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_98_794 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_98_797 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_98_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111625,63 +111764,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_98_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_98_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_817 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_98_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_826 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_846 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_852 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_847 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_862 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_98_865 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_883 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_98_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_98_880 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_98_915 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_899 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111689,83 +111824,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_98_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_962 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_955 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_967 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_98_977 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_98_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_986 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_995 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_99_1005 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_1004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_99_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_1011 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_1014 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_1019 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_1046 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_1041 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_1054 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_99_1049 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_1058 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_1053 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_1067 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_1060 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_1079 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_1065 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_1089 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_1091 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_1101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_1103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_99_1113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_1115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111793,27 +111928,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_152 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_99_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111821,99 +111960,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_99_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_99_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_99_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_99_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_99_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_99_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_99_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_349 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_99_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111921,39 +112064,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_99_435 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_99_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111961,19 +112100,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_99_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_99_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111981,19 +112120,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_514 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_99_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_99_526 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_99_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112001,7 +112152,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_561 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_99_569 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_99_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112009,91 +112168,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_99_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_99_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_99_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_99_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_99_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_692 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_695 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_70 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_708 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112101,55 +112244,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_99_753 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_756 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_99_775 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_803 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_99_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_99_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112161,91 +112304,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_882 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_99_879 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_883 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_903 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_932 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_99_950 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_907 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_986 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_931 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_994 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_943 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_951 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_953 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_963 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_969 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_99_977 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_981 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_993 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_997 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_1002 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112253,11 +112360,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_9_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112281,6 +112388,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_9_107 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_9_1077 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -112333,23 +112444,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_13 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_164 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112365,11 +112472,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_211 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_9_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112377,19 +112480,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_23 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_9_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_246 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_9_256 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_9_264 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_9_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112397,39 +112508,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_9_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_9_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_9_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_9_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_9_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_9_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_340 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_9_348 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_9_354 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_9_36 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_9_362 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_9_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112449,47 +112580,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_9_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_9_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_9_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_9_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_497 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_9_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112501,6 +112628,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_9_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_9_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -112513,19 +112644,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_9_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_586 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_9_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112533,19 +112668,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_9_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_9_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_615 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112553,39 +112684,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_644 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_702 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_706 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_9_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112593,31 +112728,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_9_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_9_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_9_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_770 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_9_776 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_9_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112625,23 +112756,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_796 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_796 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_833 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_9_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112649,51 +112784,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_847 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_9_859 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_873 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_9_866 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_876 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_9_887 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_921 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_9_926 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_938 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_933 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_9_94 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_9_941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112701,23 +112844,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_958 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_958 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_966 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_9_970 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_978 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_990 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_999 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120600,7 +120743,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0983_));
- sky130_fd_sc_hd__or2b_1 _4206_ (.A(_0983_),
+ sky130_fd_sc_hd__or2b_2 _4206_ (.A(_0983_),
     .B_N(\u_gpio_intr.cfg_gpio_dir_sel[24] ),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -120743,7 +120886,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net165));
- sky130_fd_sc_hd__and2_1 _4228_ (.A(\u_gpio_intr.cfg_gpio_dir_sel[24] ),
+ sky130_fd_sc_hd__and2_2 _4228_ (.A(\u_gpio_intr.cfg_gpio_dir_sel[24] ),
     .B(\u_gpio_intr.cfg_gpio_out_data[24] ),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -120775,7 +120918,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0997_));
- sky130_fd_sc_hd__clkbuf_4 _4233_ (.A(_0997_),
+ sky130_fd_sc_hd__buf_4 _4233_ (.A(_0997_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -121028,7 +121171,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_1030_));
- sky130_fd_sc_hd__clkbuf_2 _4271_ (.A(net64),
+ sky130_fd_sc_hd__buf_2 _4271_ (.A(net64),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -121040,7 +121183,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1032_));
- sky130_fd_sc_hd__clkbuf_4 _4273_ (.A(_1016_),
+ sky130_fd_sc_hd__buf_2 _4273_ (.A(_1016_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -121272,7 +121415,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_1060_));
- sky130_fd_sc_hd__clkbuf_2 _4305_ (.A(net68),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4305_ (.A(net68),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -121634,7 +121777,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1104_));
- sky130_fd_sc_hd__clkbuf_4 _4355_ (.A(net49),
+ sky130_fd_sc_hd__buf_4 _4355_ (.A(net49),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -121686,7 +121829,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0019_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4362_ (.A(net50),
+ sky130_fd_sc_hd__clkbuf_1 _4362_ (.A(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -121698,7 +121841,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1112_));
- sky130_fd_sc_hd__clkbuf_2 _4364_ (.A(net50),
+ sky130_fd_sc_hd__buf_2 _4364_ (.A(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -121820,7 +121963,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1127_));
- sky130_fd_sc_hd__clkbuf_2 _4381_ (.A(net52),
+ sky130_fd_sc_hd__buf_2 _4381_ (.A(net52),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -121878,7 +122021,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1134_));
- sky130_fd_sc_hd__buf_2 _4389_ (.A(net53),
+ sky130_fd_sc_hd__clkbuf_4 _4389_ (.A(net53),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -122182,7 +122325,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1171_));
- sky130_fd_sc_hd__buf_2 _4431_ (.A(_1143_),
+ sky130_fd_sc_hd__clkbuf_2 _4431_ (.A(_1143_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -122390,7 +122533,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_1196_));
- sky130_fd_sc_hd__clkbuf_16 _4459_ (.A(_1196_),
+ sky130_fd_sc_hd__buf_12 _4459_ (.A(_1196_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -123167,7 +123310,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1278_));
- sky130_fd_sc_hd__clkbuf_2 _4563_ (.A(\u_pwm_3.waveform ),
+ sky130_fd_sc_hd__buf_2 _4563_ (.A(\u_pwm_3.waveform ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -123674,7 +123817,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1335_));
- sky130_fd_sc_hd__clkbuf_1 _4637_ (.A(_1327_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4637_ (.A(_1327_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -123729,7 +123872,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0036_));
- sky130_fd_sc_hd__buf_2 _4645_ (.A(net75),
+ sky130_fd_sc_hd__clkbuf_2 _4645_ (.A(net75),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -123810,7 +123953,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0039_));
- sky130_fd_sc_hd__clkbuf_2 _4657_ (.A(_1332_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4657_ (.A(_1332_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -123942,7 +124085,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_1367_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4677_ (.A(net62),
+ sky130_fd_sc_hd__clkbuf_2 _4677_ (.A(net62),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -124192,7 +124335,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0050_));
- sky130_fd_sc_hd__clkbuf_2 _4715_ (.A(_1187_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4715_ (.A(_1187_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -124244,7 +124387,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1404_));
- sky130_fd_sc_hd__clkbuf_2 _4723_ (.A(_1404_),
+ sky130_fd_sc_hd__buf_2 _4723_ (.A(_1404_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -124421,7 +124564,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0057_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4750_ (.A(_1179_),
+ sky130_fd_sc_hd__clkbuf_2 _4750_ (.A(_1179_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -124461,7 +124604,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0059_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4756_ (.A(_1368_),
+ sky130_fd_sc_hd__clkbuf_1 _4756_ (.A(_1368_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -124481,13 +124624,13 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0060_));
- sky130_fd_sc_hd__clkbuf_4 _4759_ (.A(net77),
+ sky130_fd_sc_hd__buf_4 _4759_ (.A(net77),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1433_));
- sky130_fd_sc_hd__buf_2 _4760_ (.A(_1433_),
+ sky130_fd_sc_hd__clkbuf_2 _4760_ (.A(_1433_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -124693,7 +124836,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1456_));
- sky130_fd_sc_hd__clkbuf_2 _4791_ (.A(_1456_),
+ sky130_fd_sc_hd__buf_2 _4791_ (.A(_1456_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -124844,7 +124987,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0076_));
- sky130_fd_sc_hd__buf_2 _4813_ (.A(_1018_),
+ sky130_fd_sc_hd__clkbuf_2 _4813_ (.A(_1018_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -125034,7 +125177,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1490_));
- sky130_fd_sc_hd__and3_1 _4841_ (.A(_1435_),
+ sky130_fd_sc_hd__and3_2 _4841_ (.A(_1435_),
     .B(_1405_),
     .C(_1414_),
     .VGND(vssd1),
@@ -125148,7 +125291,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0089_));
- sky130_fd_sc_hd__clkbuf_4 _4858_ (.A(_1120_),
+ sky130_fd_sc_hd__buf_2 _4858_ (.A(_1120_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -125188,7 +125331,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0091_));
- sky130_fd_sc_hd__clkbuf_2 _4864_ (.A(_1134_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4864_ (.A(_1134_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -125365,7 +125508,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1523_));
- sky130_fd_sc_hd__clkbuf_2 _4890_ (.A(_1523_),
+ sky130_fd_sc_hd__buf_2 _4890_ (.A(_1523_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -125646,7 +125789,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1548_));
- sky130_fd_sc_hd__clkbuf_8 _4931_ (.A(_1548_),
+ sky130_fd_sc_hd__buf_6 _4931_ (.A(_1548_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -125786,7 +125929,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0122_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4952_ (.A(_1070_),
+ sky130_fd_sc_hd__clkbuf_2 _4952_ (.A(_1070_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -125806,7 +125949,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0123_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4955_ (.A(_1077_),
+ sky130_fd_sc_hd__clkbuf_2 _4955_ (.A(_1077_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -125865,7 +126008,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1573_));
- sky130_fd_sc_hd__and3_4 _4964_ (.A(_1569_),
+ sky130_fd_sc_hd__and3_2 _4964_ (.A(_1569_),
     .B(_1521_),
     .C(_1573_),
     .VGND(vssd1),
@@ -126154,7 +126297,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1599_));
- sky130_fd_sc_hd__and3_2 _5006_ (.A(_1373_),
+ sky130_fd_sc_hd__and3_4 _5006_ (.A(_1373_),
     .B(_1599_),
     .C(_1573_),
     .VGND(vssd1),
@@ -126162,7 +126305,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1600_));
- sky130_fd_sc_hd__buf_2 _5007_ (.A(_1600_),
+ sky130_fd_sc_hd__clkbuf_2 _5007_ (.A(_1600_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -126368,7 +126511,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0152_));
- sky130_fd_sc_hd__buf_2 _5037_ (.A(_1613_),
+ sky130_fd_sc_hd__clkbuf_4 _5037_ (.A(_1613_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -126582,7 +126725,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1637_));
- sky130_fd_sc_hd__clkbuf_2 _5068_ (.A(_1637_),
+ sky130_fd_sc_hd__buf_2 _5068_ (.A(_1637_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -127125,7 +127268,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0192_));
- sky130_fd_sc_hd__clkbuf_4 _5148_ (.A(_1684_),
+ sky130_fd_sc_hd__buf_2 _5148_ (.A(_1684_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -127849,7 +127992,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0228_));
- sky130_fd_sc_hd__clkbuf_4 _5255_ (.A(_1004_),
+ sky130_fd_sc_hd__buf_4 _5255_ (.A(_1004_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -127974,7 +128117,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0232_));
- sky130_fd_sc_hd__buf_2 _5274_ (.A(_1770_),
+ sky130_fd_sc_hd__clkbuf_2 _5274_ (.A(_1770_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -128432,7 +128575,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0260_));
- sky130_fd_sc_hd__clkbuf_1 _5340_ (.A(_1611_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5340_ (.A(_1611_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -128514,7 +128657,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0264_));
- sky130_fd_sc_hd__buf_2 _5352_ (.A(_1816_),
+ sky130_fd_sc_hd__clkbuf_2 _5352_ (.A(_1816_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -128582,7 +128725,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1827_));
- sky130_fd_sc_hd__clkbuf_4 _5362_ (.A(_1827_),
+ sky130_fd_sc_hd__buf_4 _5362_ (.A(_1827_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -128866,7 +129009,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1852_));
- sky130_fd_sc_hd__clkbuf_4 _5403_ (.A(_1852_),
+ sky130_fd_sc_hd__buf_2 _5403_ (.A(_1852_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -129155,7 +129298,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1878_));
- sky130_fd_sc_hd__buf_6 _5445_ (.A(_1878_),
+ sky130_fd_sc_hd__clkbuf_8 _5445_ (.A(_1878_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -129603,7 +129746,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0323_));
- sky130_fd_sc_hd__buf_2 _5511_ (.A(_1075_),
+ sky130_fd_sc_hd__clkbuf_4 _5511_ (.A(_1075_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -129623,7 +129766,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0324_));
- sky130_fd_sc_hd__clkbuf_2 _5514_ (.A(_1004_),
+ sky130_fd_sc_hd__buf_2 _5514_ (.A(_1004_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -129635,7 +129778,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1925_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5516_ (.A(_1410_),
+ sky130_fd_sc_hd__clkbuf_2 _5516_ (.A(_1410_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -129793,7 +129936,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0332_));
- sky130_fd_sc_hd__clkbuf_2 _5539_ (.A(_1433_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5539_ (.A(_1433_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -129992,7 +130135,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0340_));
- sky130_fd_sc_hd__clkbuf_2 _5569_ (.A(_1764_),
+ sky130_fd_sc_hd__buf_2 _5569_ (.A(_1764_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -130136,7 +130279,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0348_));
- sky130_fd_sc_hd__clkbuf_2 _5590_ (.A(_1371_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5590_ (.A(_1371_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -130586,7 +130729,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2017_));
- sky130_fd_sc_hd__clkbuf_2 _5656_ (.A(_2017_),
+ sky130_fd_sc_hd__buf_2 _5656_ (.A(_2017_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -130757,7 +130900,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2034_));
- sky130_fd_sc_hd__clkbuf_4 _5681_ (.A(_2034_),
+ sky130_fd_sc_hd__buf_4 _5681_ (.A(_2034_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -130914,7 +131057,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2049_));
- sky130_fd_sc_hd__buf_4 _5704_ (.A(_2049_),
+ sky130_fd_sc_hd__clkbuf_4 _5704_ (.A(_2049_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -130954,7 +131097,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0389_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5710_ (.A(_1385_),
+ sky130_fd_sc_hd__clkbuf_1 _5710_ (.A(_1385_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -130974,7 +131117,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0390_));
- sky130_fd_sc_hd__clkbuf_2 _5713_ (.A(_1388_),
+ sky130_fd_sc_hd__clkbuf_1 _5713_ (.A(_1388_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -130994,7 +131137,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0391_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5716_ (.A(_1391_),
+ sky130_fd_sc_hd__clkbuf_2 _5716_ (.A(_1391_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -131238,7 +131381,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0404_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5752_ (.A(_2017_),
+ sky130_fd_sc_hd__clkbuf_2 _5752_ (.A(_2017_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -131444,7 +131587,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0415_));
- sky130_fd_sc_hd__buf_6 _5782_ (.A(_1047_),
+ sky130_fd_sc_hd__buf_8 _5782_ (.A(_1047_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -131713,7 +131856,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2127_));
- sky130_fd_sc_hd__buf_6 _5822_ (.A(_2127_),
+ sky130_fd_sc_hd__buf_4 _5822_ (.A(_2127_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -132254,7 +132397,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0456_));
- sky130_fd_sc_hd__clkbuf_2 _5901_ (.A(_1113_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5901_ (.A(_1113_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -132300,7 +132443,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0458_));
- sky130_fd_sc_hd__clkbuf_2 _5908_ (.A(_1128_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5908_ (.A(_1128_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -132320,7 +132463,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0459_));
- sky130_fd_sc_hd__clkbuf_2 _5911_ (.A(_1135_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5911_ (.A(_1135_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -132410,7 +132553,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0464_));
- sky130_fd_sc_hd__clkbuf_2 _5924_ (.A(_2188_),
+ sky130_fd_sc_hd__buf_2 _5924_ (.A(_2188_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -132548,7 +132691,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0472_));
- sky130_fd_sc_hd__clkbuf_2 _5944_ (.A(_2200_),
+ sky130_fd_sc_hd__buf_2 _5944_ (.A(_2200_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -132610,7 +132753,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0476_));
- sky130_fd_sc_hd__and3_4 _5953_ (.A(_1200_),
+ sky130_fd_sc_hd__and3_2 _5953_ (.A(_1200_),
     .B(_1377_),
     .C(_1875_),
     .VGND(vssd1),
@@ -132618,7 +132761,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2211_));
- sky130_fd_sc_hd__clkbuf_1 _5954_ (.A(_2211_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5954_ (.A(_2211_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -132908,7 +133051,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2237_));
- sky130_fd_sc_hd__clkbuf_2 _5996_ (.A(_2237_),
+ sky130_fd_sc_hd__buf_2 _5996_ (.A(_2237_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -133182,7 +133325,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0508_));
- sky130_fd_sc_hd__buf_2 _6036_ (.A(_1371_),
+ sky130_fd_sc_hd__clkbuf_2 _6036_ (.A(_1371_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -133196,7 +133339,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2263_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _6038_ (.A(_2263_),
+ sky130_fd_sc_hd__clkbuf_2 _6038_ (.A(_2263_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -133216,7 +133359,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0509_));
- sky130_fd_sc_hd__clkbuf_2 _6041_ (.A(_1385_),
+ sky130_fd_sc_hd__buf_2 _6041_ (.A(_1385_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -133256,7 +133399,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0511_));
- sky130_fd_sc_hd__buf_2 _6047_ (.A(_1391_),
+ sky130_fd_sc_hd__clkbuf_2 _6047_ (.A(_1391_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -133276,7 +133419,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0512_));
- sky130_fd_sc_hd__buf_2 _6050_ (.A(_1173_),
+ sky130_fd_sc_hd__clkbuf_2 _6050_ (.A(_1173_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -133322,7 +133465,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0514_));
- sky130_fd_sc_hd__clkbuf_4 _6057_ (.A(_1187_),
+ sky130_fd_sc_hd__buf_4 _6057_ (.A(_1187_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -133362,7 +133505,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0516_));
- sky130_fd_sc_hd__clkbuf_4 _6063_ (.A(_2212_),
+ sky130_fd_sc_hd__buf_4 _6063_ (.A(_2212_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -133482,7 +133625,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0521_));
- sky130_fd_sc_hd__clkbuf_4 _6081_ (.A(_1061_),
+ sky130_fd_sc_hd__buf_4 _6081_ (.A(_1061_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -133632,7 +133775,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0528_));
- sky130_fd_sc_hd__buf_2 _6103_ (.A(_2303_),
+ sky130_fd_sc_hd__clkbuf_2 _6103_ (.A(_2303_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -133714,7 +133857,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2316_));
- sky130_fd_sc_hd__buf_2 _6115_ (.A(_2316_),
+ sky130_fd_sc_hd__clkbuf_4 _6115_ (.A(_2316_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -133946,7 +134089,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0544_));
- sky130_fd_sc_hd__clkbuf_4 _6149_ (.A(_1113_),
+ sky130_fd_sc_hd__buf_2 _6149_ (.A(_1113_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -134032,7 +134175,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0548_));
- sky130_fd_sc_hd__clkbuf_8 _6162_ (.A(_1018_),
+ sky130_fd_sc_hd__buf_6 _6162_ (.A(_1018_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -134673,7 +134816,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0584_));
- sky130_fd_sc_hd__clkbuf_2 _6255_ (.A(_2399_),
+ sky130_fd_sc_hd__buf_2 _6255_ (.A(_2399_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -135823,7 +135966,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2522_));
- sky130_fd_sc_hd__clkbuf_1 _6415_ (.A(_2478_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _6415_ (.A(_2478_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -136578,7 +136721,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_0645_));
- sky130_fd_sc_hd__buf_4 _6514_ (.A(net47),
+ sky130_fd_sc_hd__clkbuf_8 _6514_ (.A(net47),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -136746,7 +136889,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0650_));
- sky130_fd_sc_hd__buf_6 _6540_ (.A(net74),
+ sky130_fd_sc_hd__clkbuf_8 _6540_ (.A(net74),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -136804,7 +136947,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2630_));
- sky130_fd_sc_hd__clkbuf_2 _6549_ (.A(_2630_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _6549_ (.A(_2630_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -136918,7 +137061,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0657_));
- sky130_fd_sc_hd__clkbuf_2 _6566_ (.A(_1353_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _6566_ (.A(_1353_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -137532,7 +137675,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0690_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _6656_ (.A(_1349_),
+ sky130_fd_sc_hd__clkbuf_2 _6656_ (.A(_1349_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -137578,7 +137721,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0692_));
- sky130_fd_sc_hd__clkbuf_2 _6663_ (.A(_1343_),
+ sky130_fd_sc_hd__buf_2 _6663_ (.A(_1343_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -137894,7 +138037,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0710_));
- sky130_fd_sc_hd__and3_2 _6709_ (.A(_2690_),
+ sky130_fd_sc_hd__and3_1 _6709_ (.A(_2690_),
     .B(_2709_),
     .C(_2070_),
     .VGND(vssd1),
@@ -138329,7 +138472,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0733_));
- sky130_fd_sc_hd__clkbuf_2 _6773_ (.A(_2630_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _6773_ (.A(_2630_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -138557,7 +138700,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0746_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _6806_ (.A(_2788_),
+ sky130_fd_sc_hd__clkbuf_2 _6806_ (.A(_2788_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -138619,7 +138762,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0750_));
- sky130_fd_sc_hd__clkbuf_2 _6815_ (.A(_2126_),
+ sky130_fd_sc_hd__buf_2 _6815_ (.A(_2126_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -138769,7 +138912,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2812_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _6837_ (.A(_2605_),
+ sky130_fd_sc_hd__clkbuf_1 _6837_ (.A(_2605_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -139648,7 +139791,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2897_));
- sky130_fd_sc_hd__buf_2 _6966_ (.A(_2897_),
+ sky130_fd_sc_hd__clkbuf_2 _6966_ (.A(_2897_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -139818,7 +139961,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2919_));
- sky130_fd_sc_hd__clkbuf_2 _6991_ (.A(_1379_),
+ sky130_fd_sc_hd__buf_2 _6991_ (.A(_1379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -139961,13 +140104,13 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2940_));
- sky130_fd_sc_hd__clkbuf_2 _7012_ (.A(_2384_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _7012_ (.A(_2384_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2941_));
- sky130_fd_sc_hd__clkbuf_8 _7013_ (.A(_2941_),
+ sky130_fd_sc_hd__buf_4 _7013_ (.A(_2941_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -139982,7 +140125,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2943_));
- sky130_fd_sc_hd__a221o_4 _7015_ (.A1(\u_pinmux_reg.cfg_pwm0_low[0] ),
+ sky130_fd_sc_hd__a221o_2 _7015_ (.A1(\u_pinmux_reg.cfg_pwm0_low[0] ),
     .A2(_2936_),
     .B1(_2939_),
     .B2(\u_pinmux_reg.cfg_pwm2_low[0] ),
@@ -140126,7 +140269,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2962_));
- sky130_fd_sc_hd__a22o_1 _7035_ (.A1(\u_gpio_intr.cfg_gpio_out_data[1] ),
+ sky130_fd_sc_hd__a22o_2 _7035_ (.A1(\u_gpio_intr.cfg_gpio_out_data[1] ),
     .A2(_1624_),
     .B1(_2962_),
     .B2(\u_pinmux_reg.cfg_glb_ctrl[1] ),
@@ -140299,13 +140442,13 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2986_));
- sky130_fd_sc_hd__buf_2 _7059_ (.A(_1412_),
+ sky130_fd_sc_hd__clkbuf_4 _7059_ (.A(_1412_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2987_));
- sky130_fd_sc_hd__buf_4 _7060_ (.A(_2987_),
+ sky130_fd_sc_hd__clkbuf_4 _7060_ (.A(_2987_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -140427,7 +140570,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3005_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _7078_ (.A(_3005_),
+ sky130_fd_sc_hd__clkbuf_1 _7078_ (.A(_3005_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -140566,7 +140709,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3023_));
- sky130_fd_sc_hd__clkbuf_4 _7097_ (.A(_2385_),
+ sky130_fd_sc_hd__buf_2 _7097_ (.A(_2385_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -140650,7 +140793,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3033_));
- sky130_fd_sc_hd__clkbuf_8 _7107_ (.A(_3033_),
+ sky130_fd_sc_hd__buf_4 _7107_ (.A(_3033_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -141042,7 +141185,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3083_));
- sky130_fd_sc_hd__clkbuf_8 _7159_ (.A(_2965_),
+ sky130_fd_sc_hd__buf_4 _7159_ (.A(_2965_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -141957,13 +142100,13 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3192_));
- sky130_fd_sc_hd__buf_4 _7272_ (.A(_2904_),
+ sky130_fd_sc_hd__clkbuf_8 _7272_ (.A(_2904_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3193_));
- sky130_fd_sc_hd__buf_2 _7273_ (.A(_1903_),
+ sky130_fd_sc_hd__clkbuf_4 _7273_ (.A(_1903_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -142093,7 +142236,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3210_));
- sky130_fd_sc_hd__or4_2 _7290_ (.A(_3196_),
+ sky130_fd_sc_hd__or4_1 _7290_ (.A(_3196_),
     .B(_3201_),
     .C(_3205_),
     .D(_3210_),
@@ -142307,13 +142450,13 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0815_));
- sky130_fd_sc_hd__clkbuf_2 _7315_ (.A(_1380_),
+ sky130_fd_sc_hd__buf_2 _7315_ (.A(_1380_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3234_));
- sky130_fd_sc_hd__clkbuf_2 _7316_ (.A(_2126_),
+ sky130_fd_sc_hd__buf_2 _7316_ (.A(_2126_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -142409,7 +142552,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3246_));
- sky130_fd_sc_hd__clkbuf_2 _7328_ (.A(_3071_),
+ sky130_fd_sc_hd__buf_2 _7328_ (.A(_3071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -142464,13 +142607,13 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3254_));
- sky130_fd_sc_hd__clkbuf_2 _7336_ (.A(_1656_),
+ sky130_fd_sc_hd__buf_2 _7336_ (.A(_1656_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3255_));
- sky130_fd_sc_hd__clkbuf_2 _7337_ (.A(_1878_),
+ sky130_fd_sc_hd__buf_2 _7337_ (.A(_1878_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -142968,7 +143111,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0818_));
- sky130_fd_sc_hd__buf_8 _7397_ (.A(_3183_),
+ sky130_fd_sc_hd__buf_6 _7397_ (.A(_3183_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -143407,7 +143550,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3361_));
- sky130_fd_sc_hd__or4_2 _7448_ (.A(_3357_),
+ sky130_fd_sc_hd__or4_4 _7448_ (.A(_3357_),
     .B(_3358_),
     .C(_3360_),
     .D(_3361_),
@@ -143459,7 +143602,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3367_));
- sky130_fd_sc_hd__buf_8 _7454_ (.A(_2965_),
+ sky130_fd_sc_hd__buf_6 _7454_ (.A(_2965_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -143674,7 +143817,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3391_));
- sky130_fd_sc_hd__buf_4 _7479_ (.A(_1768_),
+ sky130_fd_sc_hd__clkbuf_4 _7479_ (.A(_1768_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -144674,7 +144817,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3497_));
- sky130_fd_sc_hd__clkbuf_4 _7591_ (.A(_1904_),
+ sky130_fd_sc_hd__buf_4 _7591_ (.A(_1904_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -145397,7 +145540,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3571_));
- sky130_fd_sc_hd__a221o_2 _7670_ (.A1(\u_gpio_intr.cfg_gpio_out_data[27] ),
+ sky130_fd_sc_hd__a221o_1 _7670_ (.A1(\u_gpio_intr.cfg_gpio_out_data[27] ),
     .A2(_3013_),
     .B1(_2913_),
     .B2(\u_pinmux_reg.cfg_gpio_int_mask[27] ),
@@ -146240,7 +146383,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0844_));
- sky130_fd_sc_hd__nand2_2 _7764_ (.A(_2606_),
+ sky130_fd_sc_hd__nand2_1 _7764_ (.A(_2606_),
     .B(_1658_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -146572,7 +146715,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0864_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _7812_ (.A(_3676_),
+ sky130_fd_sc_hd__clkbuf_2 _7812_ (.A(_3676_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -147043,7 +147186,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0893_));
- sky130_fd_sc_hd__clkbuf_1 _7880_ (.A(\u_pwm_0.pwm_cnt[0] ),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _7880_ (.A(\u_pwm_0.pwm_cnt[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -147068,7 +147211,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_3724_));
- sky130_fd_sc_hd__or4_2 _7884_ (.A(_3724_),
+ sky130_fd_sc_hd__or4_4 _7884_ (.A(_3724_),
     .B(_2557_),
     .C(_2558_),
     .D(_2559_),
@@ -147164,7 +147307,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3735_));
- sky130_fd_sc_hd__clkbuf_2 _7897_ (.A(_3735_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _7897_ (.A(_3735_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -147195,7 +147338,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3740_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _7902_ (.A(_3740_),
+ sky130_fd_sc_hd__clkbuf_2 _7902_ (.A(_3740_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -147769,7 +147912,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3799_));
- sky130_fd_sc_hd__clkbuf_2 _7975_ (.A(_3799_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _7975_ (.A(_3799_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -147790,7 +147933,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3802_));
- sky130_fd_sc_hd__or4_1 _7978_ (.A(\u_pwm_1.pwm_cnt[4] ),
+ sky130_fd_sc_hd__or4_2 _7978_ (.A(\u_pwm_1.pwm_cnt[4] ),
     .B(\u_pwm_1.pwm_cnt[5] ),
     .C(\u_pwm_1.pwm_cnt[6] ),
     .D(\u_pwm_1.pwm_cnt[7] ),
@@ -148092,7 +148235,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3840_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _8020_ (.A(_3811_),
+ sky130_fd_sc_hd__clkbuf_2 _8020_ (.A(_3811_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -148130,7 +148273,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3844_));
- sky130_fd_sc_hd__clkbuf_2 _8025_ (.A(_3822_),
+ sky130_fd_sc_hd__buf_2 _8025_ (.A(_3822_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -148149,7 +148292,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_3847_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _8028_ (.A(_3818_),
+ sky130_fd_sc_hd__clkbuf_2 _8028_ (.A(_3818_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -148602,7 +148745,7 @@
  sky130_fd_sc_hd__a22o_1 _8084_ (.A1(\u_pinmux_reg.cfg_pwm1_high[15] ),
     .A2(_3810_),
     .B1(_3839_),
-    .B2(net514),
+    .B2(\u_pinmux_reg.cfg_pwm1_low[15] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -149481,7 +149624,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3990_));
- sky130_fd_sc_hd__clkbuf_2 _8200_ (.A(_3990_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _8200_ (.A(_3990_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -149643,7 +149786,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_4012_));
- sky130_fd_sc_hd__clkbuf_1 _8223_ (.A(_4012_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _8223_ (.A(_4012_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -150355,7 +150498,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0960_));
- sky130_fd_sc_hd__and2_2 _8315_ (.A(\u_pinmux_reg.cfg_multi_func_sel[4] ),
+ sky130_fd_sc_hd__and2_1 _8315_ (.A(\u_pinmux_reg.cfg_multi_func_sel[4] ),
     .B(_3894_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -150579,7 +150722,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_4118_));
- sky130_fd_sc_hd__clkbuf_2 _8347_ (.A(_4114_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _8347_ (.A(_4114_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -151241,23 +151384,23 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0978_));
- sky130_fd_sc_hd__dfrtp_1 _8432_ (.CLK(clknet_leaf_138_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8432_ (.CLK(clknet_leaf_140_mclk),
     .D(_0036_),
-    .RESET_B(net280),
+    .RESET_B(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_int_status[7] ));
- sky130_fd_sc_hd__dfrtp_2 _8433_ (.CLK(clknet_leaf_139_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _8433_ (.CLK(clknet_leaf_141_mclk),
     .D(_0037_),
-    .RESET_B(net280),
+    .RESET_B(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_int_status[6] ));
- sky130_fd_sc_hd__dfrtp_1 _8434_ (.CLK(clknet_leaf_139_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8434_ (.CLK(clknet_leaf_140_mclk),
     .D(_0038_),
     .RESET_B(net280),
     .VGND(vssd1),
@@ -151265,57 +151408,57 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_int_status[5] ));
- sky130_fd_sc_hd__dfrtp_1 _8435_ (.CLK(clknet_leaf_130_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8435_ (.CLK(clknet_leaf_132_mclk),
     .D(_0039_),
-    .RESET_B(net280),
+    .RESET_B(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_int_status[4] ));
- sky130_fd_sc_hd__dfrtp_1 _8436_ (.CLK(clknet_leaf_126_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8436_ (.CLK(clknet_leaf_128_mclk),
     .D(_0040_),
-    .RESET_B(net280),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_int_status[3] ));
- sky130_fd_sc_hd__dfrtp_1 _8437_ (.CLK(clknet_leaf_15_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8437_ (.CLK(clknet_leaf_16_mclk),
     .D(_0041_),
-    .RESET_B(net288),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_pinmux_reg.cfg_gpio_int_status[2] ));
- sky130_fd_sc_hd__dfrtp_4 _8438_ (.CLK(clknet_leaf_128_mclk),
-    .D(_0042_),
-    .RESET_B(net290),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_pinmux_reg.cfg_gpio_int_status[1] ));
- sky130_fd_sc_hd__dfrtp_1 _8439_ (.CLK(clknet_leaf_126_mclk),
-    .D(_0043_),
-    .RESET_B(net288),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_pinmux_reg.cfg_gpio_int_status[0] ));
- sky130_fd_sc_hd__dfrtp_2 _8440_ (.CLK(clknet_leaf_16_mclk),
-    .D(_0044_),
     .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .Q(\u_pinmux_reg.cfg_gpio_int_status[2] ));
+ sky130_fd_sc_hd__dfrtp_4 _8438_ (.CLK(clknet_leaf_130_mclk),
+    .D(_0042_),
+    .RESET_B(net282),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_pinmux_reg.cfg_gpio_int_status[1] ));
+ sky130_fd_sc_hd__dfrtp_1 _8439_ (.CLK(clknet_leaf_128_mclk),
+    .D(_0043_),
+    .RESET_B(net282),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_pinmux_reg.cfg_gpio_int_status[0] ));
+ sky130_fd_sc_hd__dfrtp_2 _8440_ (.CLK(clknet_leaf_17_mclk),
+    .D(_0044_),
+    .RESET_B(net288),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_int_status[23] ));
- sky130_fd_sc_hd__dfrtp_1 _8441_ (.CLK(clknet_leaf_104_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8441_ (.CLK(clknet_leaf_101_mclk),
     .D(net3),
-    .RESET_B(net278),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -151323,7 +151466,7 @@
     .Q(\u_pinmux_reg.gpio_in_data_s[8] ));
  sky130_fd_sc_hd__dfrtp_1 _8442_ (.CLK(clknet_leaf_105_mclk),
     .D(net4),
-    .RESET_B(net278),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -151331,7 +151474,7 @@
     .Q(\u_pinmux_reg.gpio_in_data_s[9] ));
  sky130_fd_sc_hd__dfrtp_1 _8443_ (.CLK(clknet_leaf_100_mclk),
     .D(net5),
-    .RESET_B(net277),
+    .RESET_B(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -151339,53 +151482,53 @@
     .Q(\u_pinmux_reg.gpio_in_data_s[10] ));
  sky130_fd_sc_hd__dfrtp_1 _8444_ (.CLK(clknet_leaf_105_mclk),
     .D(net6),
-    .RESET_B(net278),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_pinmux_reg.gpio_in_data_s[11] ));
- sky130_fd_sc_hd__dfrtp_1 _8445_ (.CLK(clknet_leaf_75_mclk),
-    .D(net7),
-    .RESET_B(net287),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_pinmux_reg.gpio_in_data_s[12] ));
- sky130_fd_sc_hd__dfrtp_1 _8446_ (.CLK(clknet_leaf_69_mclk),
-    .D(net8),
-    .RESET_B(net287),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_pinmux_reg.gpio_in_data_s[13] ));
- sky130_fd_sc_hd__dfrtp_1 _8447_ (.CLK(clknet_leaf_106_mclk),
-    .D(net27),
     .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .Q(\u_pinmux_reg.gpio_in_data_s[11] ));
+ sky130_fd_sc_hd__dfrtp_1 _8445_ (.CLK(clknet_leaf_73_mclk),
+    .D(net7),
+    .RESET_B(net286),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_pinmux_reg.gpio_in_data_s[12] ));
+ sky130_fd_sc_hd__dfrtp_1 _8446_ (.CLK(clknet_leaf_70_mclk),
+    .D(net8),
+    .RESET_B(net286),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_pinmux_reg.gpio_in_data_s[13] ));
+ sky130_fd_sc_hd__dfrtp_1 _8447_ (.CLK(clknet_leaf_107_mclk),
+    .D(net27),
+    .RESET_B(net281),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .Q(\u_pinmux_reg.gpio_in_data_s[14] ));
- sky130_fd_sc_hd__dfrtp_1 _8448_ (.CLK(clknet_leaf_101_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8448_ (.CLK(clknet_leaf_105_mclk),
     .D(net28),
-    .RESET_B(net278),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.gpio_in_data_s[15] ));
- sky130_fd_sc_hd__dfrtp_1 _8449_ (.CLK(clknet_leaf_75_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8449_ (.CLK(clknet_leaf_73_mclk),
     .D(net9),
-    .RESET_B(net287),
+    .RESET_B(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.gpio_in_data_s[16] ));
- sky130_fd_sc_hd__dfrtp_1 _8450_ (.CLK(clknet_leaf_70_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8450_ (.CLK(clknet_leaf_68_mclk),
     .D(net10),
     .RESET_B(net287),
     .VGND(vssd1),
@@ -151393,9 +151536,9 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.gpio_in_data_s[17] ));
- sky130_fd_sc_hd__dfrtp_1 _8451_ (.CLK(clknet_leaf_71_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8451_ (.CLK(clknet_leaf_70_mclk),
     .D(net12),
-    .RESET_B(net287),
+    .RESET_B(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -151403,13 +151546,13 @@
     .Q(\u_pinmux_reg.gpio_in_data_s[18] ));
  sky130_fd_sc_hd__dfrtp_1 _8452_ (.CLK(clknet_leaf_70_mclk),
     .D(net13),
-    .RESET_B(net287),
+    .RESET_B(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.gpio_in_data_s[19] ));
- sky130_fd_sc_hd__dfrtp_1 _8453_ (.CLK(clknet_leaf_31_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8453_ (.CLK(clknet_leaf_37_mclk),
     .D(net14),
     .RESET_B(net289),
     .VGND(vssd1),
@@ -151417,7 +151560,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.gpio_in_data_s[20] ));
- sky130_fd_sc_hd__dfrtp_1 _8454_ (.CLK(clknet_leaf_31_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8454_ (.CLK(clknet_leaf_32_mclk),
     .D(net15),
     .RESET_B(net289),
     .VGND(vssd1),
@@ -151425,9 +151568,9 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.gpio_in_data_s[21] ));
- sky130_fd_sc_hd__dfrtp_1 _8455_ (.CLK(clknet_leaf_100_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8455_ (.CLK(clknet_leaf_101_mclk),
     .D(net1),
-    .RESET_B(net278),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -151435,7 +151578,7 @@
     .Q(\u_pinmux_reg.gpio_in_data_s[22] ));
  sky130_fd_sc_hd__dfrtp_1 _8456_ (.CLK(clknet_leaf_113_mclk),
     .D(net11),
-    .RESET_B(net279),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -151443,15 +151586,15 @@
     .Q(\u_pinmux_reg.gpio_in_data_s[24] ));
  sky130_fd_sc_hd__dfrtp_1 _8457_ (.CLK(clknet_leaf_106_mclk),
     .D(net17),
-    .RESET_B(net279),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.gpio_in_data_s[25] ));
- sky130_fd_sc_hd__dfrtp_1 _8458_ (.CLK(clknet_leaf_108_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8458_ (.CLK(clknet_leaf_109_mclk),
     .D(net24),
-    .RESET_B(net279),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -151459,7 +151602,7 @@
     .Q(\u_pinmux_reg.gpio_in_data_s[26] ));
  sky130_fd_sc_hd__dfrtp_1 _8459_ (.CLK(clknet_leaf_113_mclk),
     .D(net25),
-    .RESET_B(net279),
+    .RESET_B(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -151467,15 +151610,15 @@
     .Q(\u_pinmux_reg.gpio_in_data_s[27] ));
  sky130_fd_sc_hd__dfrtp_1 _8460_ (.CLK(clknet_leaf_107_mclk),
     .D(net26),
-    .RESET_B(net279),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.gpio_in_data_s[28] ));
- sky130_fd_sc_hd__dfrtp_1 _8461_ (.CLK(clknet_leaf_101_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8461_ (.CLK(clknet_leaf_106_mclk),
     .D(net29),
-    .RESET_B(net278),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -151483,7 +151626,7 @@
     .Q(\u_pinmux_reg.gpio_in_data_s[29] ));
  sky130_fd_sc_hd__dfrtp_1 _8462_ (.CLK(clknet_leaf_106_mclk),
     .D(net30),
-    .RESET_B(net279),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -151491,95 +151634,95 @@
     .Q(\u_pinmux_reg.gpio_in_data_s[30] ));
  sky130_fd_sc_hd__dfrtp_1 _8463_ (.CLK(clknet_leaf_106_mclk),
     .D(net2),
-    .RESET_B(net279),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.gpio_in_data_s[31] ));
- sky130_fd_sc_hd__dfrtp_1 _8464_ (.CLK(clknet_leaf_23_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8464_ (.CLK(clknet_leaf_24_mclk),
     .D(_0045_),
-    .RESET_B(net283),
+    .RESET_B(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_bist_ctrl_1[16] ));
- sky130_fd_sc_hd__dfrtp_1 _8465_ (.CLK(clknet_leaf_21_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8465_ (.CLK(clknet_leaf_26_mclk),
     .D(_0046_),
-    .RESET_B(net282),
+    .RESET_B(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_bist_ctrl_1[17] ));
- sky130_fd_sc_hd__dfrtp_1 _8466_ (.CLK(clknet_leaf_23_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8466_ (.CLK(clknet_leaf_24_mclk),
     .D(_0047_),
-    .RESET_B(net283),
+    .RESET_B(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_bist_ctrl_1[18] ));
- sky130_fd_sc_hd__dfrtp_1 _8467_ (.CLK(clknet_leaf_21_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8467_ (.CLK(clknet_leaf_22_mclk),
     .D(_0048_),
-    .RESET_B(net282),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_bist_ctrl_1[19] ));
- sky130_fd_sc_hd__dfrtp_1 _8468_ (.CLK(clknet_leaf_12_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8468_ (.CLK(clknet_leaf_16_mclk),
     .D(_0049_),
-    .RESET_B(net284),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_bist_ctrl_1[20] ));
- sky130_fd_sc_hd__dfrtp_1 _8469_ (.CLK(clknet_leaf_3_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8469_ (.CLK(clknet_leaf_4_mclk),
     .D(_0050_),
-    .RESET_B(net284),
+    .RESET_B(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_bist_ctrl_1[21] ));
- sky130_fd_sc_hd__dfrtp_1 _8470_ (.CLK(clknet_leaf_14_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8470_ (.CLK(clknet_leaf_15_mclk),
     .D(_0051_),
-    .RESET_B(net284),
+    .RESET_B(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_bist_ctrl_1[22] ));
- sky130_fd_sc_hd__dfrtp_1 _8471_ (.CLK(clknet_leaf_11_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8471_ (.CLK(clknet_leaf_13_mclk),
     .D(_0052_),
-    .RESET_B(net283),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_bist_ctrl_1[23] ));
- sky130_fd_sc_hd__dfstp_1 _8472_ (.CLK(clknet_leaf_34_mclk),
+ sky130_fd_sc_hd__dfstp_1 _8472_ (.CLK(clknet_leaf_35_mclk),
     .D(_0053_),
-    .SET_B(net283),
+    .SET_B(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_22[16] ));
- sky130_fd_sc_hd__dfstp_1 _8473_ (.CLK(clknet_leaf_23_mclk),
+ sky130_fd_sc_hd__dfstp_1 _8473_ (.CLK(clknet_leaf_24_mclk),
     .D(_0054_),
-    .SET_B(net282),
+    .SET_B(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_22[17] ));
- sky130_fd_sc_hd__dfrtp_1 _8474_ (.CLK(clknet_leaf_34_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8474_ (.CLK(clknet_leaf_35_mclk),
     .D(_0055_),
-    .RESET_B(net283),
+    .RESET_B(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -151587,45 +151730,45 @@
     .Q(\u_pinmux_reg.reg_22[18] ));
  sky130_fd_sc_hd__dfrtp_1 _8475_ (.CLK(clknet_leaf_23_mclk),
     .D(_0056_),
-    .RESET_B(net281),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_22[19] ));
- sky130_fd_sc_hd__dfstp_1 _8476_ (.CLK(clknet_leaf_5_mclk),
+ sky130_fd_sc_hd__dfstp_1 _8476_ (.CLK(clknet_leaf_7_mclk),
     .D(_0057_),
-    .SET_B(net285),
+    .SET_B(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_22[20] ));
- sky130_fd_sc_hd__dfstp_1 _8477_ (.CLK(clknet_leaf_4_mclk),
+ sky130_fd_sc_hd__dfstp_1 _8477_ (.CLK(clknet_leaf_7_mclk),
     .D(_0058_),
-    .SET_B(net284),
+    .SET_B(net31),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_22[21] ));
- sky130_fd_sc_hd__dfstp_1 _8478_ (.CLK(clknet_leaf_4_mclk),
+ sky130_fd_sc_hd__dfstp_1 _8478_ (.CLK(clknet_leaf_5_mclk),
     .D(_0059_),
-    .SET_B(net284),
+    .SET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_22[22] ));
- sky130_fd_sc_hd__dfrtp_2 _8479_ (.CLK(clknet_leaf_27_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _8479_ (.CLK(clknet_leaf_28_mclk),
     .D(_0060_),
-    .RESET_B(net285),
+    .RESET_B(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_22[23] ));
- sky130_fd_sc_hd__dfrtp_2 _8480_ (.CLK(clknet_leaf_87_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _8480_ (.CLK(clknet_leaf_86_mclk),
     .D(_0061_),
     .RESET_B(net275),
     .VGND(vssd1),
@@ -151635,15 +151778,15 @@
     .Q(\u_pinmux_reg.cfg_bist_ctrl_1[8] ));
  sky130_fd_sc_hd__dfrtp_2 _8481_ (.CLK(clknet_leaf_86_mclk),
     .D(_0062_),
-    .RESET_B(net275),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_bist_ctrl_1[9] ));
- sky130_fd_sc_hd__dfrtp_4 _8482_ (.CLK(clknet_leaf_84_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8482_ (.CLK(clknet_leaf_85_mclk),
     .D(_0063_),
-    .RESET_B(net288),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -151651,7 +151794,7 @@
     .Q(\u_pinmux_reg.cfg_bist_ctrl_1[10] ));
  sky130_fd_sc_hd__dfrtp_4 _8483_ (.CLK(clknet_leaf_85_mclk),
     .D(_0064_),
-    .RESET_B(net288),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -151659,13 +151802,13 @@
     .Q(\u_pinmux_reg.cfg_bist_ctrl_1[11] ));
  sky130_fd_sc_hd__dfrtp_1 _8484_ (.CLK(clknet_leaf_82_mclk),
     .D(_0065_),
-    .RESET_B(net275),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_bist_ctrl_1[12] ));
- sky130_fd_sc_hd__dfrtp_1 _8485_ (.CLK(clknet_leaf_91_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8485_ (.CLK(clknet_leaf_96_mclk),
     .D(_0066_),
     .RESET_B(net275),
     .VGND(vssd1),
@@ -151675,13 +151818,13 @@
     .Q(\u_pinmux_reg.cfg_bist_ctrl_1[13] ));
  sky130_fd_sc_hd__dfrtp_1 _8486_ (.CLK(clknet_leaf_82_mclk),
     .D(_0067_),
-    .RESET_B(net275),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_bist_ctrl_1[14] ));
- sky130_fd_sc_hd__dfrtp_1 _8487_ (.CLK(clknet_leaf_82_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8487_ (.CLK(clknet_leaf_86_mclk),
     .D(_0068_),
     .RESET_B(net275),
     .VGND(vssd1),
@@ -151689,9 +151832,9 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_bist_ctrl_1[15] ));
- sky130_fd_sc_hd__dfrtp_1 _8488_ (.CLK(clknet_leaf_24_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8488_ (.CLK(clknet_leaf_25_mclk),
     .D(_0069_),
-    .RESET_B(net283),
+    .RESET_B(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -151699,47 +151842,47 @@
     .Q(\u_pinmux_reg.reg_25[16] ));
  sky130_fd_sc_hd__dfrtp_1 _8489_ (.CLK(clknet_leaf_22_mclk),
     .D(_0070_),
-    .RESET_B(net282),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_25[17] ));
- sky130_fd_sc_hd__dfrtp_1 _8490_ (.CLK(clknet_leaf_24_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8490_ (.CLK(clknet_leaf_25_mclk),
     .D(_0071_),
-    .RESET_B(net283),
+    .RESET_B(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_25[18] ));
- sky130_fd_sc_hd__dfrtp_1 _8491_ (.CLK(clknet_leaf_22_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8491_ (.CLK(clknet_leaf_23_mclk),
     .D(_0072_),
-    .RESET_B(net282),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_25[19] ));
- sky130_fd_sc_hd__dfrtp_1 _8492_ (.CLK(clknet_leaf_7_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8492_ (.CLK(clknet_leaf_9_mclk),
     .D(_0073_),
-    .RESET_B(net284),
+    .RESET_B(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_25[20] ));
- sky130_fd_sc_hd__dfrtp_1 _8493_ (.CLK(clknet_leaf_9_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8493_ (.CLK(clknet_leaf_12_mclk),
     .D(_0074_),
-    .RESET_B(net284),
+    .RESET_B(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_25[21] ));
- sky130_fd_sc_hd__dfrtp_1 _8494_ (.CLK(clknet_leaf_13_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8494_ (.CLK(clknet_leaf_12_mclk),
     .D(_0075_),
-    .RESET_B(net283),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -151747,7 +151890,7 @@
     .Q(\u_pinmux_reg.reg_25[22] ));
  sky130_fd_sc_hd__dfrtp_2 _8495_ (.CLK(clknet_leaf_11_mclk),
     .D(_0076_),
-    .RESET_B(net283),
+    .RESET_B(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -151755,15 +151898,15 @@
     .Q(\u_pinmux_reg.reg_25[23] ));
  sky130_fd_sc_hd__dfrtp_1 _8496_ (.CLK(clknet_leaf_103_mclk),
     .D(_0077_),
-    .RESET_B(net277),
+    .RESET_B(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_3[24] ));
- sky130_fd_sc_hd__dfrtp_4 _8497_ (.CLK(clknet_leaf_104_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8497_ (.CLK(clknet_leaf_103_mclk),
     .D(_0078_),
-    .RESET_B(net278),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -151777,25 +151920,25 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_3[26] ));
- sky130_fd_sc_hd__dfrtp_1 _8499_ (.CLK(clknet_leaf_110_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8499_ (.CLK(clknet_leaf_103_mclk),
     .D(_0080_),
-    .RESET_B(net278),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_3[27] ));
- sky130_fd_sc_hd__dfrtp_1 _8500_ (.CLK(clknet_leaf_97_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8500_ (.CLK(clknet_leaf_98_mclk),
     .D(_0081_),
-    .RESET_B(net277),
+    .RESET_B(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_3[28] ));
- sky130_fd_sc_hd__dfrtp_1 _8501_ (.CLK(clknet_leaf_94_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8501_ (.CLK(clknet_leaf_95_mclk),
     .D(_0082_),
-    .RESET_B(net277),
+    .RESET_B(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -151803,7 +151946,7 @@
     .Q(\u_pinmux_reg.reg_3[29] ));
  sky130_fd_sc_hd__dfrtp_4 _8502_ (.CLK(clknet_leaf_104_mclk),
     .D(_0083_),
-    .RESET_B(net278),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -151811,7 +151954,7 @@
     .Q(\u_pinmux_reg.reg_3[30] ));
  sky130_fd_sc_hd__dfrtp_4 _8503_ (.CLK(clknet_leaf_104_mclk),
     .D(_0084_),
-    .RESET_B(net278),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -151819,15 +151962,15 @@
     .Q(\u_pinmux_reg.reg_3[31] ));
  sky130_fd_sc_hd__dfstp_1 _8504_ (.CLK(clknet_leaf_57_mclk),
     .D(_0085_),
-    .SET_B(net287),
+    .SET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_22[8] ));
- sky130_fd_sc_hd__dfstp_1 _8505_ (.CLK(clknet_leaf_58_mclk),
+ sky130_fd_sc_hd__dfstp_1 _8505_ (.CLK(clknet_leaf_57_mclk),
     .D(_0086_),
-    .SET_B(net286),
+    .SET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -151835,7 +151978,7 @@
     .Q(\u_pinmux_reg.reg_22[9] ));
  sky130_fd_sc_hd__dfrtp_1 _8506_ (.CLK(clknet_leaf_54_mclk),
     .D(_0087_),
-    .RESET_B(net281),
+    .RESET_B(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -151843,15 +151986,15 @@
     .Q(\u_pinmux_reg.reg_22[10] ));
  sky130_fd_sc_hd__dfrtp_1 _8507_ (.CLK(clknet_leaf_54_mclk),
     .D(_0088_),
-    .RESET_B(net281),
+    .RESET_B(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_22[11] ));
- sky130_fd_sc_hd__dfrtp_1 _8508_ (.CLK(clknet_leaf_83_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8508_ (.CLK(clknet_leaf_82_mclk),
     .D(_0089_),
-    .RESET_B(net275),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -151865,9 +152008,9 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_22[13] ));
- sky130_fd_sc_hd__dfrtp_1 _8510_ (.CLK(clknet_leaf_83_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8510_ (.CLK(clknet_leaf_82_mclk),
     .D(_0091_),
-    .RESET_B(net275),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -151881,81 +152024,81 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_22[15] ));
- sky130_fd_sc_hd__dfrtp_1 _8512_ (.CLK(clknet_leaf_24_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8512_ (.CLK(clknet_leaf_25_mclk),
     .D(_0093_),
-    .RESET_B(net283),
+    .RESET_B(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_3[16] ));
- sky130_fd_sc_hd__dfrtp_1 _8513_ (.CLK(clknet_leaf_21_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8513_ (.CLK(clknet_leaf_22_mclk),
     .D(_0094_),
-    .RESET_B(net282),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_pinmux_reg.reg_3[17] ));
- sky130_fd_sc_hd__dfrtp_1 _8514_ (.CLK(clknet_leaf_24_mclk),
-    .D(_0095_),
-    .RESET_B(net283),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_pinmux_reg.reg_3[18] ));
- sky130_fd_sc_hd__dfrtp_1 _8515_ (.CLK(clknet_leaf_21_mclk),
-    .D(_0096_),
-    .RESET_B(net282),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_pinmux_reg.reg_3[19] ));
- sky130_fd_sc_hd__dfrtp_1 _8516_ (.CLK(clknet_leaf_16_mclk),
-    .D(_0097_),
-    .RESET_B(net284),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_pinmux_reg.reg_3[20] ));
- sky130_fd_sc_hd__dfrtp_1 _8517_ (.CLK(clknet_leaf_4_mclk),
-    .D(_0098_),
-    .RESET_B(net284),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_pinmux_reg.reg_3[21] ));
- sky130_fd_sc_hd__dfrtp_1 _8518_ (.CLK(clknet_leaf_4_mclk),
-    .D(_0099_),
-    .RESET_B(net284),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_pinmux_reg.reg_3[22] ));
- sky130_fd_sc_hd__dfrtp_1 _8519_ (.CLK(clknet_leaf_11_mclk),
-    .D(_0100_),
-    .RESET_B(net282),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_pinmux_reg.reg_3[23] ));
- sky130_fd_sc_hd__dfrtp_1 _8520_ (.CLK(clknet_leaf_60_mclk),
-    .D(_0101_),
     .RESET_B(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .Q(\u_pinmux_reg.reg_3[17] ));
+ sky130_fd_sc_hd__dfrtp_1 _8514_ (.CLK(clknet_leaf_25_mclk),
+    .D(_0095_),
+    .RESET_B(net480),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_pinmux_reg.reg_3[18] ));
+ sky130_fd_sc_hd__dfrtp_1 _8515_ (.CLK(clknet_leaf_22_mclk),
+    .D(_0096_),
+    .RESET_B(net288),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_pinmux_reg.reg_3[19] ));
+ sky130_fd_sc_hd__dfrtp_1 _8516_ (.CLK(clknet_leaf_17_mclk),
+    .D(_0097_),
+    .RESET_B(net282),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_pinmux_reg.reg_3[20] ));
+ sky130_fd_sc_hd__dfrtp_1 _8517_ (.CLK(clknet_leaf_5_mclk),
+    .D(_0098_),
+    .RESET_B(net283),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_pinmux_reg.reg_3[21] ));
+ sky130_fd_sc_hd__dfrtp_1 _8518_ (.CLK(clknet_leaf_5_mclk),
+    .D(_0099_),
+    .RESET_B(net283),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_pinmux_reg.reg_3[22] ));
+ sky130_fd_sc_hd__dfrtp_1 _8519_ (.CLK(clknet_leaf_13_mclk),
+    .D(_0100_),
+    .RESET_B(net288),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_pinmux_reg.reg_3[23] ));
+ sky130_fd_sc_hd__dfrtp_1 _8520_ (.CLK(clknet_leaf_58_mclk),
+    .D(_0101_),
+    .RESET_B(net282),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_25[8] ));
- sky130_fd_sc_hd__dfrtp_1 _8521_ (.CLK(clknet_leaf_59_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8521_ (.CLK(clknet_leaf_58_mclk),
     .D(_0102_),
-    .RESET_B(net286),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -151963,7 +152106,7 @@
     .Q(\u_pinmux_reg.reg_25[9] ));
  sky130_fd_sc_hd__dfrtp_1 _8522_ (.CLK(clknet_leaf_56_mclk),
     .D(_0103_),
-    .RESET_B(net282),
+    .RESET_B(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -151971,23 +152114,23 @@
     .Q(\u_pinmux_reg.reg_25[10] ));
  sky130_fd_sc_hd__dfrtp_1 _8523_ (.CLK(clknet_leaf_56_mclk),
     .D(_0104_),
-    .RESET_B(net282),
+    .RESET_B(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_25[11] ));
- sky130_fd_sc_hd__dfrtp_1 _8524_ (.CLK(clknet_leaf_86_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8524_ (.CLK(clknet_leaf_85_mclk),
     .D(_0105_),
-    .RESET_B(net275),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_25[12] ));
- sky130_fd_sc_hd__dfrtp_1 _8525_ (.CLK(clknet_leaf_62_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8525_ (.CLK(clknet_leaf_61_mclk),
     .D(_0106_),
-    .RESET_B(net287),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -151995,53 +152138,53 @@
     .Q(\u_pinmux_reg.reg_25[13] ));
  sky130_fd_sc_hd__dfrtp_1 _8526_ (.CLK(clknet_leaf_86_mclk),
     .D(_0107_),
-    .RESET_B(net275),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_25[14] ));
- sky130_fd_sc_hd__dfrtp_1 _8527_ (.CLK(clknet_leaf_87_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8527_ (.CLK(clknet_leaf_86_mclk),
     .D(_0108_),
-    .RESET_B(net275),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_25[15] ));
- sky130_fd_sc_hd__dfrtp_4 _8528_ (.CLK(clknet_leaf_96_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8528_ (.CLK(clknet_leaf_97_mclk),
     .D(_0109_),
-    .RESET_B(net276),
+    .RESET_B(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pulse_1us[8] ));
- sky130_fd_sc_hd__dfrtp_4 _8529_ (.CLK(clknet_leaf_96_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8529_ (.CLK(clknet_leaf_97_mclk),
     .D(_0110_),
-    .RESET_B(net276),
+    .RESET_B(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pulse_1us[9] ));
- sky130_fd_sc_hd__dfrtp_4 _8530_ (.CLK(clknet_leaf_81_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8530_ (.CLK(clknet_leaf_80_mclk),
     .D(_0111_),
-    .RESET_B(net276),
+    .RESET_B(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_3[10] ));
- sky130_fd_sc_hd__dfrtp_4 _8531_ (.CLK(clknet_leaf_81_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8531_ (.CLK(clknet_leaf_80_mclk),
     .D(_0112_),
-    .RESET_B(net276),
+    .RESET_B(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_3[11] ));
- sky130_fd_sc_hd__dfrtp_1 _8532_ (.CLK(clknet_leaf_82_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8532_ (.CLK(clknet_leaf_81_mclk),
     .D(_0113_),
     .RESET_B(net275),
     .VGND(vssd1),
@@ -152049,7 +152192,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_3[12] ));
- sky130_fd_sc_hd__dfrtp_1 _8533_ (.CLK(clknet_leaf_95_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8533_ (.CLK(clknet_leaf_96_mclk),
     .D(_0114_),
     .RESET_B(net275),
     .VGND(vssd1),
@@ -152057,7 +152200,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_3[13] ));
- sky130_fd_sc_hd__dfrtp_1 _8534_ (.CLK(clknet_leaf_83_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8534_ (.CLK(clknet_leaf_81_mclk),
     .D(_0115_),
     .RESET_B(net275),
     .VGND(vssd1),
@@ -152065,7 +152208,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_3[14] ));
- sky130_fd_sc_hd__dfrtp_2 _8535_ (.CLK(clknet_leaf_82_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _8535_ (.CLK(clknet_leaf_81_mclk),
     .D(_0116_),
     .RESET_B(net275),
     .VGND(vssd1),
@@ -152073,57 +152216,57 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_3[15] ));
- sky130_fd_sc_hd__dfrtp_1 _8536_ (.CLK(clknet_leaf_121_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8536_ (.CLK(clknet_leaf_124_mclk),
     .D(_0117_),
-    .RESET_B(net276),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_23[24] ));
- sky130_fd_sc_hd__dfstp_1 _8537_ (.CLK(clknet_leaf_118_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8537_ (.CLK(clknet_leaf_119_mclk),
     .D(_0118_),
-    .SET_B(net276),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_23[25] ));
- sky130_fd_sc_hd__dfrtp_1 _8538_ (.CLK(clknet_leaf_119_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8538_ (.CLK(clknet_leaf_120_mclk),
     .D(_0119_),
-    .RESET_B(net276),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_23[26] ));
- sky130_fd_sc_hd__dfrtp_1 _8539_ (.CLK(clknet_leaf_118_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8539_ (.CLK(clknet_leaf_120_mclk),
     .D(_0120_),
-    .RESET_B(net276),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_23[27] ));
- sky130_fd_sc_hd__dfrtp_2 _8540_ (.CLK(clknet_leaf_93_mclk),
+ sky130_fd_sc_hd__dfstp_1 _8540_ (.CLK(clknet_leaf_94_mclk),
     .D(_0121_),
-    .RESET_B(net277),
+    .SET_B(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_23[28] ));
- sky130_fd_sc_hd__dfrtp_1 _8541_ (.CLK(clknet_leaf_93_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8541_ (.CLK(clknet_leaf_95_mclk),
     .D(_0122_),
-    .RESET_B(net277),
+    .RESET_B(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_23[29] ));
- sky130_fd_sc_hd__dfrtp_1 _8542_ (.CLK(clknet_leaf_94_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8542_ (.CLK(clknet_leaf_95_mclk),
     .D(_0123_),
-    .RESET_B(net277),
+    .RESET_B(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -152131,39 +152274,39 @@
     .Q(\u_pinmux_reg.reg_23[30] ));
  sky130_fd_sc_hd__dfrtp_1 _8543_ (.CLK(clknet_leaf_94_mclk),
     .D(_0124_),
-    .RESET_B(net277),
+    .RESET_B(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_23[31] ));
- sky130_fd_sc_hd__dfrtp_4 _8544_ (.CLK(clknet_leaf_111_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8544_ (.CLK(clknet_leaf_112_mclk),
     .D(_0125_),
-    .RESET_B(net278),
+    .RESET_B(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_out_data[24] ));
- sky130_fd_sc_hd__dfrtp_4 _8545_ (.CLK(clknet_leaf_117_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8545_ (.CLK(clknet_leaf_118_mclk),
     .D(_0126_),
-    .RESET_B(net278),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_out_data[25] ));
- sky130_fd_sc_hd__dfrtp_4 _8546_ (.CLK(clknet_leaf_111_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8546_ (.CLK(clknet_leaf_112_mclk),
     .D(_0127_),
-    .RESET_B(net277),
+    .RESET_B(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_out_data[26] ));
- sky130_fd_sc_hd__dfrtp_1 _8547_ (.CLK(clknet_leaf_119_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8547_ (.CLK(clknet_leaf_121_mclk),
     .D(_0128_),
-    .RESET_B(net278),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -152177,9 +152320,9 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_out_data[28] ));
- sky130_fd_sc_hd__dfrtp_2 _8549_ (.CLK(clknet_leaf_101_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _8549_ (.CLK(clknet_leaf_102_mclk),
     .D(_0130_),
-    .RESET_B(net278),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -152201,23 +152344,23 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_out_data[31] ));
- sky130_fd_sc_hd__dfrtp_1 _8552_ (.CLK(clknet_leaf_120_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8552_ (.CLK(clknet_leaf_122_mclk),
     .D(_0133_),
-    .RESET_B(net277),
+    .RESET_B(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_26[24] ));
- sky130_fd_sc_hd__dfrtp_1 _8553_ (.CLK(clknet_leaf_118_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8553_ (.CLK(clknet_leaf_119_mclk),
     .D(_0134_),
-    .RESET_B(net276),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_26[25] ));
- sky130_fd_sc_hd__dfrtp_1 _8554_ (.CLK(clknet_leaf_120_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8554_ (.CLK(clknet_leaf_121_mclk),
     .D(_0135_),
     .RESET_B(net278),
     .VGND(vssd1),
@@ -152225,9 +152368,9 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_26[26] ));
- sky130_fd_sc_hd__dfrtp_1 _8555_ (.CLK(clknet_leaf_119_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8555_ (.CLK(clknet_leaf_121_mclk),
     .D(_0136_),
-    .RESET_B(net278),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -152235,7 +152378,7 @@
     .Q(\u_pinmux_reg.reg_26[27] ));
  sky130_fd_sc_hd__dfrtp_1 _8556_ (.CLK(clknet_leaf_103_mclk),
     .D(_0137_),
-    .RESET_B(net277),
+    .RESET_B(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -152243,7 +152386,7 @@
     .Q(\u_pinmux_reg.reg_26[28] ));
  sky130_fd_sc_hd__dfrtp_1 _8557_ (.CLK(clknet_leaf_103_mclk),
     .D(_0138_),
-    .RESET_B(net277),
+    .RESET_B(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -152251,7 +152394,7 @@
     .Q(\u_pinmux_reg.reg_26[29] ));
  sky130_fd_sc_hd__dfrtp_4 _8558_ (.CLK(clknet_leaf_103_mclk),
     .D(_0139_),
-    .RESET_B(net277),
+    .RESET_B(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -152265,17 +152408,17 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_26[31] ));
- sky130_fd_sc_hd__dfrtp_4 _8560_ (.CLK(clknet_leaf_34_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8560_ (.CLK(clknet_leaf_35_mclk),
     .D(_0141_),
-    .RESET_B(net283),
+    .RESET_B(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_out_data[16] ));
- sky130_fd_sc_hd__dfrtp_4 _8561_ (.CLK(clknet_leaf_53_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8561_ (.CLK(clknet_leaf_35_mclk),
     .D(_0142_),
-    .RESET_B(net282),
+    .RESET_B(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -152289,65 +152432,65 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_out_data[18] ));
- sky130_fd_sc_hd__dfrtp_4 _8563_ (.CLK(clknet_leaf_53_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8563_ (.CLK(clknet_leaf_35_mclk),
     .D(_0144_),
-    .RESET_B(net282),
+    .RESET_B(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_out_data[19] ));
- sky130_fd_sc_hd__dfrtp_1 _8564_ (.CLK(clknet_leaf_6_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8564_ (.CLK(clknet_leaf_9_mclk),
     .D(_0145_),
-    .RESET_B(net284),
+    .RESET_B(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_out_data[20] ));
- sky130_fd_sc_hd__dfrtp_1 _8565_ (.CLK(clknet_leaf_9_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8565_ (.CLK(clknet_leaf_12_mclk),
     .D(_0146_),
-    .RESET_B(net284),
+    .RESET_B(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_out_data[21] ));
- sky130_fd_sc_hd__dfrtp_4 _8566_ (.CLK(clknet_leaf_4_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8566_ (.CLK(clknet_leaf_6_mclk),
     .D(_0147_),
-    .RESET_B(net283),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_out_data[22] ));
- sky130_fd_sc_hd__dfrtp_1 _8567_ (.CLK(clknet_leaf_10_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8567_ (.CLK(clknet_leaf_11_mclk),
     .D(_0148_),
-    .RESET_B(net283),
+    .RESET_B(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_out_data[23] ));
- sky130_fd_sc_hd__dfstp_1 _8568_ (.CLK(clknet_leaf_34_mclk),
+ sky130_fd_sc_hd__dfstp_1 _8568_ (.CLK(clknet_leaf_35_mclk),
     .D(_0149_),
-    .SET_B(net284),
+    .SET_B(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_23[16] ));
- sky130_fd_sc_hd__dfstp_1 _8569_ (.CLK(clknet_leaf_33_mclk),
+ sky130_fd_sc_hd__dfstp_1 _8569_ (.CLK(clknet_leaf_34_mclk),
     .D(_0150_),
-    .SET_B(net284),
+    .SET_B(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_23[17] ));
- sky130_fd_sc_hd__dfrtp_1 _8570_ (.CLK(clknet_leaf_34_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8570_ (.CLK(clknet_leaf_35_mclk),
     .D(_0151_),
-    .RESET_B(net283),
+    .RESET_B(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -152355,39 +152498,39 @@
     .Q(\u_pinmux_reg.reg_23[18] ));
  sky130_fd_sc_hd__dfrtp_1 _8571_ (.CLK(clknet_leaf_34_mclk),
     .D(_0152_),
-    .RESET_B(net284),
+    .RESET_B(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_23[19] ));
- sky130_fd_sc_hd__dfrtp_1 _8572_ (.CLK(clknet_leaf_4_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8572_ (.CLK(clknet_leaf_7_mclk),
     .D(_0153_),
-    .RESET_B(net290),
+    .RESET_B(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_23[20] ));
- sky130_fd_sc_hd__dfrtp_1 _8573_ (.CLK(clknet_leaf_4_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8573_ (.CLK(clknet_leaf_6_mclk),
     .D(_0154_),
-    .RESET_B(net284),
+    .RESET_B(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_23[21] ));
- sky130_fd_sc_hd__dfrtp_1 _8574_ (.CLK(clknet_leaf_4_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8574_ (.CLK(clknet_leaf_6_mclk),
     .D(_0155_),
-    .RESET_B(net283),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_23[22] ));
- sky130_fd_sc_hd__dfrtp_2 _8575_ (.CLK(clknet_leaf_26_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _8575_ (.CLK(clknet_leaf_28_mclk),
     .D(_0156_),
-    .RESET_B(net284),
+    .RESET_B(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -152395,7 +152538,7 @@
     .Q(\u_pinmux_reg.reg_23[23] ));
  sky130_fd_sc_hd__dfrtp_4 _8576_ (.CLK(clknet_leaf_57_mclk),
     .D(_0157_),
-    .RESET_B(net287),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -152403,7 +152546,7 @@
     .Q(\u_gpio_intr.cfg_gpio_out_data[8] ));
  sky130_fd_sc_hd__dfrtp_4 _8577_ (.CLK(clknet_leaf_58_mclk),
     .D(_0158_),
-    .RESET_B(net286),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -152411,7 +152554,7 @@
     .Q(\u_gpio_intr.cfg_gpio_out_data[9] ));
  sky130_fd_sc_hd__dfrtp_1 _8578_ (.CLK(clknet_leaf_56_mclk),
     .D(_0159_),
-    .RESET_B(net288),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -152419,7 +152562,7 @@
     .Q(\u_gpio_intr.cfg_gpio_out_data[10] ));
  sky130_fd_sc_hd__dfrtp_4 _8579_ (.CLK(clknet_leaf_56_mclk),
     .D(_0160_),
-    .RESET_B(net288),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -152427,13 +152570,13 @@
     .Q(\u_gpio_intr.cfg_gpio_out_data[11] ));
  sky130_fd_sc_hd__dfrtp_1 _8580_ (.CLK(clknet_leaf_84_mclk),
     .D(_0161_),
-    .RESET_B(net288),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_out_data[12] ));
- sky130_fd_sc_hd__dfrtp_1 _8581_ (.CLK(clknet_leaf_86_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8581_ (.CLK(clknet_leaf_91_mclk),
     .D(_0162_),
     .RESET_B(net275),
     .VGND(vssd1),
@@ -152441,113 +152584,113 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_out_data[13] ));
- sky130_fd_sc_hd__dfrtp_4 _8582_ (.CLK(clknet_leaf_84_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8582_ (.CLK(clknet_leaf_83_mclk),
     .D(_0163_),
-    .RESET_B(net275),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_out_data[14] ));
- sky130_fd_sc_hd__dfrtp_4 _8583_ (.CLK(clknet_leaf_95_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8583_ (.CLK(clknet_leaf_96_mclk),
     .D(_0164_),
-    .RESET_B(net276),
+    .RESET_B(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_out_data[15] ));
- sky130_fd_sc_hd__dfrtp_1 _8584_ (.CLK(clknet_leaf_33_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8584_ (.CLK(clknet_leaf_34_mclk),
     .D(_0165_),
-    .RESET_B(net284),
+    .RESET_B(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_26[16] ));
- sky130_fd_sc_hd__dfrtp_1 _8585_ (.CLK(clknet_leaf_24_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8585_ (.CLK(clknet_leaf_25_mclk),
     .D(_0166_),
-    .RESET_B(net284),
+    .RESET_B(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_26[17] ));
- sky130_fd_sc_hd__dfrtp_1 _8586_ (.CLK(clknet_leaf_33_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8586_ (.CLK(clknet_leaf_34_mclk),
     .D(_0167_),
-    .RESET_B(net284),
+    .RESET_B(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_26[18] ));
- sky130_fd_sc_hd__dfrtp_1 _8587_ (.CLK(clknet_leaf_26_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8587_ (.CLK(clknet_leaf_27_mclk),
     .D(_0168_),
-    .RESET_B(net284),
+    .RESET_B(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_26[19] ));
- sky130_fd_sc_hd__dfrtp_1 _8588_ (.CLK(clknet_leaf_4_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8588_ (.CLK(clknet_leaf_7_mclk),
     .D(_0169_),
-    .RESET_B(net285),
+    .RESET_B(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_26[20] ));
- sky130_fd_sc_hd__dfrtp_1 _8589_ (.CLK(clknet_leaf_5_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8589_ (.CLK(clknet_leaf_7_mclk),
     .D(_0170_),
-    .RESET_B(net285),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_pinmux_reg.reg_26[21] ));
- sky130_fd_sc_hd__dfrtp_1 _8590_ (.CLK(clknet_leaf_3_mclk),
-    .D(_0171_),
     .RESET_B(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .Q(\u_pinmux_reg.reg_26[21] ));
+ sky130_fd_sc_hd__dfrtp_1 _8590_ (.CLK(clknet_leaf_5_mclk),
+    .D(_0171_),
+    .RESET_B(net288),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_26[22] ));
- sky130_fd_sc_hd__dfrtp_1 _8591_ (.CLK(clknet_leaf_9_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8591_ (.CLK(clknet_leaf_11_mclk),
     .D(_0172_),
-    .RESET_B(net284),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_26[23] ));
- sky130_fd_sc_hd__dfrtp_4 _8592_ (.CLK(clknet_leaf_109_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8592_ (.CLK(clknet_leaf_110_mclk),
     .D(_0173_),
-    .RESET_B(net278),
+    .RESET_B(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_dir_sel[24] ));
- sky130_fd_sc_hd__dfrtp_4 _8593_ (.CLK(clknet_leaf_109_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8593_ (.CLK(clknet_leaf_110_mclk),
     .D(_0174_),
-    .RESET_B(net278),
+    .RESET_B(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_dir_sel[25] ));
- sky130_fd_sc_hd__dfrtp_4 _8594_ (.CLK(clknet_leaf_110_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8594_ (.CLK(clknet_leaf_111_mclk),
     .D(_0175_),
-    .RESET_B(net278),
+    .RESET_B(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_dir_sel[26] ));
- sky130_fd_sc_hd__dfrtp_4 _8595_ (.CLK(clknet_leaf_109_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8595_ (.CLK(clknet_leaf_110_mclk),
     .D(_0176_),
-    .RESET_B(net278),
+    .RESET_B(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -152555,7 +152698,7 @@
     .Q(\u_gpio_intr.cfg_gpio_dir_sel[27] ));
  sky130_fd_sc_hd__dfrtp_4 _8596_ (.CLK(clknet_leaf_104_mclk),
     .D(_0177_),
-    .RESET_B(net278),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -152563,7 +152706,7 @@
     .Q(\u_gpio_intr.cfg_gpio_dir_sel[28] ));
  sky130_fd_sc_hd__dfrtp_4 _8597_ (.CLK(clknet_leaf_105_mclk),
     .D(_0178_),
-    .RESET_B(net278),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -152579,13 +152722,13 @@
     .Q(\u_gpio_intr.cfg_gpio_dir_sel[30] ));
  sky130_fd_sc_hd__dfrtp_4 _8599_ (.CLK(clknet_leaf_104_mclk),
     .D(_0180_),
-    .RESET_B(net278),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_dir_sel[31] ));
- sky130_fd_sc_hd__dfrtp_1 _8600_ (.CLK(clknet_leaf_60_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8600_ (.CLK(clknet_leaf_59_mclk),
     .D(_0181_),
     .RESET_B(net287),
     .VGND(vssd1),
@@ -152595,7 +152738,7 @@
     .Q(\u_pinmux_reg.reg_23[8] ));
  sky130_fd_sc_hd__dfrtp_1 _8601_ (.CLK(clknet_leaf_55_mclk),
     .D(_0182_),
-    .RESET_B(net288),
+    .RESET_B(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -152603,7 +152746,7 @@
     .Q(\u_pinmux_reg.reg_23[9] ));
  sky130_fd_sc_hd__dfrtp_1 _8602_ (.CLK(clknet_leaf_55_mclk),
     .D(_0183_),
-    .RESET_B(net281),
+    .RESET_B(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -152611,23 +152754,23 @@
     .Q(\u_pinmux_reg.reg_23[10] ));
  sky130_fd_sc_hd__dfrtp_1 _8603_ (.CLK(clknet_leaf_56_mclk),
     .D(_0184_),
-    .RESET_B(net281),
+    .RESET_B(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_23[11] ));
- sky130_fd_sc_hd__dfrtp_1 _8604_ (.CLK(clknet_leaf_62_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8604_ (.CLK(clknet_leaf_85_mclk),
     .D(_0185_),
-    .RESET_B(net288),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_23[12] ));
- sky130_fd_sc_hd__dfstp_1 _8605_ (.CLK(clknet_leaf_61_mclk),
+ sky130_fd_sc_hd__dfstp_1 _8605_ (.CLK(clknet_leaf_60_mclk),
     .D(_0186_),
-    .SET_B(net286),
+    .SET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -152635,7 +152778,7 @@
     .Q(\u_pinmux_reg.reg_23[13] ));
  sky130_fd_sc_hd__dfrtp_1 _8606_ (.CLK(clknet_leaf_85_mclk),
     .D(_0187_),
-    .RESET_B(net288),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -152643,87 +152786,87 @@
     .Q(\u_pinmux_reg.reg_23[14] ));
  sky130_fd_sc_hd__dfrtp_1 _8607_ (.CLK(clknet_leaf_87_mclk),
     .D(_0188_),
-    .RESET_B(net275),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_23[15] ));
- sky130_fd_sc_hd__dfrtp_4 _8608_ (.CLK(clknet_leaf_23_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8608_ (.CLK(clknet_leaf_24_mclk),
     .D(_0189_),
-    .RESET_B(net283),
+    .RESET_B(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_dir_sel[16] ));
- sky130_fd_sc_hd__dfrtp_4 _8609_ (.CLK(clknet_leaf_21_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8609_ (.CLK(clknet_leaf_22_mclk),
     .D(_0190_),
-    .RESET_B(net282),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_dir_sel[17] ));
- sky130_fd_sc_hd__dfrtp_4 _8610_ (.CLK(clknet_leaf_23_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8610_ (.CLK(clknet_leaf_24_mclk),
     .D(_0191_),
-    .RESET_B(net283),
+    .RESET_B(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_dir_sel[18] ));
- sky130_fd_sc_hd__dfrtp_4 _8611_ (.CLK(clknet_leaf_22_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8611_ (.CLK(clknet_leaf_23_mclk),
     .D(_0192_),
-    .RESET_B(net282),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_dir_sel[19] ));
- sky130_fd_sc_hd__dfrtp_1 _8612_ (.CLK(clknet_leaf_13_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8612_ (.CLK(clknet_leaf_14_mclk),
     .D(_0193_),
-    .RESET_B(net283),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_dir_sel[20] ));
- sky130_fd_sc_hd__dfrtp_4 _8613_ (.CLK(clknet_leaf_11_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8613_ (.CLK(clknet_leaf_12_mclk),
     .D(_0194_),
-    .RESET_B(net283),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_dir_sel[21] ));
- sky130_fd_sc_hd__dfrtp_4 _8614_ (.CLK(clknet_leaf_14_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8614_ (.CLK(clknet_leaf_15_mclk),
     .D(_0195_),
-    .RESET_B(net284),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_dir_sel[22] ));
- sky130_fd_sc_hd__dfrtp_1 _8615_ (.CLK(clknet_leaf_14_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8615_ (.CLK(clknet_leaf_15_mclk),
     .D(_0196_),
-    .RESET_B(net284),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_dir_sel[23] ));
- sky130_fd_sc_hd__dfrtp_1 _8616_ (.CLK(clknet_leaf_19_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8616_ (.CLK(clknet_leaf_20_mclk),
     .D(_0197_),
-    .RESET_B(net288),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_26[8] ));
- sky130_fd_sc_hd__dfrtp_1 _8617_ (.CLK(clknet_leaf_19_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8617_ (.CLK(clknet_leaf_21_mclk),
     .D(_0198_),
-    .RESET_B(net288),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -152731,15 +152874,15 @@
     .Q(\u_pinmux_reg.reg_26[9] ));
  sky130_fd_sc_hd__dfrtp_1 _8618_ (.CLK(clknet_leaf_54_mclk),
     .D(_0199_),
-    .RESET_B(net281),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_26[10] ));
- sky130_fd_sc_hd__dfrtp_1 _8619_ (.CLK(clknet_leaf_22_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8619_ (.CLK(clknet_leaf_23_mclk),
     .D(_0200_),
-    .RESET_B(net281),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -152747,7 +152890,7 @@
     .Q(\u_pinmux_reg.reg_26[11] ));
  sky130_fd_sc_hd__dfrtp_1 _8620_ (.CLK(clknet_leaf_85_mclk),
     .D(_0201_),
-    .RESET_B(net288),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -152755,7 +152898,7 @@
     .Q(\u_pinmux_reg.reg_26[12] ));
  sky130_fd_sc_hd__dfrtp_1 _8621_ (.CLK(clknet_leaf_89_mclk),
     .D(_0202_),
-    .RESET_B(net288),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -152763,7 +152906,7 @@
     .Q(\u_pinmux_reg.reg_26[13] ));
  sky130_fd_sc_hd__dfrtp_1 _8622_ (.CLK(clknet_leaf_88_mclk),
     .D(_0203_),
-    .RESET_B(net288),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -152771,29 +152914,29 @@
     .Q(\u_pinmux_reg.reg_26[14] ));
  sky130_fd_sc_hd__dfrtp_1 _8623_ (.CLK(clknet_leaf_88_mclk),
     .D(_0204_),
-    .RESET_B(net288),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_26[15] ));
- sky130_fd_sc_hd__dfrtp_4 _8624_ (.CLK(clknet_leaf_81_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8624_ (.CLK(clknet_leaf_80_mclk),
     .D(_0205_),
-    .RESET_B(net276),
+    .RESET_B(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_dir_sel[8] ));
- sky130_fd_sc_hd__dfrtp_4 _8625_ (.CLK(clknet_leaf_81_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8625_ (.CLK(clknet_leaf_80_mclk),
     .D(_0206_),
-    .RESET_B(net276),
+    .RESET_B(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_dir_sel[9] ));
- sky130_fd_sc_hd__dfrtp_4 _8626_ (.CLK(clknet_leaf_81_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8626_ (.CLK(clknet_leaf_82_mclk),
     .D(_0207_),
     .RESET_B(net275),
     .VGND(vssd1),
@@ -152801,7 +152944,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_dir_sel[10] ));
- sky130_fd_sc_hd__dfrtp_4 _8627_ (.CLK(clknet_leaf_81_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8627_ (.CLK(clknet_leaf_80_mclk),
     .D(_0208_),
     .RESET_B(net275),
     .VGND(vssd1),
@@ -152809,7 +152952,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_dir_sel[11] ));
- sky130_fd_sc_hd__dfrtp_4 _8628_ (.CLK(clknet_leaf_83_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8628_ (.CLK(clknet_leaf_82_mclk),
     .D(_0209_),
     .RESET_B(net275),
     .VGND(vssd1),
@@ -152825,57 +152968,57 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_dir_sel[13] ));
- sky130_fd_sc_hd__dfrtp_4 _8630_ (.CLK(clknet_leaf_81_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8630_ (.CLK(clknet_leaf_80_mclk),
     .D(_0211_),
-    .RESET_B(net276),
+    .RESET_B(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_dir_sel[14] ));
- sky130_fd_sc_hd__dfrtp_4 _8631_ (.CLK(clknet_leaf_82_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8631_ (.CLK(clknet_leaf_81_mclk),
     .D(_0212_),
-    .RESET_B(net276),
+    .RESET_B(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_dir_sel[15] ));
- sky130_fd_sc_hd__dfrtp_1 _8632_ (.CLK(clknet_leaf_118_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8632_ (.CLK(clknet_leaf_119_mclk),
     .D(_0213_),
-    .RESET_B(net276),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_24[24] ));
- sky130_fd_sc_hd__dfrtp_1 _8633_ (.CLK(clknet_leaf_118_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8633_ (.CLK(clknet_leaf_119_mclk),
     .D(_0214_),
-    .RESET_B(net276),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_24[25] ));
- sky130_fd_sc_hd__dfrtp_1 _8634_ (.CLK(clknet_leaf_119_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8634_ (.CLK(clknet_leaf_120_mclk),
     .D(_0215_),
-    .RESET_B(net276),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_24[26] ));
- sky130_fd_sc_hd__dfrtp_1 _8635_ (.CLK(clknet_leaf_119_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8635_ (.CLK(clknet_leaf_120_mclk),
     .D(_0216_),
-    .RESET_B(net278),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_24[27] ));
- sky130_fd_sc_hd__dfrtp_2 _8636_ (.CLK(clknet_leaf_93_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _8636_ (.CLK(clknet_leaf_94_mclk),
     .D(_0217_),
-    .RESET_B(net277),
+    .RESET_B(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -152883,71 +153026,71 @@
     .Q(\u_pinmux_reg.reg_24[28] ));
  sky130_fd_sc_hd__dfrtp_1 _8637_ (.CLK(clknet_leaf_103_mclk),
     .D(_0218_),
-    .RESET_B(net277),
+    .RESET_B(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_24[29] ));
- sky130_fd_sc_hd__dfrtp_2 _8638_ (.CLK(clknet_leaf_103_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _8638_ (.CLK(clknet_leaf_102_mclk),
     .D(_0219_),
-    .RESET_B(net277),
+    .RESET_B(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_24[30] ));
- sky130_fd_sc_hd__dfrtp_4 _8639_ (.CLK(clknet_leaf_102_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8639_ (.CLK(clknet_leaf_95_mclk),
     .D(_0220_),
-    .RESET_B(net277),
+    .RESET_B(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_24[31] ));
- sky130_fd_sc_hd__dfrtp_1 _8640_ (.CLK(clknet_leaf_122_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8640_ (.CLK(clknet_leaf_119_mclk),
     .D(_0221_),
-    .RESET_B(net276),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_out_type[24] ));
- sky130_fd_sc_hd__dfrtp_1 _8641_ (.CLK(clknet_leaf_123_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8641_ (.CLK(clknet_leaf_125_mclk),
     .D(_0222_),
-    .RESET_B(net280),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_out_type[25] ));
- sky130_fd_sc_hd__dfrtp_1 _8642_ (.CLK(clknet_leaf_122_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8642_ (.CLK(clknet_leaf_124_mclk),
     .D(_0223_),
-    .RESET_B(net276),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_out_type[26] ));
- sky130_fd_sc_hd__dfrtp_1 _8643_ (.CLK(clknet_leaf_122_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8643_ (.CLK(clknet_leaf_124_mclk),
     .D(_0224_),
-    .RESET_B(net276),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_out_type[27] ));
- sky130_fd_sc_hd__dfrtp_1 _8644_ (.CLK(clknet_leaf_123_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8644_ (.CLK(clknet_leaf_125_mclk),
     .D(_0225_),
-    .RESET_B(net276),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_out_type[28] ));
- sky130_fd_sc_hd__dfrtp_1 _8645_ (.CLK(clknet_leaf_92_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8645_ (.CLK(clknet_leaf_93_mclk),
     .D(_0226_),
-    .RESET_B(net276),
+    .RESET_B(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -152955,133 +153098,133 @@
     .Q(\u_pinmux_reg.cfg_gpio_out_type[29] ));
  sky130_fd_sc_hd__dfrtp_1 _8646_ (.CLK(clknet_leaf_90_mclk),
     .D(_0227_),
-    .RESET_B(net276),
+    .RESET_B(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_out_type[30] ));
- sky130_fd_sc_hd__dfrtp_1 _8647_ (.CLK(clknet_leaf_124_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8647_ (.CLK(clknet_leaf_125_mclk),
     .D(_0228_),
-    .RESET_B(net276),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_out_type[31] ));
- sky130_fd_sc_hd__dfrtp_1 _8648_ (.CLK(clknet_leaf_121_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8648_ (.CLK(clknet_leaf_123_mclk),
     .D(_0229_),
-    .RESET_B(net276),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_27[24] ));
- sky130_fd_sc_hd__dfrtp_1 _8649_ (.CLK(clknet_leaf_124_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8649_ (.CLK(clknet_leaf_126_mclk),
     .D(_0230_),
-    .RESET_B(net288),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_27[25] ));
- sky130_fd_sc_hd__dfrtp_1 _8650_ (.CLK(clknet_leaf_121_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8650_ (.CLK(clknet_leaf_123_mclk),
     .D(_0231_),
-    .RESET_B(net276),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_27[26] ));
- sky130_fd_sc_hd__dfrtp_1 _8651_ (.CLK(clknet_leaf_122_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8651_ (.CLK(clknet_leaf_124_mclk),
     .D(_0232_),
-    .RESET_B(net276),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_27[27] ));
- sky130_fd_sc_hd__dfrtp_1 _8652_ (.CLK(clknet_leaf_121_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8652_ (.CLK(clknet_leaf_123_mclk),
     .D(_0233_),
-    .RESET_B(net276),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_27[28] ));
- sky130_fd_sc_hd__dfrtp_1 _8653_ (.CLK(clknet_leaf_121_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8653_ (.CLK(clknet_leaf_123_mclk),
     .D(_0234_),
-    .RESET_B(net276),
+    .RESET_B(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_27[29] ));
- sky130_fd_sc_hd__dfrtp_1 _8654_ (.CLK(clknet_leaf_121_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8654_ (.CLK(clknet_leaf_123_mclk),
     .D(_0235_),
-    .RESET_B(net276),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_27[30] ));
- sky130_fd_sc_hd__dfrtp_1 _8655_ (.CLK(clknet_leaf_92_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8655_ (.CLK(clknet_leaf_90_mclk),
     .D(_0236_),
-    .RESET_B(net276),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_27[31] ));
- sky130_fd_sc_hd__dfrtp_1 _8656_ (.CLK(clknet_leaf_26_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8656_ (.CLK(clknet_leaf_27_mclk),
     .D(_0237_),
-    .RESET_B(net283),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_out_type[16] ));
- sky130_fd_sc_hd__dfrtp_1 _8657_ (.CLK(clknet_leaf_25_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8657_ (.CLK(clknet_leaf_27_mclk),
     .D(_0238_),
-    .RESET_B(net283),
+    .RESET_B(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_out_type[17] ));
- sky130_fd_sc_hd__dfrtp_1 _8658_ (.CLK(clknet_leaf_25_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8658_ (.CLK(clknet_leaf_26_mclk),
     .D(_0239_),
-    .RESET_B(net283),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_out_type[18] ));
- sky130_fd_sc_hd__dfrtp_1 _8659_ (.CLK(clknet_leaf_26_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8659_ (.CLK(clknet_leaf_27_mclk),
     .D(_0240_),
-    .RESET_B(net283),
+    .RESET_B(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_out_type[19] ));
- sky130_fd_sc_hd__dfrtp_1 _8660_ (.CLK(clknet_leaf_4_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8660_ (.CLK(clknet_leaf_5_mclk),
     .D(_0241_),
-    .RESET_B(net284),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_out_type[20] ));
- sky130_fd_sc_hd__dfrtp_1 _8661_ (.CLK(clknet_leaf_4_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8661_ (.CLK(clknet_leaf_6_mclk),
     .D(_0242_),
-    .RESET_B(net284),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_out_type[21] ));
- sky130_fd_sc_hd__dfrtp_1 _8662_ (.CLK(clknet_leaf_4_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8662_ (.CLK(clknet_leaf_5_mclk),
     .D(_0243_),
     .RESET_B(net283),
     .VGND(vssd1),
@@ -153089,81 +153232,81 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_out_type[22] ));
- sky130_fd_sc_hd__dfrtp_1 _8663_ (.CLK(clknet_leaf_9_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8663_ (.CLK(clknet_leaf_12_mclk),
     .D(_0244_),
-    .RESET_B(net284),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_out_type[23] ));
- sky130_fd_sc_hd__dfstp_1 _8664_ (.CLK(clknet_leaf_33_mclk),
+ sky130_fd_sc_hd__dfstp_1 _8664_ (.CLK(clknet_leaf_34_mclk),
     .D(_0245_),
-    .SET_B(net283),
+    .SET_B(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_24[16] ));
- sky130_fd_sc_hd__dfstp_1 _8665_ (.CLK(clknet_leaf_27_mclk),
+ sky130_fd_sc_hd__dfstp_1 _8665_ (.CLK(clknet_leaf_25_mclk),
     .D(_0246_),
-    .SET_B(net284),
+    .SET_B(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_24[17] ));
- sky130_fd_sc_hd__dfrtp_1 _8666_ (.CLK(clknet_leaf_33_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8666_ (.CLK(clknet_leaf_34_mclk),
     .D(_0247_),
-    .RESET_B(net283),
+    .RESET_B(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_24[18] ));
- sky130_fd_sc_hd__dfrtp_1 _8667_ (.CLK(clknet_leaf_33_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8667_ (.CLK(clknet_leaf_34_mclk),
     .D(_0248_),
-    .RESET_B(net284),
+    .RESET_B(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_24[19] ));
- sky130_fd_sc_hd__dfrtp_1 _8668_ (.CLK(clknet_leaf_10_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8668_ (.CLK(clknet_leaf_14_mclk),
     .D(_0249_),
-    .RESET_B(net283),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_24[20] ));
- sky130_fd_sc_hd__dfrtp_1 _8669_ (.CLK(clknet_leaf_10_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8669_ (.CLK(clknet_leaf_12_mclk),
     .D(_0250_),
-    .RESET_B(net283),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_24[21] ));
- sky130_fd_sc_hd__dfrtp_1 _8670_ (.CLK(clknet_leaf_10_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8670_ (.CLK(clknet_leaf_12_mclk),
     .D(_0251_),
-    .RESET_B(net283),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_24[22] ));
- sky130_fd_sc_hd__dfrtp_1 _8671_ (.CLK(clknet_leaf_10_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8671_ (.CLK(clknet_leaf_13_mclk),
     .D(_0252_),
-    .RESET_B(net283),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_24[23] ));
- sky130_fd_sc_hd__dfrtp_1 _8672_ (.CLK(clknet_leaf_19_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8672_ (.CLK(clknet_leaf_20_mclk),
     .D(_0253_),
-    .RESET_B(net287),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -153171,23 +153314,23 @@
     .Q(\u_pinmux_reg.cfg_gpio_out_type[8] ));
  sky130_fd_sc_hd__dfrtp_1 _8673_ (.CLK(clknet_leaf_20_mclk),
     .D(_0254_),
-    .RESET_B(net288),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_out_type[9] ));
- sky130_fd_sc_hd__dfrtp_1 _8674_ (.CLK(clknet_leaf_20_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8674_ (.CLK(clknet_leaf_21_mclk),
     .D(_0255_),
-    .RESET_B(net282),
+    .RESET_B(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_out_type[10] ));
- sky130_fd_sc_hd__dfrtp_1 _8675_ (.CLK(clknet_leaf_20_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8675_ (.CLK(clknet_leaf_21_mclk),
     .D(_0256_),
-    .RESET_B(net282),
+    .RESET_B(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -153195,7 +153338,7 @@
     .Q(\u_pinmux_reg.cfg_gpio_out_type[11] ));
  sky130_fd_sc_hd__dfrtp_1 _8676_ (.CLK(clknet_leaf_87_mclk),
     .D(_0257_),
-    .RESET_B(net275),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -153203,7 +153346,7 @@
     .Q(\u_pinmux_reg.cfg_gpio_out_type[12] ));
  sky130_fd_sc_hd__dfrtp_1 _8677_ (.CLK(clknet_leaf_89_mclk),
     .D(_0258_),
-    .RESET_B(net288),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -153211,7 +153354,7 @@
     .Q(\u_pinmux_reg.cfg_gpio_out_type[13] ));
  sky130_fd_sc_hd__dfrtp_1 _8678_ (.CLK(clknet_leaf_91_mclk),
     .D(_0259_),
-    .RESET_B(net275),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -153219,55 +153362,55 @@
     .Q(\u_pinmux_reg.cfg_gpio_out_type[14] ));
  sky130_fd_sc_hd__dfrtp_1 _8679_ (.CLK(clknet_leaf_89_mclk),
     .D(_0260_),
-    .RESET_B(net288),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_out_type[15] ));
- sky130_fd_sc_hd__dfrtp_1 _8680_ (.CLK(clknet_leaf_26_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8680_ (.CLK(clknet_leaf_27_mclk),
     .D(_0261_),
-    .RESET_B(net283),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_27[16] ));
- sky130_fd_sc_hd__dfrtp_1 _8681_ (.CLK(clknet_leaf_26_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8681_ (.CLK(clknet_leaf_27_mclk),
     .D(_0262_),
-    .RESET_B(net283),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_27[17] ));
- sky130_fd_sc_hd__dfrtp_1 _8682_ (.CLK(clknet_leaf_24_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8682_ (.CLK(clknet_leaf_25_mclk),
     .D(_0263_),
-    .RESET_B(net283),
+    .RESET_B(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_27[18] ));
- sky130_fd_sc_hd__dfrtp_4 _8683_ (.CLK(clknet_leaf_26_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8683_ (.CLK(clknet_leaf_27_mclk),
     .D(_0264_),
-    .RESET_B(net284),
+    .RESET_B(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_27[19] ));
- sky130_fd_sc_hd__dfrtp_1 _8684_ (.CLK(clknet_leaf_10_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8684_ (.CLK(clknet_leaf_12_mclk),
     .D(_0265_),
-    .RESET_B(net283),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_27[20] ));
- sky130_fd_sc_hd__dfrtp_1 _8685_ (.CLK(clknet_leaf_9_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8685_ (.CLK(clknet_leaf_12_mclk),
     .D(_0266_),
-    .RESET_B(net284),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -153275,31 +153418,31 @@
     .Q(\u_pinmux_reg.reg_27[21] ));
  sky130_fd_sc_hd__dfrtp_1 _8686_ (.CLK(clknet_leaf_12_mclk),
     .D(_0267_),
-    .RESET_B(net282),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_27[22] ));
- sky130_fd_sc_hd__dfrtp_1 _8687_ (.CLK(clknet_leaf_9_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8687_ (.CLK(clknet_leaf_12_mclk),
     .D(_0268_),
-    .RESET_B(net284),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_27[23] ));
- sky130_fd_sc_hd__dfrtp_1 _8688_ (.CLK(clknet_leaf_60_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8688_ (.CLK(clknet_leaf_59_mclk),
     .D(_0269_),
-    .RESET_B(net286),
+    .RESET_B(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_24[8] ));
- sky130_fd_sc_hd__dfrtp_1 _8689_ (.CLK(clknet_leaf_59_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8689_ (.CLK(clknet_leaf_58_mclk),
     .D(_0270_),
-    .RESET_B(net286),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -153307,7 +153450,7 @@
     .Q(\u_pinmux_reg.reg_24[9] ));
  sky130_fd_sc_hd__dfrtp_1 _8690_ (.CLK(clknet_leaf_54_mclk),
     .D(_0271_),
-    .RESET_B(net282),
+    .RESET_B(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -153315,39 +153458,39 @@
     .Q(\u_pinmux_reg.reg_24[10] ));
  sky130_fd_sc_hd__dfrtp_1 _8691_ (.CLK(clknet_leaf_54_mclk),
     .D(_0272_),
-    .RESET_B(net281),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_24[11] ));
- sky130_fd_sc_hd__dfstp_1 _8692_ (.CLK(clknet_leaf_59_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8692_ (.CLK(clknet_leaf_61_mclk),
     .D(_0273_),
-    .SET_B(net288),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_24[12] ));
- sky130_fd_sc_hd__dfstp_1 _8693_ (.CLK(clknet_leaf_58_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8693_ (.CLK(clknet_leaf_58_mclk),
     .D(_0274_),
-    .SET_B(net286),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_24[13] ));
- sky130_fd_sc_hd__dfstp_1 _8694_ (.CLK(clknet_leaf_88_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8694_ (.CLK(clknet_leaf_88_mclk),
     .D(_0275_),
-    .SET_B(net288),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_24[14] ));
- sky130_fd_sc_hd__dfrtp_1 _8695_ (.CLK(clknet_leaf_88_mclk),
+ sky130_fd_sc_hd__dfstp_1 _8695_ (.CLK(clknet_leaf_87_mclk),
     .D(_0276_),
-    .RESET_B(net288),
+    .SET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -153355,7 +153498,7 @@
     .Q(\u_pinmux_reg.reg_24[15] ));
  sky130_fd_sc_hd__dfrtp_1 _8696_ (.CLK(clknet_leaf_89_mclk),
     .D(_0277_),
-    .RESET_B(net287),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -153363,23 +153506,23 @@
     .Q(\u_pinmux_reg.reg_27[8] ));
  sky130_fd_sc_hd__dfrtp_1 _8697_ (.CLK(clknet_leaf_57_mclk),
     .D(_0278_),
-    .RESET_B(net287),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_27[9] ));
- sky130_fd_sc_hd__dfrtp_1 _8698_ (.CLK(clknet_leaf_20_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8698_ (.CLK(clknet_leaf_21_mclk),
     .D(_0279_),
-    .RESET_B(net282),
+    .RESET_B(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_27[10] ));
- sky130_fd_sc_hd__dfrtp_1 _8699_ (.CLK(clknet_leaf_20_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8699_ (.CLK(clknet_leaf_21_mclk),
     .D(_0280_),
-    .RESET_B(net288),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -153387,7 +153530,7 @@
     .Q(\u_pinmux_reg.reg_27[11] ));
  sky130_fd_sc_hd__dfrtp_1 _8700_ (.CLK(clknet_leaf_87_mclk),
     .D(_0281_),
-    .RESET_B(net275),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -153395,7 +153538,7 @@
     .Q(\u_pinmux_reg.reg_27[12] ));
  sky130_fd_sc_hd__dfrtp_1 _8701_ (.CLK(clknet_leaf_89_mclk),
     .D(_0282_),
-    .RESET_B(net288),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -153403,7 +153546,7 @@
     .Q(\u_pinmux_reg.reg_27[13] ));
  sky130_fd_sc_hd__dfrtp_1 _8702_ (.CLK(clknet_leaf_90_mclk),
     .D(_0283_),
-    .RESET_B(net275),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -153411,13 +153554,13 @@
     .Q(\u_pinmux_reg.reg_27[14] ));
  sky130_fd_sc_hd__dfrtp_1 _8703_ (.CLK(clknet_leaf_89_mclk),
     .D(_0284_),
-    .RESET_B(net288),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_27[15] ));
- sky130_fd_sc_hd__dfrtp_1 _8704_ (.CLK(clknet_leaf_123_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8704_ (.CLK(clknet_leaf_125_mclk),
     .D(_0285_),
     .RESET_B(net276),
     .VGND(vssd1),
@@ -153425,9 +153568,9 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_25[24] ));
- sky130_fd_sc_hd__dfrtp_1 _8705_ (.CLK(clknet_leaf_124_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8705_ (.CLK(clknet_leaf_126_mclk),
     .D(_0286_),
-    .RESET_B(net288),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -153435,15 +153578,15 @@
     .Q(\u_pinmux_reg.reg_25[25] ));
  sky130_fd_sc_hd__dfrtp_1 _8706_ (.CLK(clknet_leaf_92_mclk),
     .D(_0287_),
-    .RESET_B(net276),
+    .RESET_B(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_25[26] ));
- sky130_fd_sc_hd__dfrtp_1 _8707_ (.CLK(clknet_leaf_92_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8707_ (.CLK(clknet_leaf_94_mclk),
     .D(_0288_),
-    .RESET_B(net276),
+    .RESET_B(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -153451,21 +153594,21 @@
     .Q(\u_pinmux_reg.reg_25[27] ));
  sky130_fd_sc_hd__dfrtp_1 _8708_ (.CLK(clknet_leaf_91_mclk),
     .D(_0289_),
-    .RESET_B(net276),
+    .RESET_B(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_25[28] ));
- sky130_fd_sc_hd__dfrtp_1 _8709_ (.CLK(clknet_leaf_91_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8709_ (.CLK(clknet_leaf_92_mclk),
     .D(_0290_),
-    .RESET_B(net276),
+    .RESET_B(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_25[29] ));
- sky130_fd_sc_hd__dfrtp_1 _8710_ (.CLK(clknet_leaf_90_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8710_ (.CLK(clknet_leaf_92_mclk),
     .D(_0291_),
     .RESET_B(net275),
     .VGND(vssd1),
@@ -153473,9 +153616,9 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_25[30] ));
- sky130_fd_sc_hd__dfrtp_1 _8711_ (.CLK(clknet_leaf_124_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8711_ (.CLK(clknet_leaf_126_mclk),
     .D(_0292_),
-    .RESET_B(net276),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -153483,39 +153626,39 @@
     .Q(\u_pinmux_reg.reg_25[31] ));
  sky130_fd_sc_hd__dfrtp_1 _8712_ (.CLK(clknet_leaf_93_mclk),
     .D(_0293_),
-    .RESET_B(net277),
+    .RESET_B(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_bist_ctrl_1[24] ));
- sky130_fd_sc_hd__dfrtp_1 _8713_ (.CLK(clknet_leaf_123_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8713_ (.CLK(clknet_leaf_125_mclk),
     .D(_0294_),
-    .RESET_B(net288),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_pinmux_reg.cfg_bist_ctrl_1[25] ));
- sky130_fd_sc_hd__dfrtp_1 _8714_ (.CLK(clknet_leaf_95_mclk),
-    .D(_0295_),
-    .RESET_B(net276),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_pinmux_reg.cfg_bist_ctrl_1[26] ));
- sky130_fd_sc_hd__dfrtp_1 _8715_ (.CLK(clknet_leaf_93_mclk),
-    .D(_0296_),
     .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .Q(\u_pinmux_reg.cfg_bist_ctrl_1[25] ));
+ sky130_fd_sc_hd__dfrtp_1 _8714_ (.CLK(clknet_leaf_92_mclk),
+    .D(_0295_),
+    .RESET_B(net275),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_pinmux_reg.cfg_bist_ctrl_1[26] ));
+ sky130_fd_sc_hd__dfrtp_1 _8715_ (.CLK(clknet_leaf_94_mclk),
+    .D(_0296_),
+    .RESET_B(net278),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_bist_ctrl_1[27] ));
- sky130_fd_sc_hd__dfrtp_1 _8716_ (.CLK(clknet_leaf_95_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8716_ (.CLK(clknet_leaf_96_mclk),
     .D(_0297_),
-    .RESET_B(net276),
+    .RESET_B(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -153523,39 +153666,39 @@
     .Q(\u_pinmux_reg.cfg_bist_ctrl_1[28] ));
  sky130_fd_sc_hd__dfrtp_1 _8717_ (.CLK(clknet_leaf_95_mclk),
     .D(_0298_),
-    .RESET_B(net276),
+    .RESET_B(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_bist_ctrl_1[29] ));
- sky130_fd_sc_hd__dfrtp_1 _8718_ (.CLK(clknet_leaf_95_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8718_ (.CLK(clknet_leaf_96_mclk),
     .D(_0299_),
-    .RESET_B(net276),
+    .RESET_B(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_bist_ctrl_1[30] ));
- sky130_fd_sc_hd__dfrtp_1 _8719_ (.CLK(clknet_leaf_92_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8719_ (.CLK(clknet_leaf_93_mclk),
     .D(_0300_),
-    .RESET_B(net276),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_bist_ctrl_1[31] ));
- sky130_fd_sc_hd__dfrtp_1 _8720_ (.CLK(clknet_leaf_22_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8720_ (.CLK(clknet_leaf_25_mclk),
     .D(_0301_),
-    .RESET_B(net283),
+    .RESET_B(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_glb_ctrl[16] ));
- sky130_fd_sc_hd__dfrtp_1 _8721_ (.CLK(clknet_leaf_25_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8721_ (.CLK(clknet_leaf_26_mclk),
     .D(_0302_),
-    .RESET_B(net282),
+    .RESET_B(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -153563,47 +153706,47 @@
     .Q(\u_pinmux_reg.cfg_glb_ctrl[17] ));
  sky130_fd_sc_hd__dfrtp_1 _8722_ (.CLK(clknet_leaf_24_mclk),
     .D(_0303_),
-    .RESET_B(net283),
+    .RESET_B(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_glb_ctrl[18] ));
- sky130_fd_sc_hd__dfrtp_1 _8723_ (.CLK(clknet_leaf_25_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8723_ (.CLK(clknet_leaf_22_mclk),
     .D(_0304_),
-    .RESET_B(net282),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_glb_ctrl[19] ));
- sky130_fd_sc_hd__dfrtp_1 _8724_ (.CLK(clknet_leaf_12_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8724_ (.CLK(clknet_leaf_14_mclk),
     .D(_0305_),
-    .RESET_B(net283),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_glb_ctrl[20] ));
- sky130_fd_sc_hd__dfrtp_1 _8725_ (.CLK(clknet_leaf_13_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8725_ (.CLK(clknet_leaf_14_mclk),
     .D(_0306_),
-    .RESET_B(net283),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_glb_ctrl[21] ));
- sky130_fd_sc_hd__dfrtp_1 _8726_ (.CLK(clknet_leaf_13_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8726_ (.CLK(clknet_leaf_15_mclk),
     .D(_0307_),
-    .RESET_B(net284),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_glb_ctrl[22] ));
- sky130_fd_sc_hd__dfrtp_1 _8727_ (.CLK(clknet_leaf_25_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8727_ (.CLK(clknet_leaf_26_mclk),
     .D(_0308_),
-    .RESET_B(net282),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -153619,13 +153762,13 @@
     .Q(\u_pinmux_reg.cfg_glb_ctrl[8] ));
  sky130_fd_sc_hd__dfrtp_4 _8729_ (.CLK(clknet_leaf_96_mclk),
     .D(_0310_),
-    .RESET_B(net276),
+    .RESET_B(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_glb_ctrl[9] ));
- sky130_fd_sc_hd__dfrtp_4 _8730_ (.CLK(clknet_leaf_83_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8730_ (.CLK(clknet_leaf_82_mclk),
     .D(_0311_),
     .RESET_B(net275),
     .VGND(vssd1),
@@ -153633,7 +153776,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_glb_ctrl[10] ));
- sky130_fd_sc_hd__dfrtp_4 _8731_ (.CLK(clknet_leaf_83_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8731_ (.CLK(clknet_leaf_82_mclk),
     .D(_0312_),
     .RESET_B(net275),
     .VGND(vssd1),
@@ -153641,7 +153784,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_glb_ctrl[11] ));
- sky130_fd_sc_hd__dfrtp_1 _8732_ (.CLK(clknet_leaf_83_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8732_ (.CLK(clknet_leaf_82_mclk),
     .D(_0313_),
     .RESET_B(net275),
     .VGND(vssd1),
@@ -153657,7 +153800,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_glb_ctrl[13] ));
- sky130_fd_sc_hd__dfrtp_1 _8734_ (.CLK(clknet_leaf_81_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8734_ (.CLK(clknet_leaf_82_mclk),
     .D(_0315_),
     .RESET_B(net275),
     .VGND(vssd1),
@@ -153665,7 +153808,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_glb_ctrl[14] ));
- sky130_fd_sc_hd__dfrtp_2 _8735_ (.CLK(clknet_leaf_82_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _8735_ (.CLK(clknet_leaf_81_mclk),
     .D(_0316_),
     .RESET_B(net275),
     .VGND(vssd1),
@@ -153673,41 +153816,41 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_glb_ctrl[15] ));
- sky130_fd_sc_hd__dfstp_4 _8736_ (.CLK(clknet_leaf_117_mclk),
+ sky130_fd_sc_hd__dfstp_4 _8736_ (.CLK(clknet_leaf_118_mclk),
     .D(_0317_),
-    .SET_B(net278),
+    .SET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net188));
- sky130_fd_sc_hd__dfrtp_4 _8737_ (.CLK(clknet_leaf_116_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8737_ (.CLK(clknet_leaf_117_mclk),
     .D(_0318_),
-    .RESET_B(net278),
+    .RESET_B(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net189));
- sky130_fd_sc_hd__dfstp_4 _8738_ (.CLK(clknet_leaf_117_mclk),
+ sky130_fd_sc_hd__dfstp_4 _8738_ (.CLK(clknet_leaf_118_mclk),
     .D(_0319_),
-    .SET_B(net278),
+    .SET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net190));
- sky130_fd_sc_hd__dfrtp_4 _8739_ (.CLK(clknet_leaf_116_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8739_ (.CLK(clknet_leaf_117_mclk),
     .D(_0320_),
-    .RESET_B(net278),
+    .RESET_B(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net191));
- sky130_fd_sc_hd__dfrtp_4 _8740_ (.CLK(clknet_leaf_112_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8740_ (.CLK(clknet_leaf_113_mclk),
     .D(_0321_),
-    .RESET_B(net279),
+    .RESET_B(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -153715,15 +153858,15 @@
     .Q(net192));
  sky130_fd_sc_hd__dfstp_4 _8741_ (.CLK(clknet_leaf_112_mclk),
     .D(_0322_),
-    .SET_B(net279),
+    .SET_B(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net193));
- sky130_fd_sc_hd__dfrtp_4 _8742_ (.CLK(clknet_leaf_113_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8742_ (.CLK(clknet_leaf_112_mclk),
     .D(_0323_),
-    .RESET_B(net279),
+    .RESET_B(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -153731,55 +153874,55 @@
     .Q(net195));
  sky130_fd_sc_hd__dfstp_4 _8743_ (.CLK(clknet_leaf_112_mclk),
     .D(_0324_),
-    .SET_B(net279),
+    .SET_B(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net196));
- sky130_fd_sc_hd__dfrtp_2 _8744_ (.CLK(clknet_leaf_118_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _8744_ (.CLK(clknet_leaf_119_mclk),
     .D(_0325_),
-    .RESET_B(net278),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm4_high[8] ));
- sky130_fd_sc_hd__dfrtp_2 _8745_ (.CLK(clknet_leaf_134_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _8745_ (.CLK(clknet_leaf_136_mclk),
     .D(_0326_),
-    .RESET_B(net278),
+    .RESET_B(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm4_high[9] ));
- sky130_fd_sc_hd__dfrtp_1 _8746_ (.CLK(clknet_leaf_133_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8746_ (.CLK(clknet_leaf_135_mclk),
     .D(_0327_),
-    .RESET_B(net278),
+    .RESET_B(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm4_high[10] ));
- sky130_fd_sc_hd__dfrtp_1 _8747_ (.CLK(clknet_leaf_116_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8747_ (.CLK(clknet_leaf_117_mclk),
     .D(_0328_),
-    .RESET_B(net278),
+    .RESET_B(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm4_high[11] ));
- sky130_fd_sc_hd__dfrtp_4 _8748_ (.CLK(clknet_leaf_108_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8748_ (.CLK(clknet_leaf_109_mclk),
     .D(_0329_),
-    .RESET_B(net279),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm4_high[12] ));
- sky130_fd_sc_hd__dfrtp_4 _8749_ (.CLK(clknet_leaf_112_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8749_ (.CLK(clknet_leaf_110_mclk),
     .D(_0330_),
-    .RESET_B(net279),
+    .RESET_B(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -153787,7 +153930,7 @@
     .Q(\u_pinmux_reg.cfg_pwm4_high[13] ));
  sky130_fd_sc_hd__dfrtp_4 _8750_ (.CLK(clknet_leaf_112_mclk),
     .D(_0331_),
-    .RESET_B(net279),
+    .RESET_B(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -153795,23 +153938,23 @@
     .Q(\u_pinmux_reg.cfg_pwm4_high[14] ));
  sky130_fd_sc_hd__dfrtp_4 _8751_ (.CLK(clknet_leaf_110_mclk),
     .D(_0332_),
-    .RESET_B(net278),
+    .RESET_B(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm4_high[15] ));
- sky130_fd_sc_hd__dfrtp_4 _8752_ (.CLK(clknet_leaf_19_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8752_ (.CLK(clknet_leaf_127_mclk),
     .D(_0333_),
-    .RESET_B(net287),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_int_mask[8] ));
- sky130_fd_sc_hd__dfrtp_2 _8753_ (.CLK(clknet_leaf_125_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _8753_ (.CLK(clknet_leaf_126_mclk),
     .D(_0334_),
-    .RESET_B(net288),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -153819,23 +153962,23 @@
     .Q(\u_pinmux_reg.cfg_gpio_int_mask[9] ));
  sky130_fd_sc_hd__dfrtp_1 _8754_ (.CLK(clknet_leaf_19_mclk),
     .D(_0335_),
-    .RESET_B(net288),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_int_mask[10] ));
- sky130_fd_sc_hd__dfrtp_1 _8755_ (.CLK(clknet_leaf_18_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8755_ (.CLK(clknet_leaf_19_mclk),
     .D(_0336_),
-    .RESET_B(net287),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_int_mask[11] ));
- sky130_fd_sc_hd__dfrtp_4 _8756_ (.CLK(clknet_leaf_91_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8756_ (.CLK(clknet_leaf_90_mclk),
     .D(_0337_),
-    .RESET_B(net275),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -153843,7 +153986,7 @@
     .Q(\u_pinmux_reg.cfg_gpio_int_mask[12] ));
  sky130_fd_sc_hd__dfrtp_1 _8757_ (.CLK(clknet_leaf_90_mclk),
     .D(_0338_),
-    .RESET_B(net275),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -153851,63 +153994,63 @@
     .Q(\u_pinmux_reg.cfg_gpio_int_mask[13] ));
  sky130_fd_sc_hd__dfrtp_4 _8758_ (.CLK(clknet_leaf_90_mclk),
     .D(_0339_),
-    .RESET_B(net275),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_int_mask[14] ));
- sky130_fd_sc_hd__dfrtp_4 _8759_ (.CLK(clknet_leaf_90_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8759_ (.CLK(clknet_leaf_89_mclk),
     .D(_0340_),
-    .RESET_B(net275),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_int_mask[15] ));
- sky130_fd_sc_hd__dfstp_4 _8760_ (.CLK(clknet_leaf_19_mclk),
+ sky130_fd_sc_hd__dfstp_4 _8760_ (.CLK(clknet_leaf_20_mclk),
     .D(_0341_),
-    .SET_B(net287),
+    .SET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net202));
- sky130_fd_sc_hd__dfrtp_4 _8761_ (.CLK(clknet_leaf_125_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8761_ (.CLK(clknet_leaf_127_mclk),
     .D(_0342_),
-    .RESET_B(net287),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net203));
- sky130_fd_sc_hd__dfstp_4 _8762_ (.CLK(clknet_leaf_17_mclk),
+ sky130_fd_sc_hd__dfstp_4 _8762_ (.CLK(clknet_leaf_18_mclk),
     .D(_0343_),
-    .SET_B(net288),
+    .SET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net173));
- sky130_fd_sc_hd__dfrtp_4 _8763_ (.CLK(clknet_leaf_17_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8763_ (.CLK(clknet_leaf_18_mclk),
     .D(_0344_),
-    .RESET_B(net288),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net174));
- sky130_fd_sc_hd__dfrtp_4 _8764_ (.CLK(clknet_leaf_112_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8764_ (.CLK(clknet_leaf_118_mclk),
     .D(_0345_),
-    .RESET_B(net279),
+    .RESET_B(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net175));
- sky130_fd_sc_hd__dfstp_4 _8765_ (.CLK(clknet_leaf_116_mclk),
+ sky130_fd_sc_hd__dfstp_4 _8765_ (.CLK(clknet_leaf_117_mclk),
     .D(_0346_),
-    .SET_B(net278),
+    .SET_B(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -153915,29 +154058,29 @@
     .Q(net176));
  sky130_fd_sc_hd__dfrtp_4 _8766_ (.CLK(clknet_leaf_114_mclk),
     .D(_0347_),
-    .RESET_B(net279),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net177));
- sky130_fd_sc_hd__dfstp_4 _8767_ (.CLK(clknet_leaf_112_mclk),
+ sky130_fd_sc_hd__dfstp_4 _8767_ (.CLK(clknet_leaf_115_mclk),
     .D(_0348_),
-    .SET_B(net279),
+    .SET_B(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net178));
- sky130_fd_sc_hd__dfrtp_4 _8768_ (.CLK(clknet_leaf_30_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8768_ (.CLK(clknet_leaf_31_mclk),
     .D(_0349_),
-    .RESET_B(net285),
+    .RESET_B(net289),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm4_high[0] ));
- sky130_fd_sc_hd__dfrtp_4 _8769_ (.CLK(clknet_leaf_28_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8769_ (.CLK(clknet_leaf_29_mclk),
     .D(_0350_),
     .RESET_B(net289),
     .VGND(vssd1),
@@ -153945,15 +154088,15 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm4_high[1] ));
- sky130_fd_sc_hd__dfrtp_4 _8770_ (.CLK(clknet_leaf_30_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8770_ (.CLK(clknet_leaf_31_mclk),
     .D(_0351_),
-    .RESET_B(net285),
+    .RESET_B(net289),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm4_high[2] ));
- sky130_fd_sc_hd__dfrtp_4 _8771_ (.CLK(clknet_leaf_29_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8771_ (.CLK(clknet_leaf_30_mclk),
     .D(_0352_),
     .RESET_B(net289),
     .VGND(vssd1),
@@ -153961,15 +154104,15 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm4_high[3] ));
- sky130_fd_sc_hd__dfrtp_4 _8772_ (.CLK(clknet_leaf_5_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8772_ (.CLK(clknet_leaf_7_mclk),
     .D(_0353_),
-    .RESET_B(net289),
+    .RESET_B(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm4_high[4] ));
- sky130_fd_sc_hd__dfrtp_4 _8773_ (.CLK(clknet_leaf_5_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8773_ (.CLK(clknet_leaf_8_mclk),
     .D(_0354_),
     .RESET_B(net289),
     .VGND(vssd1),
@@ -153977,7 +154120,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm4_high[5] ));
- sky130_fd_sc_hd__dfrtp_4 _8774_ (.CLK(clknet_leaf_0_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8774_ (.CLK(clknet_leaf_1_mclk),
     .D(_0355_),
     .RESET_B(net289),
     .VGND(vssd1),
@@ -153985,15 +154128,15 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm4_high[6] ));
- sky130_fd_sc_hd__dfrtp_4 _8775_ (.CLK(clknet_leaf_5_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8775_ (.CLK(clknet_leaf_7_mclk),
     .D(_0356_),
-    .RESET_B(net289),
+    .RESET_B(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm4_high[7] ));
- sky130_fd_sc_hd__dfrtp_4 _8776_ (.CLK(clknet_leaf_30_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8776_ (.CLK(clknet_leaf_32_mclk),
     .D(_0357_),
     .RESET_B(net289),
     .VGND(vssd1),
@@ -154001,7 +154144,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net179));
- sky130_fd_sc_hd__dfstp_4 _8777_ (.CLK(clknet_leaf_28_mclk),
+ sky130_fd_sc_hd__dfstp_4 _8777_ (.CLK(clknet_leaf_29_mclk),
     .D(_0358_),
     .SET_B(net289),
     .VGND(vssd1),
@@ -154009,7 +154152,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net180));
- sky130_fd_sc_hd__dfrtp_4 _8778_ (.CLK(clknet_leaf_29_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8778_ (.CLK(clknet_leaf_31_mclk),
     .D(_0359_),
     .RESET_B(net289),
     .VGND(vssd1),
@@ -154025,7 +154168,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net182));
- sky130_fd_sc_hd__dfstp_2 _8780_ (.CLK(clknet_leaf_0_mclk),
+ sky130_fd_sc_hd__dfstp_2 _8780_ (.CLK(clknet_leaf_1_mclk),
     .D(_0361_),
     .SET_B(net289),
     .VGND(vssd1),
@@ -154033,7 +154176,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net184));
- sky130_fd_sc_hd__dfrtp_4 _8781_ (.CLK(clknet_leaf_5_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8781_ (.CLK(clknet_leaf_8_mclk),
     .D(_0362_),
     .RESET_B(net289),
     .VGND(vssd1),
@@ -154041,7 +154184,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net185));
- sky130_fd_sc_hd__dfstp_2 _8782_ (.CLK(clknet_leaf_0_mclk),
+ sky130_fd_sc_hd__dfstp_2 _8782_ (.CLK(clknet_leaf_1_mclk),
     .D(_0363_),
     .SET_B(net289),
     .VGND(vssd1),
@@ -154049,7 +154192,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net186));
- sky130_fd_sc_hd__dfrtp_4 _8783_ (.CLK(clknet_leaf_5_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8783_ (.CLK(clknet_leaf_8_mclk),
     .D(_0364_),
     .RESET_B(net289),
     .VGND(vssd1),
@@ -154057,39 +154200,39 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net187));
- sky130_fd_sc_hd__dfrtp_4 _8784_ (.CLK(clknet_leaf_29_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8784_ (.CLK(clknet_leaf_30_mclk),
     .D(_0365_),
-    .RESET_B(net285),
+    .RESET_B(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_int_mask[16] ));
- sky130_fd_sc_hd__dfrtp_2 _8785_ (.CLK(clknet_leaf_27_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _8785_ (.CLK(clknet_leaf_28_mclk),
     .D(_0366_),
-    .RESET_B(net285),
+    .RESET_B(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_int_mask[17] ));
- sky130_fd_sc_hd__dfrtp_2 _8786_ (.CLK(clknet_leaf_29_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _8786_ (.CLK(clknet_leaf_30_mclk),
     .D(_0367_),
-    .RESET_B(net285),
+    .RESET_B(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_int_mask[18] ));
- sky130_fd_sc_hd__dfrtp_4 _8787_ (.CLK(clknet_leaf_29_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8787_ (.CLK(clknet_leaf_30_mclk),
     .D(_0368_),
-    .RESET_B(net285),
+    .RESET_B(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_int_mask[19] ));
- sky130_fd_sc_hd__dfrtp_4 _8788_ (.CLK(clknet_leaf_5_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8788_ (.CLK(clknet_leaf_8_mclk),
     .D(_0369_),
     .RESET_B(net289),
     .VGND(vssd1),
@@ -154097,7 +154240,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_int_mask[20] ));
- sky130_fd_sc_hd__dfrtp_4 _8789_ (.CLK(clknet_leaf_5_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8789_ (.CLK(clknet_leaf_8_mclk),
     .D(_0370_),
     .RESET_B(net289),
     .VGND(vssd1),
@@ -154105,7 +154248,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_int_mask[21] ));
- sky130_fd_sc_hd__dfrtp_4 _8790_ (.CLK(clknet_leaf_6_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8790_ (.CLK(clknet_leaf_8_mclk),
     .D(_0371_),
     .RESET_B(net289),
     .VGND(vssd1),
@@ -154113,7 +154256,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_int_mask[22] ));
- sky130_fd_sc_hd__dfrtp_4 _8791_ (.CLK(clknet_leaf_8_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8791_ (.CLK(clknet_leaf_10_mclk),
     .D(_0372_),
     .RESET_B(net289),
     .VGND(vssd1),
@@ -154121,71 +154264,71 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_int_mask[23] ));
- sky130_fd_sc_hd__dfrtp_2 _8792_ (.CLK(clknet_leaf_111_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _8792_ (.CLK(clknet_leaf_112_mclk),
     .D(_0373_),
-    .RESET_B(net278),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_int_mask[24] ));
- sky130_fd_sc_hd__dfrtp_4 _8793_ (.CLK(clknet_leaf_133_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8793_ (.CLK(clknet_leaf_119_mclk),
     .D(_0374_),
-    .RESET_B(net276),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_int_mask[25] ));
- sky130_fd_sc_hd__dfrtp_4 _8794_ (.CLK(clknet_leaf_111_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8794_ (.CLK(clknet_leaf_121_mclk),
     .D(_0375_),
-    .RESET_B(net278),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_int_mask[26] ));
- sky130_fd_sc_hd__dfrtp_2 _8795_ (.CLK(clknet_leaf_119_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _8795_ (.CLK(clknet_leaf_120_mclk),
     .D(_0376_),
-    .RESET_B(net278),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_int_mask[27] ));
- sky130_fd_sc_hd__dfrtp_4 _8796_ (.CLK(clknet_leaf_93_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8796_ (.CLK(clknet_leaf_94_mclk),
     .D(_0377_),
-    .RESET_B(net277),
+    .RESET_B(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_int_mask[28] ));
- sky130_fd_sc_hd__dfrtp_4 _8797_ (.CLK(clknet_leaf_93_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8797_ (.CLK(clknet_leaf_94_mclk),
     .D(_0378_),
-    .RESET_B(net277),
+    .RESET_B(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_int_mask[29] ));
- sky130_fd_sc_hd__dfrtp_4 _8798_ (.CLK(clknet_leaf_92_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8798_ (.CLK(clknet_leaf_93_mclk),
     .D(_0379_),
-    .RESET_B(net276),
+    .RESET_B(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_int_mask[30] ));
- sky130_fd_sc_hd__dfrtp_1 _8799_ (.CLK(clknet_leaf_93_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8799_ (.CLK(clknet_leaf_94_mclk),
     .D(_0380_),
-    .RESET_B(net277),
+    .RESET_B(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_int_mask[31] ));
- sky130_fd_sc_hd__dfrtp_2 _8800_ (.CLK(clknet_leaf_31_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _8800_ (.CLK(clknet_leaf_32_mclk),
     .D(_0381_),
     .RESET_B(net289),
     .VGND(vssd1),
@@ -154201,7 +154344,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_negedge_int_sel[17] ));
- sky130_fd_sc_hd__dfrtp_2 _8802_ (.CLK(clknet_leaf_30_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _8802_ (.CLK(clknet_leaf_31_mclk),
     .D(_0383_),
     .RESET_B(net289),
     .VGND(vssd1),
@@ -154209,7 +154352,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_negedge_int_sel[18] ));
- sky130_fd_sc_hd__dfrtp_2 _8803_ (.CLK(clknet_leaf_31_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8803_ (.CLK(clknet_leaf_32_mclk),
     .D(_0384_),
     .RESET_B(net289),
     .VGND(vssd1),
@@ -154217,7 +154360,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_negedge_int_sel[19] ));
- sky130_fd_sc_hd__dfrtp_2 _8804_ (.CLK(clknet_leaf_6_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _8804_ (.CLK(clknet_leaf_8_mclk),
     .D(_0385_),
     .RESET_B(net289),
     .VGND(vssd1),
@@ -154225,7 +154368,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_negedge_int_sel[20] ));
- sky130_fd_sc_hd__dfrtp_2 _8805_ (.CLK(clknet_leaf_8_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _8805_ (.CLK(clknet_leaf_10_mclk),
     .D(_0386_),
     .RESET_B(net289),
     .VGND(vssd1),
@@ -154233,7 +154376,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_negedge_int_sel[21] ));
- sky130_fd_sc_hd__dfrtp_2 _8806_ (.CLK(clknet_leaf_6_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _8806_ (.CLK(clknet_leaf_8_mclk),
     .D(_0387_),
     .RESET_B(net289),
     .VGND(vssd1),
@@ -154241,7 +154384,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_negedge_int_sel[22] ));
- sky130_fd_sc_hd__dfrtp_2 _8807_ (.CLK(clknet_leaf_8_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _8807_ (.CLK(clknet_leaf_10_mclk),
     .D(_0388_),
     .RESET_B(net289),
     .VGND(vssd1),
@@ -154249,15 +154392,15 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_negedge_int_sel[23] ));
- sky130_fd_sc_hd__dfrtp_2 _8808_ (.CLK(clknet_leaf_31_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _8808_ (.CLK(clknet_leaf_32_mclk),
     .D(_0389_),
-    .RESET_B(net285),
+    .RESET_B(net289),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_posedge_int_sel[16] ));
- sky130_fd_sc_hd__dfrtp_4 _8809_ (.CLK(clknet_leaf_32_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8809_ (.CLK(clknet_leaf_33_mclk),
     .D(_0390_),
     .RESET_B(net285),
     .VGND(vssd1),
@@ -154265,23 +154408,23 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_posedge_int_sel[17] ));
- sky130_fd_sc_hd__dfrtp_2 _8810_ (.CLK(clknet_leaf_31_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _8810_ (.CLK(clknet_leaf_32_mclk),
     .D(_0391_),
-    .RESET_B(net285),
+    .RESET_B(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_posedge_int_sel[18] ));
- sky130_fd_sc_hd__dfrtp_4 _8811_ (.CLK(clknet_leaf_31_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8811_ (.CLK(clknet_leaf_32_mclk),
     .D(_0392_),
-    .RESET_B(net285),
+    .RESET_B(net289),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_posedge_int_sel[19] ));
- sky130_fd_sc_hd__dfrtp_2 _8812_ (.CLK(clknet_leaf_7_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _8812_ (.CLK(clknet_leaf_10_mclk),
     .D(_0393_),
     .RESET_B(net289),
     .VGND(vssd1),
@@ -154289,7 +154432,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_posedge_int_sel[20] ));
- sky130_fd_sc_hd__dfrtp_2 _8813_ (.CLK(clknet_leaf_8_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _8813_ (.CLK(clknet_leaf_10_mclk),
     .D(_0394_),
     .RESET_B(net289),
     .VGND(vssd1),
@@ -154297,49 +154440,49 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_posedge_int_sel[21] ));
- sky130_fd_sc_hd__dfrtp_2 _8814_ (.CLK(clknet_leaf_7_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _8814_ (.CLK(clknet_leaf_9_mclk),
     .D(_0395_),
-    .RESET_B(net285),
+    .RESET_B(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_posedge_int_sel[22] ));
- sky130_fd_sc_hd__dfrtp_1 _8815_ (.CLK(clknet_leaf_8_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8815_ (.CLK(clknet_leaf_11_mclk),
     .D(_0396_),
-    .RESET_B(net285),
+    .RESET_B(net289),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_posedge_int_sel[23] ));
- sky130_fd_sc_hd__dfrtp_4 _8816_ (.CLK(clknet_leaf_125_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8816_ (.CLK(clknet_leaf_20_mclk),
     .D(_0397_),
-    .RESET_B(net287),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm4_low[8] ));
- sky130_fd_sc_hd__dfrtp_4 _8817_ (.CLK(clknet_leaf_125_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8817_ (.CLK(clknet_leaf_127_mclk),
     .D(_0398_),
-    .RESET_B(net288),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm4_low[9] ));
- sky130_fd_sc_hd__dfrtp_4 _8818_ (.CLK(clknet_leaf_19_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8818_ (.CLK(clknet_leaf_20_mclk),
     .D(_0399_),
-    .RESET_B(net287),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm4_low[10] ));
- sky130_fd_sc_hd__dfrtp_4 _8819_ (.CLK(clknet_leaf_19_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8819_ (.CLK(clknet_leaf_20_mclk),
     .D(_0400_),
-    .RESET_B(net287),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -154347,71 +154490,71 @@
     .Q(\u_pinmux_reg.cfg_pwm4_low[11] ));
  sky130_fd_sc_hd__dfrtp_4 _8820_ (.CLK(clknet_leaf_114_mclk),
     .D(_0401_),
-    .RESET_B(net279),
+    .RESET_B(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm4_low[12] ));
- sky130_fd_sc_hd__dfrtp_4 _8821_ (.CLK(clknet_leaf_116_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8821_ (.CLK(clknet_leaf_115_mclk),
     .D(_0402_),
-    .RESET_B(net278),
+    .RESET_B(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm4_low[13] ));
- sky130_fd_sc_hd__dfrtp_4 _8822_ (.CLK(clknet_leaf_111_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8822_ (.CLK(clknet_leaf_112_mclk),
     .D(_0403_),
-    .RESET_B(net279),
+    .RESET_B(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm4_low[14] ));
- sky130_fd_sc_hd__dfrtp_4 _8823_ (.CLK(clknet_leaf_117_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8823_ (.CLK(clknet_leaf_112_mclk),
     .D(_0404_),
-    .RESET_B(net279),
+    .RESET_B(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm4_low[15] ));
- sky130_fd_sc_hd__dfrtp_4 _8824_ (.CLK(clknet_leaf_119_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8824_ (.CLK(clknet_leaf_112_mclk),
     .D(_0405_),
-    .RESET_B(net278),
+    .RESET_B(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_posedge_int_sel[24] ));
- sky130_fd_sc_hd__dfrtp_2 _8825_ (.CLK(clknet_leaf_117_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _8825_ (.CLK(clknet_leaf_118_mclk),
     .D(_0406_),
-    .RESET_B(net278),
+    .RESET_B(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_posedge_int_sel[25] ));
- sky130_fd_sc_hd__dfrtp_2 _8826_ (.CLK(clknet_leaf_111_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _8826_ (.CLK(clknet_leaf_112_mclk),
     .D(_0407_),
-    .RESET_B(net278),
+    .RESET_B(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_posedge_int_sel[26] ));
- sky130_fd_sc_hd__dfrtp_2 _8827_ (.CLK(clknet_leaf_111_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _8827_ (.CLK(clknet_leaf_112_mclk),
     .D(_0408_),
-    .RESET_B(net278),
+    .RESET_B(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_posedge_int_sel[27] ));
- sky130_fd_sc_hd__dfrtp_4 _8828_ (.CLK(clknet_leaf_110_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8828_ (.CLK(clknet_leaf_111_mclk),
     .D(_0409_),
-    .RESET_B(net278),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -154419,15 +154562,15 @@
     .Q(\u_gpio_intr.cfg_gpio_posedge_int_sel[28] ));
  sky130_fd_sc_hd__dfrtp_4 _8829_ (.CLK(clknet_leaf_104_mclk),
     .D(_0410_),
-    .RESET_B(net278),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_posedge_int_sel[29] ));
- sky130_fd_sc_hd__dfrtp_4 _8830_ (.CLK(clknet_leaf_109_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8830_ (.CLK(clknet_leaf_110_mclk),
     .D(_0411_),
-    .RESET_B(net278),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -154435,71 +154578,71 @@
     .Q(\u_gpio_intr.cfg_gpio_posedge_int_sel[30] ));
  sky130_fd_sc_hd__dfrtp_4 _8831_ (.CLK(clknet_leaf_104_mclk),
     .D(_0412_),
-    .RESET_B(net278),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_posedge_int_sel[31] ));
- sky130_fd_sc_hd__dfrtp_1 _8832_ (.CLK(clknet_leaf_120_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8832_ (.CLK(clknet_leaf_121_mclk),
     .D(_0413_),
-    .RESET_B(net276),
+    .RESET_B(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_negedge_int_sel[24] ));
- sky130_fd_sc_hd__dfrtp_4 _8833_ (.CLK(clknet_leaf_119_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8833_ (.CLK(clknet_leaf_121_mclk),
     .D(_0414_),
-    .RESET_B(net278),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_negedge_int_sel[25] ));
- sky130_fd_sc_hd__dfrtp_2 _8834_ (.CLK(clknet_leaf_110_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _8834_ (.CLK(clknet_leaf_111_mclk),
     .D(_0415_),
-    .RESET_B(net277),
+    .RESET_B(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_negedge_int_sel[26] ));
- sky130_fd_sc_hd__dfrtp_4 _8835_ (.CLK(clknet_leaf_110_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8835_ (.CLK(clknet_leaf_111_mclk),
     .D(_0416_),
-    .RESET_B(net277),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_negedge_int_sel[27] ));
- sky130_fd_sc_hd__dfrtp_4 _8836_ (.CLK(clknet_leaf_100_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8836_ (.CLK(clknet_leaf_101_mclk),
     .D(_0417_),
-    .RESET_B(net278),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_negedge_int_sel[28] ));
- sky130_fd_sc_hd__dfrtp_4 _8837_ (.CLK(clknet_leaf_101_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8837_ (.CLK(clknet_leaf_102_mclk),
     .D(_0418_),
-    .RESET_B(net278),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_negedge_int_sel[29] ));
- sky130_fd_sc_hd__dfrtp_4 _8838_ (.CLK(clknet_leaf_102_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8838_ (.CLK(clknet_leaf_100_mclk),
     .D(_0419_),
-    .RESET_B(net277),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_negedge_int_sel[30] ));
- sky130_fd_sc_hd__dfrtp_4 _8839_ (.CLK(clknet_leaf_99_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8839_ (.CLK(clknet_leaf_100_mclk),
     .D(_0420_),
-    .RESET_B(net277),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -154507,7 +154650,7 @@
     .Q(\u_gpio_intr.cfg_gpio_negedge_int_sel[31] ));
  sky130_fd_sc_hd__dfrtp_1 _8840_ (.CLK(clknet_leaf_57_mclk),
     .D(_0421_),
-    .RESET_B(net288),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -154515,23 +154658,23 @@
     .Q(\u_gpio_intr.cfg_gpio_posedge_int_sel[8] ));
  sky130_fd_sc_hd__dfrtp_1 _8841_ (.CLK(clknet_leaf_57_mclk),
     .D(_0422_),
-    .RESET_B(net287),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_posedge_int_sel[9] ));
- sky130_fd_sc_hd__dfrtp_1 _8842_ (.CLK(clknet_leaf_22_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8842_ (.CLK(clknet_leaf_23_mclk),
     .D(_0423_),
-    .RESET_B(net282),
+    .RESET_B(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_posedge_int_sel[10] ));
- sky130_fd_sc_hd__dfrtp_1 _8843_ (.CLK(clknet_leaf_22_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8843_ (.CLK(clknet_leaf_23_mclk),
     .D(_0424_),
-    .RESET_B(net282),
+    .RESET_B(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -154539,23 +154682,23 @@
     .Q(\u_gpio_intr.cfg_gpio_posedge_int_sel[11] ));
  sky130_fd_sc_hd__dfrtp_1 _8844_ (.CLK(clknet_leaf_85_mclk),
     .D(_0425_),
-    .RESET_B(net288),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_posedge_int_sel[12] ));
- sky130_fd_sc_hd__dfrtp_2 _8845_ (.CLK(clknet_leaf_88_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _8845_ (.CLK(clknet_leaf_58_mclk),
     .D(_0426_),
-    .RESET_B(net287),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_posedge_int_sel[13] ));
- sky130_fd_sc_hd__dfrtp_1 _8846_ (.CLK(clknet_leaf_88_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8846_ (.CLK(clknet_leaf_87_mclk),
     .D(_0427_),
-    .RESET_B(net275),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -154563,31 +154706,31 @@
     .Q(\u_gpio_intr.cfg_gpio_posedge_int_sel[14] ));
  sky130_fd_sc_hd__dfrtp_1 _8847_ (.CLK(clknet_leaf_87_mclk),
     .D(_0428_),
-    .RESET_B(net275),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_posedge_int_sel[15] ));
- sky130_fd_sc_hd__dfrtp_1 _8848_ (.CLK(clknet_leaf_66_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8848_ (.CLK(clknet_leaf_65_mclk),
     .D(_0429_),
-    .RESET_B(net287),
+    .RESET_B(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_15[24] ));
- sky130_fd_sc_hd__dfrtp_1 _8849_ (.CLK(clknet_leaf_124_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8849_ (.CLK(clknet_leaf_126_mclk),
     .D(_0430_),
-    .RESET_B(net288),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_15[25] ));
- sky130_fd_sc_hd__dfrtp_1 _8850_ (.CLK(clknet_leaf_65_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8850_ (.CLK(clknet_leaf_64_mclk),
     .D(_0431_),
-    .RESET_B(net287),
+    .RESET_B(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -154595,175 +154738,175 @@
     .Q(\u_pinmux_reg.reg_15[26] ));
  sky130_fd_sc_hd__dfrtp_1 _8851_ (.CLK(clknet_leaf_66_mclk),
     .D(_0432_),
-    .RESET_B(net287),
+    .RESET_B(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_15[27] ));
- sky130_fd_sc_hd__dfrtp_2 _8852_ (.CLK(clknet_leaf_74_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _8852_ (.CLK(clknet_leaf_72_mclk),
     .D(_0433_),
-    .RESET_B(net286),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_15[28] ));
- sky130_fd_sc_hd__dfrtp_2 _8853_ (.CLK(clknet_leaf_77_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _8853_ (.CLK(clknet_leaf_74_mclk),
     .D(_0434_),
-    .RESET_B(net286),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_15[29] ));
- sky130_fd_sc_hd__dfrtp_2 _8854_ (.CLK(clknet_leaf_96_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8854_ (.CLK(clknet_leaf_97_mclk),
     .D(_0435_),
-    .RESET_B(net276),
+    .RESET_B(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_15[30] ));
- sky130_fd_sc_hd__dfrtp_2 _8855_ (.CLK(clknet_leaf_94_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _8855_ (.CLK(clknet_leaf_95_mclk),
     .D(_0436_),
-    .RESET_B(net276),
+    .RESET_B(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_15[31] ));
- sky130_fd_sc_hd__dfrtp_1 _8856_ (.CLK(clknet_leaf_118_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8856_ (.CLK(clknet_leaf_119_mclk),
     .D(_0437_),
-    .RESET_B(net276),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_multi_func_sel[24] ));
- sky130_fd_sc_hd__dfrtp_1 _8857_ (.CLK(clknet_leaf_122_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8857_ (.CLK(clknet_leaf_124_mclk),
     .D(_0438_),
-    .RESET_B(net280),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_multi_func_sel[25] ));
- sky130_fd_sc_hd__dfrtp_1 _8858_ (.CLK(clknet_leaf_132_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8858_ (.CLK(clknet_leaf_134_mclk),
     .D(_0439_),
-    .RESET_B(net276),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_multi_func_sel[26] ));
- sky130_fd_sc_hd__dfrtp_1 _8859_ (.CLK(clknet_leaf_118_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8859_ (.CLK(clknet_leaf_119_mclk),
     .D(_0440_),
-    .RESET_B(net276),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_multi_func_sel[27] ));
- sky130_fd_sc_hd__dfrtp_4 _8860_ (.CLK(clknet_leaf_100_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8860_ (.CLK(clknet_leaf_101_mclk),
     .D(_0441_),
-    .RESET_B(net278),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_multi_func_sel[28] ));
- sky130_fd_sc_hd__dfrtp_2 _8861_ (.CLK(clknet_leaf_102_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8861_ (.CLK(clknet_leaf_102_mclk),
     .D(_0442_),
-    .RESET_B(net278),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_multi_func_sel[29] ));
- sky130_fd_sc_hd__dfrtp_2 _8862_ (.CLK(clknet_leaf_99_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _8862_ (.CLK(clknet_leaf_100_mclk),
     .D(_0443_),
-    .RESET_B(net277),
+    .RESET_B(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_multi_func_sel[30] ));
- sky130_fd_sc_hd__dfrtp_4 _8863_ (.CLK(clknet_leaf_99_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8863_ (.CLK(clknet_leaf_100_mclk),
     .D(_0444_),
-    .RESET_B(net277),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_multi_func_sel[31] ));
- sky130_fd_sc_hd__dfrtp_4 _8864_ (.CLK(clknet_leaf_97_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8864_ (.CLK(clknet_leaf_98_mclk),
     .D(_0445_),
-    .RESET_B(net277),
+    .RESET_B(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm5_high[8] ));
- sky130_fd_sc_hd__dfrtp_4 _8865_ (.CLK(clknet_leaf_96_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8865_ (.CLK(clknet_leaf_97_mclk),
     .D(_0446_),
-    .RESET_B(net277),
+    .RESET_B(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm5_high[9] ));
- sky130_fd_sc_hd__dfrtp_4 _8866_ (.CLK(clknet_leaf_96_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8866_ (.CLK(clknet_leaf_97_mclk),
     .D(_0447_),
-    .RESET_B(net277),
+    .RESET_B(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm5_high[10] ));
- sky130_fd_sc_hd__dfrtp_2 _8867_ (.CLK(clknet_leaf_96_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _8867_ (.CLK(clknet_leaf_97_mclk),
     .D(_0448_),
-    .RESET_B(net277),
+    .RESET_B(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm5_high[11] ));
- sky130_fd_sc_hd__dfrtp_4 _8868_ (.CLK(clknet_leaf_99_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8868_ (.CLK(clknet_leaf_100_mclk),
     .D(_0449_),
-    .RESET_B(net277),
+    .RESET_B(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm5_high[12] ));
- sky130_fd_sc_hd__dfrtp_2 _8869_ (.CLK(clknet_leaf_99_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _8869_ (.CLK(clknet_leaf_100_mclk),
     .D(_0450_),
-    .RESET_B(net277),
+    .RESET_B(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm5_high[13] ));
- sky130_fd_sc_hd__dfrtp_4 _8870_ (.CLK(clknet_leaf_97_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8870_ (.CLK(clknet_leaf_98_mclk),
     .D(_0451_),
-    .RESET_B(net277),
+    .RESET_B(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm5_high[14] ));
- sky130_fd_sc_hd__dfrtp_4 _8871_ (.CLK(clknet_leaf_99_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8871_ (.CLK(clknet_leaf_100_mclk),
     .D(_0452_),
-    .RESET_B(net277),
+    .RESET_B(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm5_high[15] ));
- sky130_fd_sc_hd__dfrtp_1 _8872_ (.CLK(clknet_leaf_60_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8872_ (.CLK(clknet_leaf_59_mclk),
     .D(_0453_),
-    .RESET_B(net281),
+    .RESET_B(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -154771,7 +154914,7 @@
     .Q(\u_gpio_intr.cfg_gpio_negedge_int_sel[8] ));
  sky130_fd_sc_hd__dfrtp_1 _8873_ (.CLK(clknet_leaf_55_mclk),
     .D(_0454_),
-    .RESET_B(net281),
+    .RESET_B(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -154779,7 +154922,7 @@
     .Q(\u_gpio_intr.cfg_gpio_negedge_int_sel[9] ));
  sky130_fd_sc_hd__dfrtp_1 _8874_ (.CLK(clknet_leaf_54_mclk),
     .D(_0455_),
-    .RESET_B(net281),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -154787,47 +154930,47 @@
     .Q(\u_gpio_intr.cfg_gpio_negedge_int_sel[10] ));
  sky130_fd_sc_hd__dfrtp_1 _8875_ (.CLK(clknet_leaf_54_mclk),
     .D(_0456_),
-    .RESET_B(net281),
+    .RESET_B(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_negedge_int_sel[11] ));
- sky130_fd_sc_hd__dfrtp_1 _8876_ (.CLK(clknet_leaf_85_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8876_ (.CLK(clknet_leaf_62_mclk),
     .D(_0457_),
-    .RESET_B(net288),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_negedge_int_sel[12] ));
- sky130_fd_sc_hd__dfrtp_1 _8877_ (.CLK(clknet_leaf_61_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8877_ (.CLK(clknet_leaf_60_mclk),
     .D(_0458_),
-    .RESET_B(net286),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_negedge_int_sel[13] ));
- sky130_fd_sc_hd__dfrtp_1 _8878_ (.CLK(clknet_leaf_84_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8878_ (.CLK(clknet_leaf_61_mclk),
     .D(_0459_),
-    .RESET_B(net288),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_negedge_int_sel[14] ));
- sky130_fd_sc_hd__dfrtp_4 _8879_ (.CLK(clknet_leaf_62_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8879_ (.CLK(clknet_leaf_61_mclk),
     .D(_0460_),
-    .RESET_B(net288),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_negedge_int_sel[15] ));
- sky130_fd_sc_hd__dfrtp_4 _8880_ (.CLK(clknet_leaf_60_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8880_ (.CLK(clknet_leaf_59_mclk),
     .D(_0461_),
-    .RESET_B(net287),
+    .RESET_B(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -154835,7 +154978,7 @@
     .Q(\u_pinmux_reg.cfg_multi_func_sel[8] ));
  sky130_fd_sc_hd__dfrtp_4 _8881_ (.CLK(clknet_leaf_55_mclk),
     .D(_0462_),
-    .RESET_B(net281),
+    .RESET_B(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -154843,7 +154986,7 @@
     .Q(\u_pinmux_reg.cfg_multi_func_sel[9] ));
  sky130_fd_sc_hd__dfrtp_1 _8882_ (.CLK(clknet_leaf_55_mclk),
     .D(_0463_),
-    .RESET_B(net281),
+    .RESET_B(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -154851,21 +154994,21 @@
     .Q(\u_pinmux_reg.cfg_multi_func_sel[10] ));
  sky130_fd_sc_hd__dfrtp_1 _8883_ (.CLK(clknet_leaf_55_mclk),
     .D(_0464_),
-    .RESET_B(net281),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_pinmux_reg.cfg_multi_func_sel[11] ));
- sky130_fd_sc_hd__dfrtp_1 _8884_ (.CLK(clknet_leaf_62_mclk),
-    .D(_0465_),
     .RESET_B(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .Q(\u_pinmux_reg.cfg_multi_func_sel[11] ));
+ sky130_fd_sc_hd__dfrtp_1 _8884_ (.CLK(clknet_leaf_61_mclk),
+    .D(_0465_),
+    .RESET_B(net276),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_multi_func_sel[12] ));
- sky130_fd_sc_hd__dfrtp_1 _8885_ (.CLK(clknet_leaf_61_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8885_ (.CLK(clknet_leaf_60_mclk),
     .D(_0466_),
     .RESET_B(net286),
     .VGND(vssd1),
@@ -154873,23 +155016,23 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_multi_func_sel[13] ));
- sky130_fd_sc_hd__dfrtp_1 _8886_ (.CLK(clknet_leaf_62_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8886_ (.CLK(clknet_leaf_61_mclk),
     .D(_0467_),
-    .RESET_B(net286),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_multi_func_sel[14] ));
- sky130_fd_sc_hd__dfrtp_1 _8887_ (.CLK(clknet_leaf_61_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8887_ (.CLK(clknet_leaf_60_mclk),
     .D(_0468_),
-    .RESET_B(net286),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_multi_func_sel[15] ));
- sky130_fd_sc_hd__dfrtp_1 _8888_ (.CLK(clknet_leaf_36_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8888_ (.CLK(clknet_leaf_37_mclk),
     .D(_0469_),
     .RESET_B(net289),
     .VGND(vssd1),
@@ -154897,65 +155040,65 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_multi_func_sel[16] ));
- sky130_fd_sc_hd__dfrtp_2 _8889_ (.CLK(clknet_leaf_35_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8889_ (.CLK(clknet_leaf_36_mclk),
     .D(_0470_),
-    .RESET_B(net284),
+    .RESET_B(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_multi_func_sel[17] ));
- sky130_fd_sc_hd__dfrtp_1 _8890_ (.CLK(clknet_leaf_36_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8890_ (.CLK(clknet_leaf_37_mclk),
     .D(_0471_),
-    .RESET_B(net285),
+    .RESET_B(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_multi_func_sel[18] ));
- sky130_fd_sc_hd__dfrtp_1 _8891_ (.CLK(clknet_leaf_33_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _8891_ (.CLK(clknet_leaf_33_mclk),
     .D(_0472_),
-    .RESET_B(net284),
+    .RESET_B(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_multi_func_sel[19] ));
- sky130_fd_sc_hd__dfrtp_4 _8892_ (.CLK(clknet_leaf_6_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8892_ (.CLK(clknet_leaf_8_mclk),
     .D(_0473_),
-    .RESET_B(net285),
+    .RESET_B(net289),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_multi_func_sel[20] ));
- sky130_fd_sc_hd__dfrtp_1 _8893_ (.CLK(clknet_leaf_9_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8893_ (.CLK(clknet_leaf_10_mclk),
     .D(_0474_),
-    .RESET_B(net285),
+    .RESET_B(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_multi_func_sel[21] ));
- sky130_fd_sc_hd__dfrtp_1 _8894_ (.CLK(clknet_leaf_6_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8894_ (.CLK(clknet_leaf_9_mclk),
     .D(_0475_),
-    .RESET_B(net285),
+    .RESET_B(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_multi_func_sel[22] ));
- sky130_fd_sc_hd__dfrtp_1 _8895_ (.CLK(clknet_leaf_9_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8895_ (.CLK(clknet_leaf_11_mclk),
     .D(_0476_),
-    .RESET_B(net285),
+    .RESET_B(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_multi_func_sel[23] ));
- sky130_fd_sc_hd__dfrtp_4 _8896_ (.CLK(clknet_leaf_55_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8896_ (.CLK(clknet_leaf_50_mclk),
     .D(_0477_),
-    .RESET_B(net281),
+    .RESET_B(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -154963,7 +155106,7 @@
     .Q(\u_pinmux_reg.cfg_pwm0_low[8] ));
  sky130_fd_sc_hd__dfrtp_4 _8897_ (.CLK(clknet_leaf_51_mclk),
     .D(_0478_),
-    .RESET_B(net281),
+    .RESET_B(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -154971,7 +155114,7 @@
     .Q(\u_pinmux_reg.cfg_pwm0_low[9] ));
  sky130_fd_sc_hd__dfrtp_4 _8898_ (.CLK(clknet_leaf_51_mclk),
     .D(_0479_),
-    .RESET_B(net281),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -154979,13 +155122,13 @@
     .Q(\u_pinmux_reg.cfg_pwm0_low[10] ));
  sky130_fd_sc_hd__dfrtp_2 _8899_ (.CLK(clknet_leaf_51_mclk),
     .D(_0480_),
-    .RESET_B(net281),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm0_low[11] ));
- sky130_fd_sc_hd__dfrtp_4 _8900_ (.CLK(clknet_leaf_64_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8900_ (.CLK(clknet_leaf_63_mclk),
     .D(_0481_),
     .RESET_B(net286),
     .VGND(vssd1),
@@ -154993,7 +155136,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm0_low[12] ));
- sky130_fd_sc_hd__dfrtp_4 _8901_ (.CLK(clknet_leaf_65_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8901_ (.CLK(clknet_leaf_60_mclk),
     .D(_0482_),
     .RESET_B(net286),
     .VGND(vssd1),
@@ -155001,9 +155144,9 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm0_low[13] ));
- sky130_fd_sc_hd__dfrtp_2 _8902_ (.CLK(clknet_leaf_65_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _8902_ (.CLK(clknet_leaf_60_mclk),
     .D(_0483_),
-    .RESET_B(net286),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -155011,15 +155154,15 @@
     .Q(\u_pinmux_reg.cfg_pwm0_low[14] ));
  sky130_fd_sc_hd__dfrtp_4 _8903_ (.CLK(clknet_leaf_64_mclk),
     .D(_0484_),
-    .RESET_B(net287),
+    .RESET_B(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm0_low[15] ));
- sky130_fd_sc_hd__dfrtp_1 _8904_ (.CLK(clknet_leaf_60_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8904_ (.CLK(clknet_leaf_65_mclk),
     .D(_0485_),
-    .RESET_B(net287),
+    .RESET_B(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -155027,7 +155170,7 @@
     .Q(\u_pinmux_reg.reg_15[8] ));
  sky130_fd_sc_hd__dfrtp_1 _8905_ (.CLK(clknet_leaf_51_mclk),
     .D(_0486_),
-    .RESET_B(net281),
+    .RESET_B(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -155035,7 +155178,7 @@
     .Q(\u_pinmux_reg.reg_15[9] ));
  sky130_fd_sc_hd__dfrtp_1 _8906_ (.CLK(clknet_leaf_51_mclk),
     .D(_0487_),
-    .RESET_B(net281),
+    .RESET_B(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -155043,13 +155186,13 @@
     .Q(\u_pinmux_reg.reg_15[10] ));
  sky130_fd_sc_hd__dfrtp_1 _8907_ (.CLK(clknet_leaf_51_mclk),
     .D(_0488_),
-    .RESET_B(net281),
+    .RESET_B(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_15[11] ));
- sky130_fd_sc_hd__dfrtp_1 _8908_ (.CLK(clknet_leaf_64_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8908_ (.CLK(clknet_leaf_63_mclk),
     .D(_0489_),
     .RESET_B(net286),
     .VGND(vssd1),
@@ -155057,7 +155200,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_15[12] ));
- sky130_fd_sc_hd__dfrtp_1 _8909_ (.CLK(clknet_leaf_61_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8909_ (.CLK(clknet_leaf_60_mclk),
     .D(_0490_),
     .RESET_B(net286),
     .VGND(vssd1),
@@ -155065,23 +155208,23 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_15[13] ));
- sky130_fd_sc_hd__dfrtp_1 _8910_ (.CLK(clknet_leaf_63_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8910_ (.CLK(clknet_leaf_62_mclk),
     .D(_0491_),
-    .RESET_B(net286),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_15[14] ));
- sky130_fd_sc_hd__dfrtp_1 _8911_ (.CLK(clknet_leaf_63_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8911_ (.CLK(clknet_leaf_60_mclk),
     .D(_0492_),
-    .RESET_B(net286),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_15[15] ));
- sky130_fd_sc_hd__dfrtp_4 _8912_ (.CLK(clknet_leaf_31_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8912_ (.CLK(clknet_leaf_32_mclk),
     .D(_0493_),
     .RESET_B(net289),
     .VGND(vssd1),
@@ -155089,7 +155232,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm5_high[0] ));
- sky130_fd_sc_hd__dfrtp_4 _8913_ (.CLK(clknet_leaf_32_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8913_ (.CLK(clknet_leaf_33_mclk),
     .D(_0494_),
     .RESET_B(net285),
     .VGND(vssd1),
@@ -155097,9 +155240,9 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm5_high[1] ));
- sky130_fd_sc_hd__dfrtp_4 _8914_ (.CLK(clknet_leaf_31_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8914_ (.CLK(clknet_leaf_33_mclk),
     .D(_0495_),
-    .RESET_B(net289),
+    .RESET_B(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -155107,7 +155250,7 @@
     .Q(\u_pinmux_reg.cfg_pwm5_high[2] ));
  sky130_fd_sc_hd__dfrtp_4 _8915_ (.CLK(clknet_leaf_32_mclk),
     .D(_0496_),
-    .RESET_B(net285),
+    .RESET_B(net289),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -155115,13 +155258,13 @@
     .Q(\u_pinmux_reg.cfg_pwm5_high[3] ));
  sky130_fd_sc_hd__dfrtp_4 _8916_ (.CLK(clknet_leaf_28_mclk),
     .D(_0497_),
-    .RESET_B(net285),
+    .RESET_B(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm5_high[4] ));
- sky130_fd_sc_hd__dfrtp_4 _8917_ (.CLK(clknet_leaf_28_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8917_ (.CLK(clknet_leaf_29_mclk),
     .D(_0498_),
     .RESET_B(net289),
     .VGND(vssd1),
@@ -155129,23 +155272,23 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm5_high[5] ));
- sky130_fd_sc_hd__dfrtp_4 _8918_ (.CLK(clknet_leaf_27_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8918_ (.CLK(clknet_leaf_28_mclk),
     .D(_0499_),
-    .RESET_B(net285),
+    .RESET_B(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm5_high[6] ));
- sky130_fd_sc_hd__dfrtp_4 _8919_ (.CLK(clknet_leaf_28_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8919_ (.CLK(clknet_leaf_29_mclk),
     .D(_0500_),
-    .RESET_B(net285),
+    .RESET_B(net289),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm5_high[7] ));
- sky130_fd_sc_hd__dfrtp_2 _8920_ (.CLK(clknet_leaf_36_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _8920_ (.CLK(clknet_leaf_37_mclk),
     .D(_0501_),
     .RESET_B(net289),
     .VGND(vssd1),
@@ -155153,65 +155296,65 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_15[16] ));
- sky130_fd_sc_hd__dfrtp_2 _8921_ (.CLK(clknet_leaf_35_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _8921_ (.CLK(clknet_leaf_36_mclk),
     .D(_0502_),
-    .RESET_B(net284),
+    .RESET_B(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_15[17] ));
- sky130_fd_sc_hd__dfrtp_2 _8922_ (.CLK(clknet_leaf_35_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8922_ (.CLK(clknet_leaf_37_mclk),
     .D(_0503_),
-    .RESET_B(net285),
+    .RESET_B(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_15[18] ));
- sky130_fd_sc_hd__dfrtp_1 _8923_ (.CLK(clknet_leaf_33_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8923_ (.CLK(clknet_leaf_37_mclk),
     .D(_0504_),
-    .RESET_B(net284),
+    .RESET_B(net289),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_15[19] ));
- sky130_fd_sc_hd__dfrtp_2 _8924_ (.CLK(clknet_leaf_35_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _8924_ (.CLK(clknet_leaf_36_mclk),
     .D(_0505_),
-    .RESET_B(net285),
+    .RESET_B(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_15[20] ));
- sky130_fd_sc_hd__dfrtp_1 _8925_ (.CLK(clknet_leaf_8_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8925_ (.CLK(clknet_leaf_12_mclk),
     .D(_0506_),
-    .RESET_B(net285),
+    .RESET_B(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_15[21] ));
- sky130_fd_sc_hd__dfrtp_1 _8926_ (.CLK(clknet_leaf_7_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8926_ (.CLK(clknet_leaf_9_mclk),
     .D(_0507_),
-    .RESET_B(net285),
+    .RESET_B(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_15[22] ));
- sky130_fd_sc_hd__dfrtp_1 _8927_ (.CLK(clknet_leaf_28_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8927_ (.CLK(clknet_leaf_11_mclk),
     .D(_0508_),
-    .RESET_B(net285),
+    .RESET_B(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_15[23] ));
- sky130_fd_sc_hd__dfrtp_4 _8928_ (.CLK(clknet_leaf_34_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8928_ (.CLK(clknet_leaf_35_mclk),
     .D(_0509_),
-    .RESET_B(net283),
+    .RESET_B(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -155219,7 +155362,7 @@
     .Q(\u_pinmux_reg.cfg_pwm0_high[0] ));
  sky130_fd_sc_hd__dfrtp_4 _8929_ (.CLK(clknet_leaf_43_mclk),
     .D(_0510_),
-    .RESET_B(net283),
+    .RESET_B(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -155227,7 +155370,7 @@
     .Q(\u_pinmux_reg.cfg_pwm0_high[1] ));
  sky130_fd_sc_hd__dfrtp_4 _8930_ (.CLK(clknet_leaf_43_mclk),
     .D(_0511_),
-    .RESET_B(net283),
+    .RESET_B(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -155235,7 +155378,7 @@
     .Q(\u_pinmux_reg.cfg_pwm0_high[2] ));
  sky130_fd_sc_hd__dfrtp_4 _8931_ (.CLK(clknet_leaf_43_mclk),
     .D(_0512_),
-    .RESET_B(net283),
+    .RESET_B(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -155243,23 +155386,23 @@
     .Q(\u_pinmux_reg.cfg_pwm0_high[3] ));
  sky130_fd_sc_hd__dfrtp_2 _8932_ (.CLK(clknet_leaf_41_mclk),
     .D(_0513_),
-    .RESET_B(net284),
+    .RESET_B(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm0_high[4] ));
- sky130_fd_sc_hd__dfrtp_2 _8933_ (.CLK(clknet_leaf_42_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _8933_ (.CLK(clknet_leaf_43_mclk),
     .D(_0514_),
-    .RESET_B(net283),
+    .RESET_B(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm0_high[5] ));
- sky130_fd_sc_hd__dfrtp_2 _8934_ (.CLK(clknet_leaf_43_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _8934_ (.CLK(clknet_leaf_41_mclk),
     .D(_0515_),
-    .RESET_B(net283),
+    .RESET_B(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -155267,21 +155410,21 @@
     .Q(\u_pinmux_reg.cfg_pwm0_high[6] ));
  sky130_fd_sc_hd__dfrtp_4 _8935_ (.CLK(clknet_leaf_41_mclk),
     .D(_0516_),
-    .RESET_B(net284),
+    .RESET_B(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm0_high[7] ));
- sky130_fd_sc_hd__dfrtp_4 _8936_ (.CLK(clknet_leaf_67_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8936_ (.CLK(clknet_leaf_66_mclk),
     .D(_0517_),
-    .RESET_B(net281),
+    .RESET_B(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm0_high[8] ));
- sky130_fd_sc_hd__dfrtp_4 _8937_ (.CLK(clknet_leaf_67_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8937_ (.CLK(clknet_leaf_66_mclk),
     .D(_0518_),
     .RESET_B(net287),
     .VGND(vssd1),
@@ -155289,7 +155432,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm0_high[9] ));
- sky130_fd_sc_hd__dfrtp_4 _8938_ (.CLK(clknet_leaf_67_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8938_ (.CLK(clknet_leaf_66_mclk),
     .D(_0519_),
     .RESET_B(net287),
     .VGND(vssd1),
@@ -155297,15 +155440,15 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm0_high[10] ));
- sky130_fd_sc_hd__dfrtp_4 _8939_ (.CLK(clknet_leaf_67_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8939_ (.CLK(clknet_leaf_66_mclk),
     .D(_0520_),
-    .RESET_B(net281),
+    .RESET_B(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm0_high[11] ));
- sky130_fd_sc_hd__dfrtp_4 _8940_ (.CLK(clknet_leaf_72_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8940_ (.CLK(clknet_leaf_71_mclk),
     .D(_0521_),
     .RESET_B(net286),
     .VGND(vssd1),
@@ -155313,15 +155456,15 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm0_high[12] ));
- sky130_fd_sc_hd__dfrtp_4 _8941_ (.CLK(clknet_leaf_72_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8941_ (.CLK(clknet_leaf_71_mclk),
     .D(_0522_),
-    .RESET_B(net287),
+    .RESET_B(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm0_high[13] ));
- sky130_fd_sc_hd__dfrtp_4 _8942_ (.CLK(clknet_leaf_72_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8942_ (.CLK(clknet_leaf_71_mclk),
     .D(_0523_),
     .RESET_B(net286),
     .VGND(vssd1),
@@ -155329,7 +155472,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm0_high[14] ));
- sky130_fd_sc_hd__dfrtp_4 _8943_ (.CLK(clknet_leaf_72_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8943_ (.CLK(clknet_leaf_71_mclk),
     .D(_0524_),
     .RESET_B(net286),
     .VGND(vssd1),
@@ -155339,7 +155482,7 @@
     .Q(\u_pinmux_reg.cfg_pwm0_high[15] ));
  sky130_fd_sc_hd__dfrtp_4 _8944_ (.CLK(clknet_leaf_43_mclk),
     .D(_0525_),
-    .RESET_B(net283),
+    .RESET_B(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -155347,7 +155490,7 @@
     .Q(\u_pinmux_reg.cfg_pwm2_high[0] ));
  sky130_fd_sc_hd__dfrtp_4 _8945_ (.CLK(clknet_leaf_53_mclk),
     .D(_0526_),
-    .RESET_B(net282),
+    .RESET_B(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -155355,7 +155498,7 @@
     .Q(\u_pinmux_reg.cfg_pwm2_high[1] ));
  sky130_fd_sc_hd__dfrtp_4 _8946_ (.CLK(clknet_leaf_43_mclk),
     .D(_0527_),
-    .RESET_B(net283),
+    .RESET_B(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -155363,7 +155506,7 @@
     .Q(\u_pinmux_reg.cfg_pwm2_high[2] ));
  sky130_fd_sc_hd__dfrtp_4 _8947_ (.CLK(clknet_leaf_43_mclk),
     .D(_0528_),
-    .RESET_B(net282),
+    .RESET_B(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -155371,13 +155514,13 @@
     .Q(\u_pinmux_reg.cfg_pwm2_high[3] ));
  sky130_fd_sc_hd__dfrtp_4 _8948_ (.CLK(clknet_leaf_44_mclk),
     .D(_0529_),
-    .RESET_B(net284),
+    .RESET_B(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm2_high[4] ));
- sky130_fd_sc_hd__dfrtp_4 _8949_ (.CLK(clknet_leaf_41_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8949_ (.CLK(clknet_leaf_43_mclk),
     .D(_0530_),
     .RESET_B(net284),
     .VGND(vssd1),
@@ -155385,9 +155528,9 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm2_high[5] ));
- sky130_fd_sc_hd__dfrtp_4 _8950_ (.CLK(clknet_leaf_43_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8950_ (.CLK(clknet_leaf_44_mclk),
     .D(_0531_),
-    .RESET_B(net283),
+    .RESET_B(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -155395,7 +155538,7 @@
     .Q(\u_pinmux_reg.cfg_pwm2_high[6] ));
  sky130_fd_sc_hd__dfrtp_4 _8951_ (.CLK(clknet_leaf_44_mclk),
     .D(_0532_),
-    .RESET_B(net282),
+    .RESET_B(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -155403,15 +155546,15 @@
     .Q(\u_pinmux_reg.cfg_pwm2_high[7] ));
  sky130_fd_sc_hd__dfrtp_1 _8952_ (.CLK(clknet_leaf_52_mclk),
     .D(_0533_),
-    .RESET_B(net281),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm1_high[0] ));
- sky130_fd_sc_hd__dfrtp_2 _8953_ (.CLK(clknet_leaf_52_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8953_ (.CLK(clknet_leaf_52_mclk),
     .D(_0534_),
-    .RESET_B(net281),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -155419,37 +155562,37 @@
     .Q(\u_pinmux_reg.cfg_pwm1_high[1] ));
  sky130_fd_sc_hd__dfrtp_1 _8954_ (.CLK(clknet_leaf_52_mclk),
     .D(_0535_),
-    .RESET_B(net281),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm1_high[2] ));
- sky130_fd_sc_hd__dfrtp_4 _8955_ (.CLK(clknet_leaf_52_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8955_ (.CLK(clknet_leaf_43_mclk),
     .D(_0536_),
-    .RESET_B(net282),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_pinmux_reg.cfg_pwm1_high[3] ));
- sky130_fd_sc_hd__dfrtp_2 _8956_ (.CLK(clknet_leaf_42_mclk),
-    .D(_0537_),
-    .RESET_B(net283),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_pinmux_reg.cfg_pwm1_high[4] ));
- sky130_fd_sc_hd__dfrtp_4 _8957_ (.CLK(clknet_leaf_35_mclk),
-    .D(_0538_),
     .RESET_B(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .Q(\u_pinmux_reg.cfg_pwm1_high[3] ));
+ sky130_fd_sc_hd__dfrtp_2 _8956_ (.CLK(clknet_leaf_43_mclk),
+    .D(_0537_),
+    .RESET_B(net284),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_pinmux_reg.cfg_pwm1_high[4] ));
+ sky130_fd_sc_hd__dfrtp_4 _8957_ (.CLK(clknet_leaf_36_mclk),
+    .D(_0538_),
+    .RESET_B(net285),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm1_high[5] ));
- sky130_fd_sc_hd__dfrtp_4 _8958_ (.CLK(clknet_leaf_35_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8958_ (.CLK(clknet_leaf_42_mclk),
     .D(_0539_),
     .RESET_B(net284),
     .VGND(vssd1),
@@ -155459,13 +155602,13 @@
     .Q(\u_pinmux_reg.cfg_pwm1_high[6] ));
  sky130_fd_sc_hd__dfrtp_4 _8959_ (.CLK(clknet_leaf_44_mclk),
     .D(_0540_),
-    .RESET_B(net282),
+    .RESET_B(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm1_high[7] ));
- sky130_fd_sc_hd__dfrtp_4 _8960_ (.CLK(clknet_leaf_78_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8960_ (.CLK(clknet_leaf_76_mclk),
     .D(_0541_),
     .RESET_B(net275),
     .VGND(vssd1),
@@ -155473,7 +155616,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm5_low[8] ));
- sky130_fd_sc_hd__dfrtp_4 _8961_ (.CLK(clknet_leaf_78_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8961_ (.CLK(clknet_leaf_76_mclk),
     .D(_0542_),
     .RESET_B(net275),
     .VGND(vssd1),
@@ -155481,7 +155624,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm5_low[9] ));
- sky130_fd_sc_hd__dfrtp_4 _8962_ (.CLK(clknet_leaf_79_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8962_ (.CLK(clknet_leaf_77_mclk),
     .D(_0543_),
     .RESET_B(net275),
     .VGND(vssd1),
@@ -155489,7 +155632,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm5_low[10] ));
- sky130_fd_sc_hd__dfrtp_4 _8963_ (.CLK(clknet_leaf_78_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8963_ (.CLK(clknet_leaf_76_mclk),
     .D(_0544_),
     .RESET_B(net275),
     .VGND(vssd1),
@@ -155497,7 +155640,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm5_low[11] ));
- sky130_fd_sc_hd__dfrtp_4 _8964_ (.CLK(clknet_leaf_79_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8964_ (.CLK(clknet_leaf_78_mclk),
     .D(_0545_),
     .RESET_B(net275),
     .VGND(vssd1),
@@ -155505,7 +155648,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm5_low[12] ));
- sky130_fd_sc_hd__dfrtp_4 _8965_ (.CLK(clknet_leaf_79_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8965_ (.CLK(clknet_leaf_77_mclk),
     .D(_0546_),
     .RESET_B(net275),
     .VGND(vssd1),
@@ -155513,7 +155656,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm5_low[13] ));
- sky130_fd_sc_hd__dfrtp_4 _8966_ (.CLK(clknet_leaf_79_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8966_ (.CLK(clknet_leaf_80_mclk),
     .D(_0547_),
     .RESET_B(net275),
     .VGND(vssd1),
@@ -155521,7 +155664,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm5_low[14] ));
- sky130_fd_sc_hd__dfrtp_4 _8967_ (.CLK(clknet_leaf_78_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8967_ (.CLK(clknet_leaf_76_mclk),
     .D(_0548_),
     .RESET_B(net275),
     .VGND(vssd1),
@@ -155529,9 +155672,9 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm5_low[15] ));
- sky130_fd_sc_hd__dfrtp_1 _8968_ (.CLK(clknet_leaf_66_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8968_ (.CLK(clknet_leaf_65_mclk),
     .D(_0549_),
-    .RESET_B(net281),
+    .RESET_B(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -155545,15 +155688,15 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm1_high[9] ));
- sky130_fd_sc_hd__dfrtp_2 _8970_ (.CLK(clknet_leaf_67_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _8970_ (.CLK(clknet_leaf_66_mclk),
     .D(_0551_),
-    .RESET_B(net287),
+    .RESET_B(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm1_high[10] ));
- sky130_fd_sc_hd__dfrtp_1 _8971_ (.CLK(clknet_leaf_66_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8971_ (.CLK(clknet_leaf_65_mclk),
     .D(_0552_),
     .RESET_B(net287),
     .VGND(vssd1),
@@ -155561,7 +155704,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm1_high[11] ));
- sky130_fd_sc_hd__dfrtp_4 _8972_ (.CLK(clknet_leaf_64_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _8972_ (.CLK(clknet_leaf_63_mclk),
     .D(_0553_),
     .RESET_B(net286),
     .VGND(vssd1),
@@ -155569,7 +155712,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm1_high[12] ));
- sky130_fd_sc_hd__dfrtp_4 _8973_ (.CLK(clknet_leaf_64_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8973_ (.CLK(clknet_leaf_63_mclk),
     .D(_0554_),
     .RESET_B(net286),
     .VGND(vssd1),
@@ -155577,7 +155720,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm1_high[13] ));
- sky130_fd_sc_hd__dfrtp_1 _8974_ (.CLK(clknet_leaf_64_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8974_ (.CLK(clknet_leaf_63_mclk),
     .D(_0555_),
     .RESET_B(net286),
     .VGND(vssd1),
@@ -155585,7 +155728,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm1_high[14] ));
- sky130_fd_sc_hd__dfrtp_4 _8975_ (.CLK(clknet_leaf_72_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8975_ (.CLK(clknet_leaf_71_mclk),
     .D(_0556_),
     .RESET_B(net286),
     .VGND(vssd1),
@@ -155595,21 +155738,21 @@
     .Q(\u_pinmux_reg.cfg_pwm1_high[15] ));
  sky130_fd_sc_hd__dfrtp_2 _8976_ (.CLK(clknet_leaf_64_mclk),
     .D(_0557_),
-    .RESET_B(net287),
+    .RESET_B(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm2_high[8] ));
- sky130_fd_sc_hd__dfrtp_1 _8977_ (.CLK(clknet_leaf_72_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8977_ (.CLK(clknet_leaf_63_mclk),
     .D(_0558_),
-    .RESET_B(net287),
+    .RESET_B(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm2_high[9] ));
- sky130_fd_sc_hd__dfrtp_1 _8978_ (.CLK(clknet_leaf_67_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8978_ (.CLK(clknet_leaf_66_mclk),
     .D(_0559_),
     .RESET_B(net287),
     .VGND(vssd1),
@@ -155627,21 +155770,21 @@
     .Q(\u_pinmux_reg.cfg_pwm2_high[11] ));
  sky130_fd_sc_hd__dfrtp_4 _8980_ (.CLK(clknet_leaf_71_mclk),
     .D(_0561_),
-    .RESET_B(net286),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm2_high[12] ));
- sky130_fd_sc_hd__dfrtp_1 _8981_ (.CLK(clknet_leaf_70_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8981_ (.CLK(clknet_leaf_71_mclk),
     .D(_0562_),
-    .RESET_B(net287),
+    .RESET_B(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm2_high[13] ));
- sky130_fd_sc_hd__dfrtp_1 _8982_ (.CLK(clknet_leaf_73_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8982_ (.CLK(clknet_leaf_71_mclk),
     .D(_0563_),
     .RESET_B(net286),
     .VGND(vssd1),
@@ -155651,31 +155794,31 @@
     .Q(\u_pinmux_reg.cfg_pwm2_high[14] ));
  sky130_fd_sc_hd__dfrtp_2 _8983_ (.CLK(clknet_leaf_71_mclk),
     .D(_0564_),
-    .RESET_B(net286),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm2_high[15] ));
- sky130_fd_sc_hd__dfrtp_4 _8984_ (.CLK(clknet_leaf_66_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8984_ (.CLK(clknet_leaf_65_mclk),
     .D(_0565_),
-    .RESET_B(net281),
+    .RESET_B(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm1_low[8] ));
- sky130_fd_sc_hd__dfrtp_4 _8985_ (.CLK(clknet_leaf_50_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8985_ (.CLK(clknet_leaf_65_mclk),
     .D(_0566_),
-    .RESET_B(net281),
+    .RESET_B(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm1_low[9] ));
- sky130_fd_sc_hd__dfrtp_2 _8986_ (.CLK(clknet_leaf_50_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _8986_ (.CLK(clknet_leaf_51_mclk),
     .D(_0567_),
-    .RESET_B(net281),
+    .RESET_B(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -155683,63 +155826,63 @@
     .Q(\u_pinmux_reg.cfg_pwm1_low[10] ));
  sky130_fd_sc_hd__dfrtp_2 _8987_ (.CLK(clknet_leaf_50_mclk),
     .D(_0568_),
-    .RESET_B(net281),
+    .RESET_B(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm1_low[11] ));
- sky130_fd_sc_hd__dfrtp_4 _8988_ (.CLK(clknet_leaf_63_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8988_ (.CLK(clknet_leaf_72_mclk),
     .D(_0569_),
-    .RESET_B(net286),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm1_low[12] ));
- sky130_fd_sc_hd__dfrtp_4 _8989_ (.CLK(clknet_leaf_73_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8989_ (.CLK(clknet_leaf_72_mclk),
     .D(_0570_),
-    .RESET_B(net286),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm1_low[13] ));
- sky130_fd_sc_hd__dfrtp_2 _8990_ (.CLK(clknet_leaf_63_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _8990_ (.CLK(clknet_leaf_62_mclk),
     .D(_0571_),
-    .RESET_B(net286),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm1_low[14] ));
- sky130_fd_sc_hd__dfrtp_4 _8991_ (.CLK(clknet_leaf_74_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _8991_ (.CLK(clknet_leaf_72_mclk),
     .D(_0572_),
-    .RESET_B(net286),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm1_low[15] ));
  sky130_fd_sc_hd__dfrtp_1 _8992_ (.CLK(clknet_leaf_57_mclk),
-    .D(net487),
-    .RESET_B(net287),
+    .D(\u_gpio_intr.gpio_prev_indata[8] ),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_data_in[8] ));
  sky130_fd_sc_hd__dfrtp_1 _8993_ (.CLK(clknet_leaf_56_mclk),
-    .D(net496),
-    .RESET_B(net288),
+    .D(\u_gpio_intr.gpio_prev_indata[9] ),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_data_in[9] ));
- sky130_fd_sc_hd__dfrtp_1 _8994_ (.CLK(clknet_leaf_20_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _8994_ (.CLK(clknet_leaf_21_mclk),
     .D(\u_gpio_intr.gpio_prev_indata[10] ),
-    .RESET_B(net282),
+    .RESET_B(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -155747,23 +155890,23 @@
     .Q(\u_gpio_intr.cfg_gpio_data_in[10] ));
  sky130_fd_sc_hd__dfrtp_1 _8995_ (.CLK(clknet_leaf_54_mclk),
     .D(\u_gpio_intr.gpio_prev_indata[11] ),
-    .RESET_B(net282),
+    .RESET_B(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_data_in[11] ));
  sky130_fd_sc_hd__dfrtp_1 _8996_ (.CLK(clknet_leaf_85_mclk),
-    .D(net466),
-    .RESET_B(net275),
+    .D(\u_gpio_intr.gpio_prev_indata[12] ),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_data_in[12] ));
- sky130_fd_sc_hd__dfrtp_1 _8997_ (.CLK(clknet_leaf_61_mclk),
-    .D(net498),
-    .RESET_B(net287),
+ sky130_fd_sc_hd__dfrtp_1 _8997_ (.CLK(clknet_leaf_60_mclk),
+    .D(\u_gpio_intr.gpio_prev_indata[13] ),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -155771,7 +155914,7 @@
     .Q(\u_gpio_intr.cfg_gpio_data_in[13] ));
  sky130_fd_sc_hd__dfrtp_1 _8998_ (.CLK(clknet_leaf_86_mclk),
     .D(\u_gpio_intr.gpio_prev_indata[14] ),
-    .RESET_B(net275),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -155786,46 +155929,46 @@
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_data_in[15] ));
  sky130_fd_sc_hd__dfrtp_1 _9000_ (.CLK(clknet_leaf_33_mclk),
-    .D(net475),
-    .RESET_B(net284),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_gpio_intr.cfg_gpio_data_in[16] ));
- sky130_fd_sc_hd__dfrtp_1 _9001_ (.CLK(clknet_leaf_27_mclk),
-    .D(\u_gpio_intr.gpio_prev_indata[17] ),
+    .D(\u_gpio_intr.gpio_prev_indata[16] ),
     .RESET_B(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .Q(\u_gpio_intr.cfg_gpio_data_in[16] ));
+ sky130_fd_sc_hd__dfrtp_1 _9001_ (.CLK(clknet_leaf_28_mclk),
+    .D(\u_gpio_intr.gpio_prev_indata[17] ),
+    .RESET_B(net480),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_data_in[17] ));
- sky130_fd_sc_hd__dfrtp_2 _9002_ (.CLK(clknet_leaf_32_mclk),
-    .D(net484),
+ sky130_fd_sc_hd__dfrtp_1 _9002_ (.CLK(clknet_leaf_33_mclk),
+    .D(\u_gpio_intr.gpio_prev_indata[18] ),
     .RESET_B(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_data_in[18] ));
- sky130_fd_sc_hd__dfrtp_1 _9003_ (.CLK(clknet_leaf_33_mclk),
-    .D(net472),
-    .RESET_B(net285),
+ sky130_fd_sc_hd__dfrtp_2 _9003_ (.CLK(clknet_leaf_33_mclk),
+    .D(\u_gpio_intr.gpio_prev_indata[19] ),
+    .RESET_B(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_data_in[19] ));
- sky130_fd_sc_hd__dfrtp_2 _9004_ (.CLK(clknet_leaf_7_mclk),
-    .D(\u_gpio_intr.gpio_prev_indata[20] ),
+ sky130_fd_sc_hd__dfrtp_2 _9004_ (.CLK(clknet_leaf_10_mclk),
+    .D(net504),
     .RESET_B(net289),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_data_in[20] ));
- sky130_fd_sc_hd__dfrtp_2 _9005_ (.CLK(clknet_leaf_8_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _9005_ (.CLK(clknet_leaf_10_mclk),
     .D(\u_gpio_intr.gpio_prev_indata[21] ),
     .RESET_B(net289),
     .VGND(vssd1),
@@ -155833,15 +155976,15 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_data_in[21] ));
- sky130_fd_sc_hd__dfrtp_1 _9006_ (.CLK(clknet_leaf_4_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9006_ (.CLK(clknet_leaf_7_mclk),
     .D(\u_gpio_intr.gpio_prev_indata[22] ),
-    .RESET_B(net285),
+    .RESET_B(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_data_in[22] ));
- sky130_fd_sc_hd__dfrtp_1 _9007_ (.CLK(clknet_leaf_120_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9007_ (.CLK(clknet_leaf_122_mclk),
     .D(\u_gpio_intr.gpio_prev_indata[24] ),
     .RESET_B(net278),
     .VGND(vssd1),
@@ -155849,41 +155992,41 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_data_in[24] ));
- sky130_fd_sc_hd__dfrtp_4 _9008_ (.CLK(clknet_leaf_119_mclk),
-    .D(net495),
-    .RESET_B(net278),
+ sky130_fd_sc_hd__dfrtp_2 _9008_ (.CLK(clknet_leaf_120_mclk),
+    .D(net496),
+    .RESET_B(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_data_in[25] ));
- sky130_fd_sc_hd__dfrtp_2 _9009_ (.CLK(clknet_leaf_110_mclk),
-    .D(net483),
-    .RESET_B(net278),
+ sky130_fd_sc_hd__dfrtp_2 _9009_ (.CLK(clknet_leaf_121_mclk),
+    .D(net485),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_data_in[26] ));
- sky130_fd_sc_hd__dfrtp_2 _9010_ (.CLK(clknet_leaf_110_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _9010_ (.CLK(clknet_leaf_111_mclk),
     .D(\u_gpio_intr.gpio_prev_indata[27] ),
-    .RESET_B(net278),
+    .RESET_B(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_data_in[27] ));
- sky130_fd_sc_hd__dfrtp_2 _9011_ (.CLK(clknet_leaf_93_mclk),
-    .D(net492),
-    .RESET_B(net277),
+ sky130_fd_sc_hd__dfrtp_2 _9011_ (.CLK(clknet_leaf_103_mclk),
+    .D(\u_gpio_intr.gpio_prev_indata[28] ),
+    .RESET_B(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_data_in[28] ));
- sky130_fd_sc_hd__dfrtp_2 _9012_ (.CLK(clknet_leaf_101_mclk),
-    .D(\u_gpio_intr.gpio_prev_indata[29] ),
-    .RESET_B(net277),
+ sky130_fd_sc_hd__dfrtp_4 _9012_ (.CLK(clknet_leaf_101_mclk),
+    .D(net505),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -155891,7 +156034,7 @@
     .Q(\u_gpio_intr.cfg_gpio_data_in[29] ));
  sky130_fd_sc_hd__dfrtp_4 _9013_ (.CLK(clknet_leaf_100_mclk),
     .D(\u_gpio_intr.gpio_prev_indata[30] ),
-    .RESET_B(net277),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -155899,31 +156042,31 @@
     .Q(\u_gpio_intr.cfg_gpio_data_in[30] ));
  sky130_fd_sc_hd__dfrtp_4 _9014_ (.CLK(clknet_leaf_100_mclk),
     .D(\u_gpio_intr.gpio_prev_indata[31] ),
-    .RESET_B(net277),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_data_in[31] ));
- sky130_fd_sc_hd__dfrtp_4 _9015_ (.CLK(clknet_leaf_63_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9015_ (.CLK(clknet_leaf_84_mclk),
     .D(_0573_),
-    .RESET_B(net286),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm3_high[8] ));
- sky130_fd_sc_hd__dfrtp_4 _9016_ (.CLK(clknet_leaf_63_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9016_ (.CLK(clknet_leaf_62_mclk),
     .D(_0574_),
-    .RESET_B(net288),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm3_high[9] ));
- sky130_fd_sc_hd__dfrtp_4 _9017_ (.CLK(clknet_leaf_62_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9017_ (.CLK(clknet_leaf_84_mclk),
     .D(_0575_),
-    .RESET_B(net286),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -155931,15 +156074,15 @@
     .Q(\u_pinmux_reg.cfg_pwm3_high[10] ));
  sky130_fd_sc_hd__dfrtp_4 _9018_ (.CLK(clknet_leaf_84_mclk),
     .D(_0576_),
-    .RESET_B(net288),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm3_high[11] ));
- sky130_fd_sc_hd__dfrtp_4 _9019_ (.CLK(clknet_leaf_84_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9019_ (.CLK(clknet_leaf_83_mclk),
     .D(_0577_),
-    .RESET_B(net288),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -155947,95 +156090,95 @@
     .Q(\u_pinmux_reg.cfg_pwm3_high[12] ));
  sky130_fd_sc_hd__dfrtp_4 _9020_ (.CLK(clknet_leaf_83_mclk),
     .D(_0578_),
-    .RESET_B(net275),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm3_high[13] ));
- sky130_fd_sc_hd__dfrtp_4 _9021_ (.CLK(clknet_leaf_83_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _9021_ (.CLK(clknet_leaf_83_mclk),
     .D(_0579_),
-    .RESET_B(net275),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm3_high[14] ));
- sky130_fd_sc_hd__dfrtp_4 _9022_ (.CLK(clknet_leaf_84_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9022_ (.CLK(clknet_leaf_83_mclk),
     .D(_0580_),
-    .RESET_B(net275),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm3_high[15] ));
- sky130_fd_sc_hd__dfrtp_1 _9023_ (.CLK(clknet_leaf_122_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9023_ (.CLK(clknet_leaf_124_mclk),
     .D(_0581_),
-    .RESET_B(net276),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_22[24] ));
- sky130_fd_sc_hd__dfstp_1 _9024_ (.CLK(clknet_leaf_127_mclk),
+ sky130_fd_sc_hd__dfstp_1 _9024_ (.CLK(clknet_leaf_124_mclk),
     .D(_0582_),
-    .SET_B(net280),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_pinmux_reg.reg_22[25] ));
- sky130_fd_sc_hd__dfrtp_1 _9025_ (.CLK(clknet_leaf_120_mclk),
-    .D(_0583_),
-    .RESET_B(net276),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_pinmux_reg.reg_22[26] ));
- sky130_fd_sc_hd__dfrtp_1 _9026_ (.CLK(clknet_leaf_121_mclk),
-    .D(_0584_),
-    .RESET_B(net276),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_pinmux_reg.reg_22[27] ));
- sky130_fd_sc_hd__dfrtp_1 _9027_ (.CLK(clknet_leaf_93_mclk),
-    .D(_0585_),
-    .RESET_B(net277),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_pinmux_reg.reg_22[28] ));
- sky130_fd_sc_hd__dfrtp_1 _9028_ (.CLK(clknet_leaf_94_mclk),
-    .D(_0586_),
-    .RESET_B(net277),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_pinmux_reg.reg_22[29] ));
- sky130_fd_sc_hd__dfrtp_1 _9029_ (.CLK(clknet_leaf_94_mclk),
-    .D(_0587_),
-    .RESET_B(net276),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_pinmux_reg.reg_22[30] ));
- sky130_fd_sc_hd__dfstp_2 _9030_ (.CLK(clknet_leaf_94_mclk),
-    .D(_0588_),
     .SET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .Q(\u_pinmux_reg.reg_22[25] ));
+ sky130_fd_sc_hd__dfrtp_1 _9025_ (.CLK(clknet_leaf_122_mclk),
+    .D(_0583_),
+    .RESET_B(net279),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_pinmux_reg.reg_22[26] ));
+ sky130_fd_sc_hd__dfrtp_1 _9026_ (.CLK(clknet_leaf_122_mclk),
+    .D(_0584_),
+    .RESET_B(net279),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_pinmux_reg.reg_22[27] ));
+ sky130_fd_sc_hd__dfrtp_1 _9027_ (.CLK(clknet_leaf_94_mclk),
+    .D(_0585_),
+    .RESET_B(net278),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_pinmux_reg.reg_22[28] ));
+ sky130_fd_sc_hd__dfrtp_1 _9028_ (.CLK(clknet_leaf_95_mclk),
+    .D(_0586_),
+    .RESET_B(net278),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_pinmux_reg.reg_22[29] ));
+ sky130_fd_sc_hd__dfrtp_1 _9029_ (.CLK(clknet_leaf_97_mclk),
+    .D(_0587_),
+    .RESET_B(net275),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_pinmux_reg.reg_22[30] ));
+ sky130_fd_sc_hd__dfstp_2 _9030_ (.CLK(clknet_leaf_95_mclk),
+    .D(_0588_),
+    .SET_B(net278),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_22[31] ));
- sky130_fd_sc_hd__dfrtp_2 _9031_ (.CLK(clknet_leaf_66_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _9031_ (.CLK(clknet_leaf_65_mclk),
     .D(_0589_),
-    .RESET_B(net281),
+    .RESET_B(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -156043,61 +156186,61 @@
     .Q(\u_pinmux_reg.cfg_pwm2_low[8] ));
  sky130_fd_sc_hd__dfrtp_2 _9032_ (.CLK(clknet_leaf_65_mclk),
     .D(_0590_),
-    .RESET_B(net287),
+    .RESET_B(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm2_low[9] ));
- sky130_fd_sc_hd__dfrtp_4 _9033_ (.CLK(clknet_leaf_65_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9033_ (.CLK(clknet_leaf_64_mclk),
     .D(_0591_),
-    .RESET_B(net287),
+    .RESET_B(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm2_low[10] ));
- sky130_fd_sc_hd__dfrtp_2 _9034_ (.CLK(clknet_leaf_66_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _9034_ (.CLK(clknet_leaf_64_mclk),
     .D(_0592_),
-    .RESET_B(net287),
+    .RESET_B(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm2_low[11] ));
- sky130_fd_sc_hd__dfrtp_4 _9035_ (.CLK(clknet_leaf_73_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9035_ (.CLK(clknet_leaf_71_mclk),
     .D(_0593_),
-    .RESET_B(net286),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm2_low[12] ));
- sky130_fd_sc_hd__dfrtp_4 _9036_ (.CLK(clknet_leaf_73_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9036_ (.CLK(clknet_leaf_72_mclk),
     .D(_0594_),
-    .RESET_B(net286),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm2_low[13] ));
- sky130_fd_sc_hd__dfrtp_1 _9037_ (.CLK(clknet_leaf_63_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _9037_ (.CLK(clknet_leaf_72_mclk),
     .D(_0595_),
-    .RESET_B(net286),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm2_low[14] ));
- sky130_fd_sc_hd__dfrtp_4 _9038_ (.CLK(clknet_leaf_73_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9038_ (.CLK(clknet_leaf_71_mclk),
     .D(_0596_),
-    .RESET_B(net286),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm2_low[15] ));
- sky130_fd_sc_hd__dfrtp_4 _9039_ (.CLK(clknet_leaf_78_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9039_ (.CLK(clknet_leaf_77_mclk),
     .D(_0597_),
     .RESET_B(net275),
     .VGND(vssd1),
@@ -156105,7 +156248,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm3_low[8] ));
- sky130_fd_sc_hd__dfrtp_4 _9040_ (.CLK(clknet_leaf_78_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9040_ (.CLK(clknet_leaf_76_mclk),
     .D(_0598_),
     .RESET_B(net275),
     .VGND(vssd1),
@@ -156113,7 +156256,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm3_low[9] ));
- sky130_fd_sc_hd__dfrtp_4 _9041_ (.CLK(clknet_leaf_78_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9041_ (.CLK(clknet_leaf_76_mclk),
     .D(_0599_),
     .RESET_B(net275),
     .VGND(vssd1),
@@ -156121,7 +156264,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm3_low[10] ));
- sky130_fd_sc_hd__dfrtp_4 _9042_ (.CLK(clknet_leaf_78_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9042_ (.CLK(clknet_leaf_76_mclk),
     .D(_0600_),
     .RESET_B(net275),
     .VGND(vssd1),
@@ -156137,7 +156280,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm3_low[12] ));
- sky130_fd_sc_hd__dfrtp_4 _9044_ (.CLK(clknet_leaf_78_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9044_ (.CLK(clknet_leaf_83_mclk),
     .D(_0602_),
     .RESET_B(net275),
     .VGND(vssd1),
@@ -156145,7 +156288,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm3_low[13] ));
- sky130_fd_sc_hd__dfrtp_1 _9045_ (.CLK(clknet_leaf_83_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9045_ (.CLK(clknet_leaf_76_mclk),
     .D(_0603_),
     .RESET_B(net275),
     .VGND(vssd1),
@@ -156153,7 +156296,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm3_low[14] ));
- sky130_fd_sc_hd__dfrtp_4 _9046_ (.CLK(clknet_leaf_78_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9046_ (.CLK(clknet_leaf_76_mclk),
     .D(_0604_),
     .RESET_B(net275),
     .VGND(vssd1),
@@ -156163,7 +156306,7 @@
     .Q(\u_pinmux_reg.cfg_pwm3_low[15] ));
  sky130_fd_sc_hd__dfrtp_4 _9047_ (.CLK(clknet_leaf_53_mclk),
     .D(_0605_),
-    .RESET_B(net281),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -156171,7 +156314,7 @@
     .Q(\u_pinmux_reg.cfg_pwm3_high[0] ));
  sky130_fd_sc_hd__dfrtp_4 _9048_ (.CLK(clknet_leaf_53_mclk),
     .D(_0606_),
-    .RESET_B(net282),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -156179,7 +156322,7 @@
     .Q(\u_pinmux_reg.cfg_pwm3_high[1] ));
  sky130_fd_sc_hd__dfrtp_4 _9049_ (.CLK(clknet_leaf_53_mclk),
     .D(_0607_),
-    .RESET_B(net281),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -156187,39 +156330,39 @@
     .Q(\u_pinmux_reg.cfg_pwm3_high[2] ));
  sky130_fd_sc_hd__dfrtp_4 _9050_ (.CLK(clknet_leaf_53_mclk),
     .D(_0608_),
-    .RESET_B(net281),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm3_high[3] ));
- sky130_fd_sc_hd__dfrtp_4 _9051_ (.CLK(clknet_leaf_23_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9051_ (.CLK(clknet_leaf_53_mclk),
     .D(_0609_),
-    .RESET_B(net283),
+    .RESET_B(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm3_high[4] ));
- sky130_fd_sc_hd__dfrtp_4 _9052_ (.CLK(clknet_leaf_34_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9052_ (.CLK(clknet_leaf_53_mclk),
     .D(_0610_),
-    .RESET_B(net283),
+    .RESET_B(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm3_high[5] ));
- sky130_fd_sc_hd__dfrtp_4 _9053_ (.CLK(clknet_leaf_34_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9053_ (.CLK(clknet_leaf_53_mclk),
     .D(_0611_),
-    .RESET_B(net283),
+    .RESET_B(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm3_high[6] ));
- sky130_fd_sc_hd__dfrtp_4 _9054_ (.CLK(clknet_leaf_34_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9054_ (.CLK(clknet_leaf_53_mclk),
     .D(_0612_),
-    .RESET_B(net283),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -156227,7 +156370,7 @@
     .Q(\u_pinmux_reg.cfg_pwm3_high[7] ));
  sky130_fd_sc_hd__dfrtp_1 _9055_ (.CLK(clknet_leaf_114_mclk),
     .D(_0026_),
-    .RESET_B(net279),
+    .RESET_B(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -156235,7 +156378,7 @@
     .Q(\u_pulse_1us.cnt[0] ));
  sky130_fd_sc_hd__dfrtp_1 _9056_ (.CLK(clknet_leaf_114_mclk),
     .D(_0027_),
-    .RESET_B(net279),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -156243,7 +156386,7 @@
     .Q(\u_pulse_1us.cnt[1] ));
  sky130_fd_sc_hd__dfrtp_1 _9057_ (.CLK(clknet_leaf_114_mclk),
     .D(_0028_),
-    .RESET_B(net279),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -156251,15 +156394,15 @@
     .Q(\u_pulse_1us.cnt[2] ));
  sky130_fd_sc_hd__dfrtp_1 _9058_ (.CLK(clknet_leaf_114_mclk),
     .D(_0029_),
-    .RESET_B(net279),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pulse_1us.cnt[3] ));
- sky130_fd_sc_hd__dfrtp_1 _9059_ (.CLK(clknet_leaf_115_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9059_ (.CLK(clknet_leaf_116_mclk),
     .D(_0030_),
-    .RESET_B(net279),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -156267,7 +156410,7 @@
     .Q(\u_pulse_1us.cnt[4] ));
  sky130_fd_sc_hd__dfrtp_1 _9060_ (.CLK(clknet_leaf_115_mclk),
     .D(_0031_),
-    .RESET_B(net279),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -156275,7 +156418,7 @@
     .Q(\u_pulse_1us.cnt[5] ));
  sky130_fd_sc_hd__dfrtp_1 _9061_ (.CLK(clknet_leaf_113_mclk),
     .D(_0032_),
-    .RESET_B(net279),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -156283,7 +156426,7 @@
     .Q(\u_pulse_1us.cnt[6] ));
  sky130_fd_sc_hd__dfrtp_1 _9062_ (.CLK(clknet_leaf_113_mclk),
     .D(_0033_),
-    .RESET_B(net279),
+    .RESET_B(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -156291,7 +156434,7 @@
     .Q(\u_pulse_1us.cnt[7] ));
  sky130_fd_sc_hd__dfrtp_1 _9063_ (.CLK(clknet_leaf_113_mclk),
     .D(_0034_),
-    .RESET_B(net279),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -156299,7 +156442,7 @@
     .Q(\u_pulse_1us.cnt[8] ));
  sky130_fd_sc_hd__dfrtp_1 _9064_ (.CLK(clknet_leaf_113_mclk),
     .D(_0035_),
-    .RESET_B(net279),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -156307,13 +156450,13 @@
     .Q(\u_pulse_1us.cnt[9] ));
  sky130_fd_sc_hd__dfrtp_1 _9065_ (.CLK(clknet_leaf_113_mclk),
     .D(_0025_),
-    .RESET_B(net279),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pulse_1ms.trigger ));
- sky130_fd_sc_hd__dfrtp_1 _9066_ (.CLK(clknet_leaf_36_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9066_ (.CLK(clknet_leaf_38_mclk),
     .D(_0613_),
     .RESET_B(net289),
     .VGND(vssd1),
@@ -156321,7 +156464,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.u_ser_intf.bit_cnt[0] ));
- sky130_fd_sc_hd__dfrtp_1 _9067_ (.CLK(clknet_leaf_36_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9067_ (.CLK(clknet_leaf_37_mclk),
     .D(_0614_),
     .RESET_B(net289),
     .VGND(vssd1),
@@ -156329,7 +156472,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.u_ser_intf.bit_cnt[1] ));
- sky130_fd_sc_hd__dfrtp_1 _9068_ (.CLK(clknet_leaf_37_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9068_ (.CLK(clknet_leaf_38_mclk),
     .D(_0615_),
     .RESET_B(net289),
     .VGND(vssd1),
@@ -156337,7 +156480,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.u_ser_intf.bit_cnt[2] ));
- sky130_fd_sc_hd__dfrtp_1 _9069_ (.CLK(clknet_leaf_37_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9069_ (.CLK(clknet_leaf_38_mclk),
     .D(_0616_),
     .RESET_B(net289),
     .VGND(vssd1),
@@ -156345,7 +156488,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.u_ser_intf.bit_cnt[3] ));
- sky130_fd_sc_hd__dfrtp_1 _9070_ (.CLK(clknet_leaf_37_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9070_ (.CLK(clknet_leaf_38_mclk),
     .D(_0617_),
     .RESET_B(net289),
     .VGND(vssd1),
@@ -156353,7 +156496,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.u_ser_intf.bit_cnt[4] ));
- sky130_fd_sc_hd__dfrtp_1 _9071_ (.CLK(clknet_leaf_35_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9071_ (.CLK(clknet_leaf_38_mclk),
     .D(_0618_),
     .RESET_B(net289),
     .VGND(vssd1),
@@ -156363,23 +156506,23 @@
     .Q(\u_pinmux_reg.u_ser_intf.bit_cnt[5] ));
  sky130_fd_sc_hd__dfrtp_4 _9072_ (.CLK(clknet_leaf_57_mclk),
     .D(_0016_),
-    .RESET_B(net287),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_int_status[8] ));
- sky130_fd_sc_hd__dfrtp_4 _9073_ (.CLK(clknet_leaf_57_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9073_ (.CLK(clknet_leaf_56_mclk),
     .D(_0017_),
-    .RESET_B(net287),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_int_status[9] ));
- sky130_fd_sc_hd__dfrtp_1 _9074_ (.CLK(clknet_leaf_20_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9074_ (.CLK(clknet_leaf_21_mclk),
     .D(_0018_),
-    .RESET_B(net282),
+    .RESET_B(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -156387,7 +156530,7 @@
     .Q(\u_pinmux_reg.cfg_gpio_int_status[10] ));
  sky130_fd_sc_hd__dfrtp_2 _9075_ (.CLK(clknet_leaf_56_mclk),
     .D(_0019_),
-    .RESET_B(net282),
+    .RESET_B(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -156395,15 +156538,15 @@
     .Q(\u_pinmux_reg.cfg_gpio_int_status[11] ));
  sky130_fd_sc_hd__dfrtp_4 _9076_ (.CLK(clknet_leaf_86_mclk),
     .D(_0020_),
-    .RESET_B(net275),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_int_status[12] ));
- sky130_fd_sc_hd__dfrtp_4 _9077_ (.CLK(clknet_leaf_62_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9077_ (.CLK(clknet_leaf_61_mclk),
     .D(_0021_),
-    .RESET_B(net287),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -156411,7 +156554,7 @@
     .Q(\u_pinmux_reg.cfg_gpio_int_status[13] ));
  sky130_fd_sc_hd__dfrtp_4 _9078_ (.CLK(clknet_leaf_86_mclk),
     .D(_0022_),
-    .RESET_B(net275),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -156419,29 +156562,29 @@
     .Q(\u_pinmux_reg.cfg_gpio_int_status[14] ));
  sky130_fd_sc_hd__dfrtp_4 _9079_ (.CLK(clknet_leaf_91_mclk),
     .D(_0023_),
-    .RESET_B(net275),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_int_status[15] ));
- sky130_fd_sc_hd__dfrtp_4 _9080_ (.CLK(clknet_leaf_33_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9080_ (.CLK(clknet_leaf_34_mclk),
     .D(_0009_),
-    .RESET_B(net284),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_pinmux_reg.cfg_gpio_int_status[16] ));
- sky130_fd_sc_hd__dfrtp_2 _9081_ (.CLK(clknet_leaf_27_mclk),
-    .D(_0010_),
     .RESET_B(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .Q(\u_pinmux_reg.cfg_gpio_int_status[16] ));
+ sky130_fd_sc_hd__dfrtp_2 _9081_ (.CLK(clknet_leaf_28_mclk),
+    .D(_0010_),
+    .RESET_B(net480),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_int_status[17] ));
- sky130_fd_sc_hd__dfrtp_2 _9082_ (.CLK(clknet_leaf_30_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _9082_ (.CLK(clknet_leaf_31_mclk),
     .D(_0011_),
     .RESET_B(net285),
     .VGND(vssd1),
@@ -156449,15 +156592,15 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_int_status[18] ));
- sky130_fd_sc_hd__dfrtp_4 _9083_ (.CLK(clknet_leaf_32_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9083_ (.CLK(clknet_leaf_31_mclk),
     .D(_0012_),
-    .RESET_B(net285),
+    .RESET_B(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_int_status[19] ));
- sky130_fd_sc_hd__dfrtp_4 _9084_ (.CLK(clknet_leaf_6_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9084_ (.CLK(clknet_leaf_9_mclk),
     .D(_0013_),
     .RESET_B(net289),
     .VGND(vssd1),
@@ -156465,23 +156608,23 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_int_status[20] ));
- sky130_fd_sc_hd__dfrtp_4 _9085_ (.CLK(clknet_leaf_8_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9085_ (.CLK(clknet_leaf_9_mclk),
     .D(_0014_),
-    .RESET_B(net289),
+    .RESET_B(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_int_status[21] ));
- sky130_fd_sc_hd__dfrtp_4 _9086_ (.CLK(clknet_leaf_6_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9086_ (.CLK(clknet_leaf_9_mclk),
     .D(_0015_),
-    .RESET_B(net285),
+    .RESET_B(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_int_status[22] ));
- sky130_fd_sc_hd__dfrtp_1 _9087_ (.CLK(clknet_leaf_120_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9087_ (.CLK(clknet_leaf_122_mclk),
     .D(_0001_),
     .RESET_B(net278),
     .VGND(vssd1),
@@ -156489,33 +156632,33 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_int_status[24] ));
- sky130_fd_sc_hd__dfrtp_4 _9088_ (.CLK(clknet_leaf_119_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9088_ (.CLK(clknet_leaf_120_mclk),
     .D(_0002_),
-    .RESET_B(net278),
+    .RESET_B(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_int_status[25] ));
- sky130_fd_sc_hd__dfrtp_4 _9089_ (.CLK(clknet_leaf_120_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9089_ (.CLK(clknet_leaf_121_mclk),
     .D(_0003_),
-    .RESET_B(net278),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_int_status[26] ));
- sky130_fd_sc_hd__dfrtp_4 _9090_ (.CLK(clknet_leaf_110_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9090_ (.CLK(clknet_leaf_111_mclk),
     .D(_0004_),
-    .RESET_B(net277),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_int_status[27] ));
- sky130_fd_sc_hd__dfrtp_4 _9091_ (.CLK(clknet_leaf_93_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9091_ (.CLK(clknet_leaf_94_mclk),
     .D(_0005_),
-    .RESET_B(net277),
+    .RESET_B(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -156523,15 +156666,15 @@
     .Q(\u_pinmux_reg.cfg_gpio_int_status[28] ));
  sky130_fd_sc_hd__dfrtp_4 _9092_ (.CLK(clknet_leaf_102_mclk),
     .D(_0006_),
-    .RESET_B(net277),
+    .RESET_B(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_int_status[29] ));
- sky130_fd_sc_hd__dfrtp_4 _9093_ (.CLK(clknet_leaf_94_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9093_ (.CLK(clknet_leaf_102_mclk),
     .D(_0007_),
-    .RESET_B(net277),
+    .RESET_B(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -156539,197 +156682,197 @@
     .Q(\u_pinmux_reg.cfg_gpio_int_status[30] ));
  sky130_fd_sc_hd__dfrtp_4 _9094_ (.CLK(clknet_leaf_102_mclk),
     .D(_0008_),
-    .RESET_B(net277),
+    .RESET_B(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_int_status[31] ));
- sky130_fd_sc_hd__dfrtp_4 _9095_ (.CLK(clknet_leaf_105_mclk),
-    .D(net512),
-    .RESET_B(net278),
+ sky130_fd_sc_hd__dfrtp_4 _9095_ (.CLK(clknet_leaf_101_mclk),
+    .D(net517),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.gpio_prev_indata[8] ));
  sky130_fd_sc_hd__dfrtp_4 _9096_ (.CLK(clknet_leaf_105_mclk),
-    .D(net507),
-    .RESET_B(net278),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_gpio_intr.gpio_prev_indata[9] ));
- sky130_fd_sc_hd__dfrtp_4 _9097_ (.CLK(clknet_leaf_100_mclk),
-    .D(net502),
-    .RESET_B(net277),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_gpio_intr.gpio_prev_indata[10] ));
- sky130_fd_sc_hd__dfrtp_4 _9098_ (.CLK(clknet_leaf_101_mclk),
-    .D(net465),
-    .RESET_B(net278),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_gpio_intr.gpio_prev_indata[11] ));
- sky130_fd_sc_hd__dfrtp_4 _9099_ (.CLK(clknet_leaf_71_mclk),
-    .D(net501),
-    .RESET_B(net287),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_gpio_intr.gpio_prev_indata[12] ));
- sky130_fd_sc_hd__dfrtp_4 _9100_ (.CLK(clknet_leaf_70_mclk),
-    .D(net491),
-    .RESET_B(net287),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_gpio_intr.gpio_prev_indata[13] ));
- sky130_fd_sc_hd__dfrtp_4 _9101_ (.CLK(clknet_leaf_106_mclk),
-    .D(net515),
-    .RESET_B(net279),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_gpio_intr.gpio_prev_indata[14] ));
- sky130_fd_sc_hd__dfrtp_4 _9102_ (.CLK(clknet_leaf_98_mclk),
-    .D(\u_pinmux_reg.gpio_in_data_s[15] ),
-    .RESET_B(net277),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_gpio_intr.gpio_prev_indata[15] ));
- sky130_fd_sc_hd__dfrtp_4 _9103_ (.CLK(clknet_leaf_75_mclk),
-    .D(net503),
-    .RESET_B(net287),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_gpio_intr.gpio_prev_indata[16] ));
- sky130_fd_sc_hd__dfrtp_4 _9104_ (.CLK(clknet_leaf_70_mclk),
-    .D(net508),
-    .RESET_B(net287),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_gpio_intr.gpio_prev_indata[17] ));
- sky130_fd_sc_hd__dfrtp_4 _9105_ (.CLK(clknet_leaf_75_mclk),
-    .D(net494),
-    .RESET_B(net287),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_gpio_intr.gpio_prev_indata[18] ));
- sky130_fd_sc_hd__dfrtp_4 _9106_ (.CLK(clknet_leaf_71_mclk),
-    .D(\u_pinmux_reg.gpio_in_data_s[19] ),
-    .RESET_B(net287),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_gpio_intr.gpio_prev_indata[19] ));
- sky130_fd_sc_hd__dfrtp_4 _9107_ (.CLK(clknet_leaf_31_mclk),
-    .D(net506),
-    .RESET_B(net289),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_gpio_intr.gpio_prev_indata[20] ));
- sky130_fd_sc_hd__dfrtp_4 _9108_ (.CLK(clknet_leaf_31_mclk),
-    .D(net504),
-    .RESET_B(net289),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_gpio_intr.gpio_prev_indata[21] ));
- sky130_fd_sc_hd__dfrtp_4 _9109_ (.CLK(clknet_leaf_100_mclk),
-    .D(net510),
-    .RESET_B(net278),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_gpio_intr.gpio_prev_indata[22] ));
- sky130_fd_sc_hd__dfrtp_4 _9110_ (.CLK(clknet_leaf_108_mclk),
-    .D(\u_pinmux_reg.gpio_in_data_s[24] ),
-    .RESET_B(net279),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_gpio_intr.gpio_prev_indata[24] ));
- sky130_fd_sc_hd__dfrtp_4 _9111_ (.CLK(clknet_leaf_106_mclk),
-    .D(\u_pinmux_reg.gpio_in_data_s[25] ),
-    .RESET_B(net279),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_gpio_intr.gpio_prev_indata[25] ));
- sky130_fd_sc_hd__dfrtp_4 _9112_ (.CLK(clknet_leaf_108_mclk),
     .D(net516),
     .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .Q(\u_gpio_intr.gpio_prev_indata[9] ));
+ sky130_fd_sc_hd__dfrtp_4 _9097_ (.CLK(clknet_leaf_99_mclk),
+    .D(net511),
+    .RESET_B(net278),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_gpio_intr.gpio_prev_indata[10] ));
+ sky130_fd_sc_hd__dfrtp_4 _9098_ (.CLK(clknet_leaf_101_mclk),
+    .D(net474),
+    .RESET_B(net279),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_gpio_intr.gpio_prev_indata[11] ));
+ sky130_fd_sc_hd__dfrtp_4 _9099_ (.CLK(clknet_leaf_70_mclk),
+    .D(net466),
+    .RESET_B(net286),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_gpio_intr.gpio_prev_indata[12] ));
+ sky130_fd_sc_hd__dfrtp_4 _9100_ (.CLK(clknet_leaf_70_mclk),
+    .D(\u_pinmux_reg.gpio_in_data_s[13] ),
+    .RESET_B(net286),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_gpio_intr.gpio_prev_indata[13] ));
+ sky130_fd_sc_hd__dfrtp_4 _9101_ (.CLK(clknet_leaf_107_mclk),
+    .D(net507),
+    .RESET_B(net281),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_gpio_intr.gpio_prev_indata[14] ));
+ sky130_fd_sc_hd__dfrtp_4 _9102_ (.CLK(clknet_leaf_105_mclk),
+    .D(\u_pinmux_reg.gpio_in_data_s[15] ),
+    .RESET_B(net279),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_gpio_intr.gpio_prev_indata[15] ));
+ sky130_fd_sc_hd__dfrtp_4 _9103_ (.CLK(clknet_leaf_73_mclk),
+    .D(net520),
+    .RESET_B(net286),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_gpio_intr.gpio_prev_indata[16] ));
+ sky130_fd_sc_hd__dfrtp_4 _9104_ (.CLK(clknet_leaf_69_mclk),
+    .D(net499),
+    .RESET_B(net287),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_gpio_intr.gpio_prev_indata[17] ));
+ sky130_fd_sc_hd__dfrtp_4 _9105_ (.CLK(clknet_leaf_73_mclk),
+    .D(\u_pinmux_reg.gpio_in_data_s[18] ),
+    .RESET_B(net286),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_gpio_intr.gpio_prev_indata[18] ));
+ sky130_fd_sc_hd__dfrtp_4 _9106_ (.CLK(clknet_leaf_70_mclk),
+    .D(net512),
+    .RESET_B(net286),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_gpio_intr.gpio_prev_indata[19] ));
+ sky130_fd_sc_hd__dfrtp_4 _9107_ (.CLK(clknet_leaf_37_mclk),
+    .D(net514),
+    .RESET_B(net289),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_gpio_intr.gpio_prev_indata[20] ));
+ sky130_fd_sc_hd__dfrtp_4 _9108_ (.CLK(clknet_leaf_32_mclk),
+    .D(net519),
+    .RESET_B(net289),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_gpio_intr.gpio_prev_indata[21] ));
+ sky130_fd_sc_hd__dfrtp_4 _9109_ (.CLK(clknet_leaf_101_mclk),
+    .D(net513),
+    .RESET_B(net279),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_gpio_intr.gpio_prev_indata[22] ));
+ sky130_fd_sc_hd__dfrtp_4 _9110_ (.CLK(clknet_leaf_109_mclk),
+    .D(\u_pinmux_reg.gpio_in_data_s[24] ),
+    .RESET_B(net281),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_gpio_intr.gpio_prev_indata[24] ));
+ sky130_fd_sc_hd__dfrtp_4 _9111_ (.CLK(clknet_leaf_106_mclk),
+    .D(net521),
+    .RESET_B(net281),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_gpio_intr.gpio_prev_indata[25] ));
+ sky130_fd_sc_hd__dfrtp_4 _9112_ (.CLK(clknet_leaf_109_mclk),
+    .D(net518),
+    .RESET_B(net281),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .Q(\u_gpio_intr.gpio_prev_indata[26] ));
  sky130_fd_sc_hd__dfrtp_1 _9113_ (.CLK(clknet_leaf_113_mclk),
-    .D(net505),
-    .RESET_B(net279),
+    .D(net509),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.gpio_prev_indata[27] ));
- sky130_fd_sc_hd__dfrtp_4 _9114_ (.CLK(clknet_leaf_106_mclk),
-    .D(net488),
-    .RESET_B(net279),
+ sky130_fd_sc_hd__dfrtp_4 _9114_ (.CLK(clknet_leaf_107_mclk),
+    .D(net510),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.gpio_prev_indata[28] ));
- sky130_fd_sc_hd__dfrtp_1 _9115_ (.CLK(clknet_leaf_101_mclk),
-    .D(\u_pinmux_reg.gpio_in_data_s[29] ),
-    .RESET_B(net278),
+ sky130_fd_sc_hd__dfrtp_2 _9115_ (.CLK(clknet_leaf_105_mclk),
+    .D(net494),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.gpio_prev_indata[29] ));
- sky130_fd_sc_hd__dfrtp_2 _9116_ (.CLK(clknet_leaf_100_mclk),
-    .D(net517),
-    .RESET_B(net278),
+ sky130_fd_sc_hd__dfrtp_2 _9116_ (.CLK(clknet_leaf_101_mclk),
+    .D(net489),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.gpio_prev_indata[30] ));
- sky130_fd_sc_hd__dfrtp_1 _9117_ (.CLK(clknet_leaf_100_mclk),
-    .D(net467),
-    .RESET_B(net278),
+ sky130_fd_sc_hd__dfrtp_2 _9117_ (.CLK(clknet_leaf_101_mclk),
+    .D(net490),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.gpio_prev_indata[31] ));
- sky130_fd_sc_hd__dfrtp_1 _9118_ (.CLK(clknet_leaf_79_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9118_ (.CLK(clknet_leaf_78_mclk),
     .D(_0619_),
     .RESET_B(net275),
     .VGND(vssd1),
@@ -156737,121 +156880,121 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_5.pwm_cnt[0] ));
- sky130_fd_sc_hd__dfrtp_1 _9119_ (.CLK(clknet_leaf_81_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9119_ (.CLK(clknet_leaf_80_mclk),
     .D(_0620_),
-    .RESET_B(net276),
+    .RESET_B(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_5.pwm_cnt[1] ));
- sky130_fd_sc_hd__dfrtp_1 _9120_ (.CLK(clknet_leaf_79_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9120_ (.CLK(clknet_leaf_80_mclk),
     .D(_0621_),
-    .RESET_B(net276),
+    .RESET_B(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_5.pwm_cnt[2] ));
- sky130_fd_sc_hd__dfrtp_1 _9121_ (.CLK(clknet_leaf_81_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9121_ (.CLK(clknet_leaf_80_mclk),
     .D(_0622_),
-    .RESET_B(net276),
+    .RESET_B(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_5.pwm_cnt[3] ));
- sky130_fd_sc_hd__dfrtp_1 _9122_ (.CLK(clknet_leaf_80_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9122_ (.CLK(clknet_leaf_79_mclk),
     .D(_0623_),
-    .RESET_B(net277),
+    .RESET_B(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_5.pwm_cnt[4] ));
- sky130_fd_sc_hd__dfrtp_1 _9123_ (.CLK(clknet_leaf_80_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9123_ (.CLK(clknet_leaf_78_mclk),
     .D(_0624_),
-    .RESET_B(net276),
+    .RESET_B(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_5.pwm_cnt[5] ));
- sky130_fd_sc_hd__dfrtp_1 _9124_ (.CLK(clknet_leaf_79_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9124_ (.CLK(clknet_leaf_78_mclk),
     .D(_0625_),
-    .RESET_B(net276),
+    .RESET_B(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_5.pwm_cnt[6] ));
- sky130_fd_sc_hd__dfrtp_1 _9125_ (.CLK(clknet_leaf_80_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9125_ (.CLK(clknet_leaf_79_mclk),
     .D(_0626_),
-    .RESET_B(net277),
+    .RESET_B(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_5.pwm_cnt[7] ));
- sky130_fd_sc_hd__dfrtp_1 _9126_ (.CLK(clknet_leaf_98_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9126_ (.CLK(clknet_leaf_99_mclk),
     .D(_0627_),
-    .RESET_B(net277),
+    .RESET_B(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_5.pwm_cnt[8] ));
- sky130_fd_sc_hd__dfrtp_1 _9127_ (.CLK(clknet_leaf_80_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9127_ (.CLK(clknet_leaf_79_mclk),
     .D(_0628_),
-    .RESET_B(net277),
+    .RESET_B(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_5.pwm_cnt[9] ));
- sky130_fd_sc_hd__dfrtp_1 _9128_ (.CLK(clknet_leaf_98_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9128_ (.CLK(clknet_leaf_99_mclk),
     .D(_0629_),
-    .RESET_B(net277),
+    .RESET_B(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_5.pwm_cnt[10] ));
- sky130_fd_sc_hd__dfrtp_1 _9129_ (.CLK(clknet_leaf_98_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9129_ (.CLK(clknet_leaf_99_mclk),
     .D(_0630_),
-    .RESET_B(net277),
+    .RESET_B(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_5.pwm_cnt[11] ));
- sky130_fd_sc_hd__dfrtp_1 _9130_ (.CLK(clknet_leaf_98_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9130_ (.CLK(clknet_leaf_99_mclk),
     .D(_0631_),
-    .RESET_B(net277),
+    .RESET_B(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_5.pwm_cnt[12] ));
- sky130_fd_sc_hd__dfrtp_1 _9131_ (.CLK(clknet_leaf_97_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9131_ (.CLK(clknet_leaf_98_mclk),
     .D(_0632_),
-    .RESET_B(net277),
+    .RESET_B(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_5.pwm_cnt[13] ));
- sky130_fd_sc_hd__dfrtp_1 _9132_ (.CLK(clknet_leaf_97_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9132_ (.CLK(clknet_leaf_98_mclk),
     .D(_0633_),
-    .RESET_B(net277),
+    .RESET_B(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_5.pwm_cnt[14] ));
- sky130_fd_sc_hd__dfrtp_1 _9133_ (.CLK(clknet_leaf_96_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9133_ (.CLK(clknet_leaf_97_mclk),
     .D(_0634_),
-    .RESET_B(net276),
+    .RESET_B(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -156859,31 +157002,31 @@
     .Q(\u_pwm_5.pwm_cnt[15] ));
  sky130_fd_sc_hd__dfrtp_1 _9134_ (.CLK(clknet_leaf_46_mclk),
     .D(_0635_),
-    .RESET_B(net282),
+    .RESET_B(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_0.waveform ));
- sky130_fd_sc_hd__dfrtp_1 _9135_ (.CLK(clknet_leaf_109_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9135_ (.CLK(clknet_leaf_110_mclk),
     .D(_0636_),
-    .RESET_B(net279),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pulse_1ms.cnt[0] ));
- sky130_fd_sc_hd__dfrtp_1 _9136_ (.CLK(clknet_leaf_109_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9136_ (.CLK(clknet_leaf_110_mclk),
     .D(_0637_),
-    .RESET_B(net278),
+    .RESET_B(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pulse_1ms.cnt[1] ));
- sky130_fd_sc_hd__dfrtp_1 _9137_ (.CLK(clknet_leaf_109_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9137_ (.CLK(clknet_leaf_110_mclk),
     .D(_0638_),
-    .RESET_B(net279),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -156891,23 +157034,23 @@
     .Q(\u_pulse_1ms.cnt[2] ));
  sky130_fd_sc_hd__dfrtp_1 _9138_ (.CLK(clknet_leaf_107_mclk),
     .D(_0639_),
-    .RESET_B(net279),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pulse_1ms.cnt[3] ));
- sky130_fd_sc_hd__dfrtp_1 _9139_ (.CLK(clknet_leaf_108_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9139_ (.CLK(clknet_leaf_109_mclk),
     .D(_0640_),
-    .RESET_B(net279),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pulse_1ms.cnt[4] ));
- sky130_fd_sc_hd__dfrtp_1 _9140_ (.CLK(clknet_leaf_108_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9140_ (.CLK(clknet_leaf_109_mclk),
     .D(_0641_),
-    .RESET_B(net279),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -156915,101 +157058,101 @@
     .Q(\u_pulse_1ms.cnt[5] ));
  sky130_fd_sc_hd__dfrtp_1 _9141_ (.CLK(clknet_leaf_108_mclk),
     .D(_0642_),
-    .RESET_B(net279),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pulse_1ms.cnt[6] ));
- sky130_fd_sc_hd__dfrtp_1 _9142_ (.CLK(clknet_leaf_107_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9142_ (.CLK(clknet_leaf_108_mclk),
     .D(_0643_),
-    .RESET_B(net279),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pulse_1ms.cnt[7] ));
- sky130_fd_sc_hd__dfrtp_1 _9143_ (.CLK(clknet_leaf_107_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9143_ (.CLK(clknet_leaf_108_mclk),
     .D(_0644_),
-    .RESET_B(net279),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pulse_1ms.cnt[8] ));
- sky130_fd_sc_hd__dfrtp_1 _9144_ (.CLK(clknet_leaf_107_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9144_ (.CLK(clknet_leaf_108_mclk),
     .D(_0645_),
-    .RESET_B(net279),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pulse_1ms.cnt[9] ));
- sky130_fd_sc_hd__dfrtp_1 _9145_ (.CLK(clknet_leaf_140_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9145_ (.CLK(clknet_leaf_4_mclk),
     .D(_0646_),
-    .RESET_B(net290),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_out_type[0] ));
- sky130_fd_sc_hd__dfrtp_1 _9146_ (.CLK(clknet_leaf_140_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9146_ (.CLK(clknet_leaf_142_mclk),
     .D(_0647_),
-    .RESET_B(net290),
+    .RESET_B(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_out_type[1] ));
- sky130_fd_sc_hd__dfrtp_1 _9147_ (.CLK(clknet_leaf_3_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9147_ (.CLK(clknet_leaf_142_mclk),
     .D(_0648_),
-    .RESET_B(net290),
+    .RESET_B(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_out_type[2] ));
- sky130_fd_sc_hd__dfrtp_1 _9148_ (.CLK(clknet_leaf_3_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9148_ (.CLK(clknet_leaf_15_mclk),
     .D(_0649_),
-    .RESET_B(net290),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_out_type[3] ));
- sky130_fd_sc_hd__dfrtp_1 _9149_ (.CLK(clknet_leaf_144_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9149_ (.CLK(clknet_leaf_146_mclk),
     .D(_0650_),
-    .RESET_B(net290),
+    .RESET_B(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_out_type[4] ));
- sky130_fd_sc_hd__dfrtp_1 _9150_ (.CLK(clknet_leaf_144_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9150_ (.CLK(clknet_leaf_146_mclk),
     .D(_0651_),
-    .RESET_B(net290),
+    .RESET_B(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_out_type[5] ));
- sky130_fd_sc_hd__dfrtp_1 _9151_ (.CLK(clknet_leaf_144_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9151_ (.CLK(clknet_leaf_145_mclk),
     .D(_0652_),
-    .RESET_B(net290),
+    .RESET_B(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_out_type[6] ));
- sky130_fd_sc_hd__dfrtp_1 _9152_ (.CLK(clknet_leaf_143_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9152_ (.CLK(clknet_leaf_145_mclk),
     .D(_0653_),
-    .RESET_B(net290),
+    .RESET_B(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_out_type[7] ));
- sky130_fd_sc_hd__dfrtp_1 _9153_ (.CLK(clknet_leaf_36_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9153_ (.CLK(clknet_leaf_37_mclk),
     .D(_4188_),
     .RESET_B(net289),
     .VGND(vssd1),
@@ -157017,7 +157160,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.u_ser_intf.state ));
- sky130_fd_sc_hd__dfrtp_1 _9154_ (.CLK(clknet_leaf_36_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9154_ (.CLK(clknet_leaf_37_mclk),
     .D(_0654_),
     .RESET_B(net289),
     .VGND(vssd1),
@@ -157025,55 +157168,55 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.serial_ack ));
- sky130_fd_sc_hd__dfrtp_1 _9155_ (.CLK(clknet_leaf_14_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9155_ (.CLK(clknet_leaf_15_mclk),
     .D(_0655_),
-    .RESET_B(net284),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_27[0] ));
- sky130_fd_sc_hd__dfrtp_1 _9156_ (.CLK(clknet_leaf_130_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9156_ (.CLK(clknet_leaf_132_mclk),
     .D(_0656_),
-    .RESET_B(net290),
+    .RESET_B(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_27[1] ));
- sky130_fd_sc_hd__dfrtp_1 _9157_ (.CLK(clknet_leaf_130_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9157_ (.CLK(clknet_leaf_132_mclk),
     .D(_0657_),
-    .RESET_B(net290),
+    .RESET_B(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_27[2] ));
- sky130_fd_sc_hd__dfrtp_1 _9158_ (.CLK(clknet_leaf_129_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9158_ (.CLK(clknet_leaf_131_mclk),
     .D(_0658_),
-    .RESET_B(net288),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_27[3] ));
- sky130_fd_sc_hd__dfrtp_1 _9159_ (.CLK(clknet_leaf_139_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9159_ (.CLK(clknet_leaf_141_mclk),
     .D(_0659_),
-    .RESET_B(net280),
+    .RESET_B(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_27[4] ));
- sky130_fd_sc_hd__dfrtp_1 _9160_ (.CLK(clknet_leaf_139_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9160_ (.CLK(clknet_leaf_141_mclk),
     .D(_0660_),
-    .RESET_B(net280),
+    .RESET_B(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_27[5] ));
- sky130_fd_sc_hd__dfrtp_1 _9161_ (.CLK(clknet_leaf_138_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9161_ (.CLK(clknet_leaf_140_mclk),
     .D(_0661_),
     .RESET_B(net280),
     .VGND(vssd1),
@@ -157081,7 +157224,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_27[6] ));
- sky130_fd_sc_hd__dfrtp_1 _9162_ (.CLK(clknet_leaf_138_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9162_ (.CLK(clknet_leaf_140_mclk),
     .D(_0662_),
     .RESET_B(net280),
     .VGND(vssd1),
@@ -157089,167 +157232,167 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_27[7] ));
- sky130_fd_sc_hd__dfrtp_1 _9163_ (.CLK(clknet_leaf_14_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9163_ (.CLK(clknet_leaf_15_mclk),
     .D(_0663_),
-    .RESET_B(net284),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_26[0] ));
- sky130_fd_sc_hd__dfrtp_1 _9164_ (.CLK(clknet_leaf_130_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9164_ (.CLK(clknet_leaf_132_mclk),
     .D(_0664_),
-    .RESET_B(net290),
+    .RESET_B(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_26[1] ));
- sky130_fd_sc_hd__dfrtp_1 _9165_ (.CLK(clknet_leaf_140_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9165_ (.CLK(clknet_leaf_142_mclk),
     .D(_0665_),
-    .RESET_B(net290),
+    .RESET_B(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_26[2] ));
- sky130_fd_sc_hd__dfrtp_1 _9166_ (.CLK(clknet_leaf_129_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9166_ (.CLK(clknet_leaf_15_mclk),
     .D(_0666_),
-    .RESET_B(net288),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_26[3] ));
- sky130_fd_sc_hd__dfrtp_1 _9167_ (.CLK(clknet_leaf_143_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9167_ (.CLK(clknet_leaf_145_mclk),
     .D(_0667_),
-    .RESET_B(net290),
+    .RESET_B(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_26[4] ));
- sky130_fd_sc_hd__dfrtp_1 _9168_ (.CLK(clknet_leaf_144_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9168_ (.CLK(clknet_leaf_146_mclk),
     .D(_0668_),
-    .RESET_B(net290),
+    .RESET_B(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_26[5] ));
- sky130_fd_sc_hd__dfrtp_1 _9169_ (.CLK(clknet_leaf_143_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9169_ (.CLK(clknet_leaf_145_mclk),
     .D(_0669_),
-    .RESET_B(net290),
+    .RESET_B(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_26[6] ));
- sky130_fd_sc_hd__dfrtp_1 _9170_ (.CLK(clknet_leaf_141_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9170_ (.CLK(clknet_leaf_143_mclk),
     .D(_0670_),
-    .RESET_B(net290),
+    .RESET_B(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_26[7] ));
- sky130_fd_sc_hd__dfrtp_1 _9171_ (.CLK(clknet_leaf_15_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9171_ (.CLK(clknet_leaf_16_mclk),
     .D(_0671_),
-    .RESET_B(net288),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_25[0] ));
- sky130_fd_sc_hd__dfrtp_1 _9172_ (.CLK(clknet_leaf_14_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9172_ (.CLK(clknet_leaf_15_mclk),
     .D(_0672_),
-    .RESET_B(net290),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_25[1] ));
- sky130_fd_sc_hd__dfrtp_1 _9173_ (.CLK(clknet_leaf_3_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9173_ (.CLK(clknet_leaf_4_mclk),
     .D(_0673_),
-    .RESET_B(net290),
+    .RESET_B(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_25[2] ));
- sky130_fd_sc_hd__dfrtp_1 _9174_ (.CLK(clknet_leaf_15_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9174_ (.CLK(clknet_leaf_16_mclk),
     .D(_0674_),
-    .RESET_B(net288),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_25[3] ));
- sky130_fd_sc_hd__dfrtp_1 _9175_ (.CLK(clknet_leaf_2_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9175_ (.CLK(clknet_leaf_3_mclk),
     .D(_0675_),
-    .RESET_B(net290),
+    .RESET_B(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_25[4] ));
- sky130_fd_sc_hd__dfrtp_1 _9176_ (.CLK(clknet_leaf_141_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9176_ (.CLK(clknet_leaf_3_mclk),
     .D(_0676_),
-    .RESET_B(net290),
+    .RESET_B(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_25[5] ));
- sky130_fd_sc_hd__dfrtp_1 _9177_ (.CLK(clknet_leaf_141_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9177_ (.CLK(clknet_leaf_143_mclk),
     .D(_0677_),
-    .RESET_B(net290),
+    .RESET_B(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_25[6] ));
- sky130_fd_sc_hd__dfrtp_1 _9178_ (.CLK(clknet_leaf_141_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9178_ (.CLK(clknet_leaf_143_mclk),
     .D(_0678_),
-    .RESET_B(net290),
+    .RESET_B(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_25[7] ));
- sky130_fd_sc_hd__dfrtp_1 _9179_ (.CLK(clknet_leaf_129_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9179_ (.CLK(clknet_leaf_16_mclk),
     .D(_0679_),
-    .RESET_B(net288),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_24[0] ));
- sky130_fd_sc_hd__dfrtp_1 _9180_ (.CLK(clknet_leaf_131_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9180_ (.CLK(clknet_leaf_132_mclk),
     .D(_0680_),
-    .RESET_B(net290),
+    .RESET_B(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_24[1] ));
- sky130_fd_sc_hd__dfrtp_1 _9181_ (.CLK(clknet_leaf_130_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9181_ (.CLK(clknet_leaf_131_mclk),
     .D(_0681_),
-    .RESET_B(net290),
+    .RESET_B(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_24[2] ));
- sky130_fd_sc_hd__dfrtp_1 _9182_ (.CLK(clknet_leaf_129_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9182_ (.CLK(clknet_leaf_131_mclk),
     .D(_0682_),
-    .RESET_B(net290),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_24[3] ));
- sky130_fd_sc_hd__dfrtp_1 _9183_ (.CLK(clknet_leaf_136_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9183_ (.CLK(clknet_leaf_141_mclk),
     .D(_0683_),
     .RESET_B(net280),
     .VGND(vssd1),
@@ -157257,7 +157400,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_24[4] ));
- sky130_fd_sc_hd__dfrtp_1 _9184_ (.CLK(clknet_leaf_136_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9184_ (.CLK(clknet_leaf_138_mclk),
     .D(_0684_),
     .RESET_B(net280),
     .VGND(vssd1),
@@ -157265,7 +157408,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_24[5] ));
- sky130_fd_sc_hd__dfrtp_1 _9185_ (.CLK(clknet_leaf_136_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9185_ (.CLK(clknet_leaf_138_mclk),
     .D(_0685_),
     .RESET_B(net280),
     .VGND(vssd1),
@@ -157273,7 +157416,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_24[6] ));
- sky130_fd_sc_hd__dfrtp_1 _9186_ (.CLK(clknet_leaf_139_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9186_ (.CLK(clknet_leaf_138_mclk),
     .D(_0686_),
     .RESET_B(net280),
     .VGND(vssd1),
@@ -157281,23 +157424,23 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_24[7] ));
- sky130_fd_sc_hd__dfrtp_1 _9187_ (.CLK(clknet_leaf_132_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9187_ (.CLK(clknet_leaf_134_mclk),
     .D(_0687_),
-    .RESET_B(net280),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_23[0] ));
- sky130_fd_sc_hd__dfstp_1 _9188_ (.CLK(clknet_leaf_131_mclk),
+ sky130_fd_sc_hd__dfstp_1 _9188_ (.CLK(clknet_leaf_133_mclk),
     .D(_0688_),
-    .SET_B(net280),
+    .SET_B(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_23[1] ));
- sky130_fd_sc_hd__dfrtp_1 _9189_ (.CLK(clknet_leaf_131_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9189_ (.CLK(clknet_leaf_133_mclk),
     .D(_0689_),
     .RESET_B(net280),
     .VGND(vssd1),
@@ -157305,15 +157448,15 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_23[2] ));
- sky130_fd_sc_hd__dfrtp_1 _9190_ (.CLK(clknet_leaf_132_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9190_ (.CLK(clknet_leaf_134_mclk),
     .D(_0690_),
-    .RESET_B(net280),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_23[3] ));
- sky130_fd_sc_hd__dfrtp_1 _9191_ (.CLK(clknet_leaf_131_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9191_ (.CLK(clknet_leaf_133_mclk),
     .D(_0691_),
     .RESET_B(net280),
     .VGND(vssd1),
@@ -157321,7 +157464,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_23[4] ));
- sky130_fd_sc_hd__dfstp_1 _9192_ (.CLK(clknet_leaf_139_mclk),
+ sky130_fd_sc_hd__dfstp_1 _9192_ (.CLK(clknet_leaf_141_mclk),
     .D(_0692_),
     .SET_B(net280),
     .VGND(vssd1),
@@ -157329,55 +157472,55 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_23[5] ));
- sky130_fd_sc_hd__dfrtp_1 _9193_ (.CLK(clknet_leaf_142_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9193_ (.CLK(clknet_leaf_143_mclk),
     .D(_0693_),
-    .RESET_B(net280),
+    .RESET_B(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_23[6] ));
- sky130_fd_sc_hd__dfrtp_1 _9194_ (.CLK(clknet_leaf_141_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9194_ (.CLK(clknet_leaf_143_mclk),
     .D(_0694_),
-    .RESET_B(net290),
+    .RESET_B(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_23[7] ));
- sky130_fd_sc_hd__dfstp_1 _9195_ (.CLK(clknet_leaf_131_mclk),
+ sky130_fd_sc_hd__dfstp_1 _9195_ (.CLK(clknet_leaf_134_mclk),
     .D(_0695_),
-    .SET_B(net280),
+    .SET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_22[0] ));
- sky130_fd_sc_hd__dfstp_1 _9196_ (.CLK(clknet_leaf_131_mclk),
+ sky130_fd_sc_hd__dfstp_1 _9196_ (.CLK(clknet_leaf_133_mclk),
     .D(_0696_),
-    .SET_B(net280),
+    .SET_B(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_22[1] ));
- sky130_fd_sc_hd__dfrtp_1 _9197_ (.CLK(clknet_leaf_131_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9197_ (.CLK(clknet_leaf_133_mclk),
     .D(_0697_),
-    .RESET_B(net280),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_22[2] ));
- sky130_fd_sc_hd__dfrtp_1 _9198_ (.CLK(clknet_leaf_132_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9198_ (.CLK(clknet_leaf_134_mclk),
     .D(_0698_),
-    .RESET_B(net280),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_22[3] ));
- sky130_fd_sc_hd__dfrtp_1 _9199_ (.CLK(clknet_leaf_133_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9199_ (.CLK(clknet_leaf_135_mclk),
     .D(_0699_),
     .RESET_B(net280),
     .VGND(vssd1),
@@ -157385,7 +157528,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_22[4] ));
- sky130_fd_sc_hd__dfrtp_1 _9200_ (.CLK(clknet_leaf_139_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9200_ (.CLK(clknet_leaf_141_mclk),
     .D(_0700_),
     .RESET_B(net280),
     .VGND(vssd1),
@@ -157393,89 +157536,89 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_22[5] ));
- sky130_fd_sc_hd__dfstp_1 _9201_ (.CLK(clknet_leaf_141_mclk),
+ sky130_fd_sc_hd__dfstp_1 _9201_ (.CLK(clknet_leaf_143_mclk),
     .D(_0701_),
-    .SET_B(net280),
+    .SET_B(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_22[6] ));
- sky130_fd_sc_hd__dfrtp_1 _9202_ (.CLK(clknet_leaf_140_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9202_ (.CLK(clknet_leaf_142_mclk),
     .D(_0702_),
-    .RESET_B(net280),
+    .RESET_B(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_22[7] ));
- sky130_fd_sc_hd__dfrtp_4 _9203_ (.CLK(clknet_leaf_126_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9203_ (.CLK(clknet_leaf_128_mclk),
     .D(_0703_),
-    .RESET_B(net288),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm5_low[0] ));
- sky130_fd_sc_hd__dfrtp_4 _9204_ (.CLK(clknet_leaf_126_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9204_ (.CLK(clknet_leaf_128_mclk),
     .D(_0704_),
-    .RESET_B(net288),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm5_low[1] ));
- sky130_fd_sc_hd__dfrtp_4 _9205_ (.CLK(clknet_leaf_127_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9205_ (.CLK(clknet_leaf_129_mclk),
     .D(_0705_),
-    .RESET_B(net288),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm5_low[2] ));
- sky130_fd_sc_hd__dfrtp_4 _9206_ (.CLK(clknet_leaf_126_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9206_ (.CLK(clknet_leaf_128_mclk),
     .D(_0706_),
-    .RESET_B(net288),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm5_low[3] ));
- sky130_fd_sc_hd__dfrtp_4 _9207_ (.CLK(clknet_leaf_133_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9207_ (.CLK(clknet_leaf_135_mclk),
     .D(_0707_),
-    .RESET_B(net280),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm5_low[4] ));
- sky130_fd_sc_hd__dfrtp_4 _9208_ (.CLK(clknet_leaf_133_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9208_ (.CLK(clknet_leaf_135_mclk),
     .D(_0708_),
-    .RESET_B(net276),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm5_low[5] ));
- sky130_fd_sc_hd__dfrtp_4 _9209_ (.CLK(clknet_leaf_133_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9209_ (.CLK(clknet_leaf_135_mclk),
     .D(_0709_),
-    .RESET_B(net280),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm5_low[6] ));
- sky130_fd_sc_hd__dfrtp_4 _9210_ (.CLK(clknet_leaf_133_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9210_ (.CLK(clknet_leaf_135_mclk),
     .D(_0710_),
-    .RESET_B(net276),
+    .RESET_B(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm5_low[7] ));
- sky130_fd_sc_hd__dfrtp_2 _9211_ (.CLK(clknet_leaf_132_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _9211_ (.CLK(clknet_leaf_135_mclk),
     .D(_0711_),
-    .RESET_B(net280),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -157483,37 +157626,37 @@
     .Q(\u_pinmux_reg.cfg_pwm4_low[0] ));
  sky130_fd_sc_hd__dfrtp_2 _9212_ (.CLK(clknet_leaf_133_mclk),
     .D(_0712_),
-    .RESET_B(net280),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm4_low[1] ));
- sky130_fd_sc_hd__dfrtp_2 _9213_ (.CLK(clknet_leaf_133_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _9213_ (.CLK(clknet_leaf_134_mclk),
     .D(_0713_),
-    .RESET_B(net276),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm4_low[2] ));
- sky130_fd_sc_hd__dfrtp_2 _9214_ (.CLK(clknet_leaf_132_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _9214_ (.CLK(clknet_leaf_134_mclk),
     .D(_0714_),
-    .RESET_B(net276),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm4_low[3] ));
- sky130_fd_sc_hd__dfrtp_1 _9215_ (.CLK(clknet_leaf_134_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9215_ (.CLK(clknet_leaf_135_mclk),
     .D(_0715_),
-    .RESET_B(net278),
+    .RESET_B(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm4_low[4] ));
- sky130_fd_sc_hd__dfrtp_1 _9216_ (.CLK(clknet_leaf_134_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9216_ (.CLK(clknet_leaf_135_mclk),
     .D(_0716_),
     .RESET_B(net280),
     .VGND(vssd1),
@@ -157521,7 +157664,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm4_low[5] ));
- sky130_fd_sc_hd__dfrtp_1 _9217_ (.CLK(clknet_leaf_134_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9217_ (.CLK(clknet_leaf_135_mclk),
     .D(_0717_),
     .RESET_B(net280),
     .VGND(vssd1),
@@ -157529,73 +157672,73 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm4_low[6] ));
- sky130_fd_sc_hd__dfrtp_1 _9218_ (.CLK(clknet_leaf_134_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9218_ (.CLK(clknet_leaf_135_mclk),
     .D(_0718_),
-    .RESET_B(net278),
+    .RESET_B(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm4_low[7] ));
- sky130_fd_sc_hd__dfrtp_4 _9219_ (.CLK(clknet_leaf_142_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9219_ (.CLK(clknet_leaf_144_mclk),
     .D(_0719_),
-    .RESET_B(net279),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_glb_ctrl[0] ));
- sky130_fd_sc_hd__dfrtp_4 _9220_ (.CLK(clknet_leaf_144_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9220_ (.CLK(clknet_leaf_146_mclk),
     .D(_0720_),
-    .RESET_B(net290),
+    .RESET_B(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_glb_ctrl[1] ));
- sky130_fd_sc_hd__dfrtp_4 _9221_ (.CLK(clknet_leaf_143_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9221_ (.CLK(clknet_leaf_145_mclk),
     .D(_0721_),
-    .RESET_B(net290),
+    .RESET_B(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_glb_ctrl[2] ));
- sky130_fd_sc_hd__dfrtp_4 _9222_ (.CLK(clknet_leaf_142_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9222_ (.CLK(clknet_leaf_139_mclk),
     .D(_0722_),
-    .RESET_B(net279),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_glb_ctrl[3] ));
- sky130_fd_sc_hd__dfrtp_4 _9223_ (.CLK(clknet_leaf_145_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _9223_ (.CLK(clknet_leaf_147_mclk),
     .D(_0723_),
-    .RESET_B(net290),
+    .RESET_B(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_glb_ctrl[4] ));
- sky130_fd_sc_hd__dfrtp_4 _9224_ (.CLK(clknet_leaf_145_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9224_ (.CLK(clknet_leaf_146_mclk),
     .D(_0724_),
-    .RESET_B(net290),
+    .RESET_B(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_glb_ctrl[5] ));
- sky130_fd_sc_hd__dfrtp_1 _9225_ (.CLK(clknet_leaf_144_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9225_ (.CLK(clknet_leaf_146_mclk),
     .D(_0725_),
-    .RESET_B(net290),
+    .RESET_B(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_glb_ctrl[6] ));
- sky130_fd_sc_hd__dfrtp_1 _9226_ (.CLK(clknet_leaf_143_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9226_ (.CLK(clknet_leaf_145_mclk),
     .D(_0726_),
-    .RESET_B(net290),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -157611,7 +157754,7 @@
     .Q(\u_pinmux_reg.cfg_pwm2_low[0] ));
  sky130_fd_sc_hd__dfrtp_4 _9228_ (.CLK(clknet_leaf_39_mclk),
     .D(_0728_),
-    .RESET_B(net285),
+    .RESET_B(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -157619,29 +157762,29 @@
     .Q(\u_pinmux_reg.cfg_pwm2_low[1] ));
  sky130_fd_sc_hd__dfrtp_4 _9229_ (.CLK(clknet_leaf_39_mclk),
     .D(_0729_),
-    .RESET_B(net285),
+    .RESET_B(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm2_low[2] ));
- sky130_fd_sc_hd__dfrtp_4 _9230_ (.CLK(clknet_leaf_38_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9230_ (.CLK(clknet_leaf_39_mclk),
     .D(_0730_),
-    .RESET_B(net285),
+    .RESET_B(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm2_low[3] ));
- sky130_fd_sc_hd__dfrtp_4 _9231_ (.CLK(clknet_leaf_39_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9231_ (.CLK(clknet_leaf_40_mclk),
     .D(_0731_),
-    .RESET_B(net284),
+    .RESET_B(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm2_low[4] ));
- sky130_fd_sc_hd__dfrtp_4 _9232_ (.CLK(clknet_leaf_39_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9232_ (.CLK(clknet_leaf_40_mclk),
     .D(_0732_),
     .RESET_B(net285),
     .VGND(vssd1),
@@ -157649,7 +157792,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm2_low[5] ));
- sky130_fd_sc_hd__dfrtp_4 _9233_ (.CLK(clknet_leaf_39_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9233_ (.CLK(clknet_leaf_40_mclk),
     .D(_0733_),
     .RESET_B(net285),
     .VGND(vssd1),
@@ -157657,17 +157800,17 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm2_low[6] ));
- sky130_fd_sc_hd__dfrtp_4 _9234_ (.CLK(clknet_leaf_41_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9234_ (.CLK(clknet_leaf_42_mclk),
     .D(_0734_),
-    .RESET_B(net284),
+    .RESET_B(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm2_low[7] ));
- sky130_fd_sc_hd__dfrtp_4 _9235_ (.CLK(clknet_leaf_35_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9235_ (.CLK(clknet_leaf_36_mclk),
     .D(_0735_),
-    .RESET_B(net284),
+    .RESET_B(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -157675,13 +157818,13 @@
     .Q(\u_pinmux_reg.cfg_pwm1_low[0] ));
  sky130_fd_sc_hd__dfrtp_4 _9236_ (.CLK(clknet_leaf_38_mclk),
     .D(_0736_),
-    .RESET_B(net285),
+    .RESET_B(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm1_low[1] ));
- sky130_fd_sc_hd__dfrtp_4 _9237_ (.CLK(clknet_leaf_35_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9237_ (.CLK(clknet_leaf_36_mclk),
     .D(_0737_),
     .RESET_B(net285),
     .VGND(vssd1),
@@ -157689,7 +157832,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm1_low[2] ));
- sky130_fd_sc_hd__dfrtp_4 _9238_ (.CLK(clknet_leaf_35_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9238_ (.CLK(clknet_leaf_36_mclk),
     .D(_0738_),
     .RESET_B(net285),
     .VGND(vssd1),
@@ -157697,7 +157840,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm1_low[3] ));
- sky130_fd_sc_hd__dfrtp_2 _9239_ (.CLK(clknet_leaf_41_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _9239_ (.CLK(clknet_leaf_42_mclk),
     .D(_0739_),
     .RESET_B(net284),
     .VGND(vssd1),
@@ -157715,21 +157858,21 @@
     .Q(\u_pinmux_reg.cfg_pwm1_low[5] ));
  sky130_fd_sc_hd__dfrtp_4 _9241_ (.CLK(clknet_leaf_42_mclk),
     .D(_0741_),
-    .RESET_B(net284),
+    .RESET_B(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm1_low[6] ));
- sky130_fd_sc_hd__dfrtp_4 _9242_ (.CLK(clknet_leaf_35_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9242_ (.CLK(clknet_leaf_42_mclk),
     .D(_0742_),
-    .RESET_B(net284),
+    .RESET_B(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm1_low[7] ));
- sky130_fd_sc_hd__dfrtp_4 _9243_ (.CLK(clknet_leaf_39_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9243_ (.CLK(clknet_leaf_40_mclk),
     .D(_0743_),
     .RESET_B(net285),
     .VGND(vssd1),
@@ -157739,7 +157882,7 @@
     .Q(\u_pinmux_reg.cfg_pwm0_low[0] ));
  sky130_fd_sc_hd__dfrtp_4 _9244_ (.CLK(clknet_leaf_39_mclk),
     .D(_0744_),
-    .RESET_B(net285),
+    .RESET_B(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -157747,7 +157890,7 @@
     .Q(\u_pinmux_reg.cfg_pwm0_low[1] ));
  sky130_fd_sc_hd__dfrtp_4 _9245_ (.CLK(clknet_leaf_39_mclk),
     .D(_0745_),
-    .RESET_B(net285),
+    .RESET_B(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -157755,13 +157898,13 @@
     .Q(\u_pinmux_reg.cfg_pwm0_low[2] ));
  sky130_fd_sc_hd__dfrtp_4 _9246_ (.CLK(clknet_leaf_39_mclk),
     .D(_0746_),
-    .RESET_B(net285),
+    .RESET_B(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm0_low[3] ));
- sky130_fd_sc_hd__dfrtp_2 _9247_ (.CLK(clknet_leaf_40_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _9247_ (.CLK(clknet_leaf_41_mclk),
     .D(_0747_),
     .RESET_B(net285),
     .VGND(vssd1),
@@ -157777,39 +157920,39 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm0_low[5] ));
- sky130_fd_sc_hd__dfrtp_2 _9249_ (.CLK(clknet_leaf_40_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _9249_ (.CLK(clknet_leaf_41_mclk),
     .D(_0749_),
-    .RESET_B(net284),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_pinmux_reg.cfg_pwm0_low[6] ));
- sky130_fd_sc_hd__dfrtp_2 _9250_ (.CLK(clknet_leaf_40_mclk),
-    .D(_0750_),
-    .RESET_B(net284),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_pinmux_reg.cfg_pwm0_low[7] ));
- sky130_fd_sc_hd__dfrtp_4 _9251_ (.CLK(clknet_leaf_35_mclk),
-    .D(_0751_),
-    .RESET_B(net284),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_pinmux_reg.reg_15[0] ));
- sky130_fd_sc_hd__dfrtp_2 _9252_ (.CLK(clknet_leaf_38_mclk),
-    .D(_0752_),
     .RESET_B(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .Q(\u_pinmux_reg.cfg_pwm0_low[6] ));
+ sky130_fd_sc_hd__dfrtp_4 _9250_ (.CLK(clknet_leaf_42_mclk),
+    .D(_0750_),
+    .RESET_B(net285),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_pinmux_reg.cfg_pwm0_low[7] ));
+ sky130_fd_sc_hd__dfrtp_4 _9251_ (.CLK(clknet_leaf_36_mclk),
+    .D(_0751_),
+    .RESET_B(net285),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_pinmux_reg.reg_15[0] ));
+ sky130_fd_sc_hd__dfrtp_2 _9252_ (.CLK(clknet_leaf_39_mclk),
+    .D(_0752_),
+    .RESET_B(net290),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_15[1] ));
- sky130_fd_sc_hd__dfrtp_1 _9253_ (.CLK(clknet_leaf_38_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9253_ (.CLK(clknet_leaf_36_mclk),
     .D(_0753_),
     .RESET_B(net285),
     .VGND(vssd1),
@@ -157817,7 +157960,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_15[2] ));
- sky130_fd_sc_hd__dfrtp_2 _9254_ (.CLK(clknet_leaf_35_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _9254_ (.CLK(clknet_leaf_36_mclk),
     .D(_0754_),
     .RESET_B(net285),
     .VGND(vssd1),
@@ -157825,7 +157968,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_15[3] ));
- sky130_fd_sc_hd__dfrtp_1 _9255_ (.CLK(clknet_leaf_41_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9255_ (.CLK(clknet_leaf_42_mclk),
     .D(_0755_),
     .RESET_B(net284),
     .VGND(vssd1),
@@ -157841,9 +157984,9 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_15[5] ));
- sky130_fd_sc_hd__dfrtp_1 _9257_ (.CLK(clknet_leaf_41_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9257_ (.CLK(clknet_leaf_42_mclk),
     .D(_0757_),
-    .RESET_B(net284),
+    .RESET_B(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -157851,45 +157994,45 @@
     .Q(\u_pinmux_reg.reg_15[6] ));
  sky130_fd_sc_hd__dfrtp_1 _9258_ (.CLK(clknet_leaf_42_mclk),
     .D(_0758_),
-    .RESET_B(net284),
+    .RESET_B(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.reg_15[7] ));
- sky130_fd_sc_hd__dfrtp_4 _9259_ (.CLK(clknet_leaf_128_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9259_ (.CLK(clknet_leaf_130_mclk),
     .D(_0759_),
-    .RESET_B(net280),
+    .RESET_B(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_multi_func_sel[0] ));
- sky130_fd_sc_hd__dfrtp_4 _9260_ (.CLK(clknet_leaf_132_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9260_ (.CLK(clknet_leaf_129_mclk),
     .D(_0760_),
-    .RESET_B(net280),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_multi_func_sel[1] ));
- sky130_fd_sc_hd__dfrtp_1 _9261_ (.CLK(clknet_leaf_127_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9261_ (.CLK(clknet_leaf_129_mclk),
     .D(_0761_),
-    .RESET_B(net280),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_multi_func_sel[2] ));
- sky130_fd_sc_hd__dfrtp_2 _9262_ (.CLK(clknet_leaf_95_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _9262_ (.CLK(clknet_leaf_96_mclk),
     .D(_0762_),
-    .RESET_B(net276),
+    .RESET_B(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_multi_func_sel[3] ));
- sky130_fd_sc_hd__dfrtp_2 _9263_ (.CLK(clknet_leaf_136_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _9263_ (.CLK(clknet_leaf_138_mclk),
     .D(_0763_),
     .RESET_B(net280),
     .VGND(vssd1),
@@ -157897,7 +158040,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_multi_func_sel[4] ));
- sky130_fd_sc_hd__dfrtp_4 _9264_ (.CLK(clknet_leaf_136_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9264_ (.CLK(clknet_leaf_138_mclk),
     .D(_0764_),
     .RESET_B(net280),
     .VGND(vssd1),
@@ -157905,55 +158048,55 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_multi_func_sel[5] ));
- sky130_fd_sc_hd__dfrtp_4 _9265_ (.CLK(clknet_leaf_135_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9265_ (.CLK(clknet_leaf_137_mclk),
     .D(_0765_),
-    .RESET_B(net280),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_multi_func_sel[6] ));
- sky130_fd_sc_hd__dfrtp_2 _9266_ (.CLK(clknet_leaf_135_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _9266_ (.CLK(clknet_leaf_137_mclk),
     .D(_0766_),
-    .RESET_B(net279),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_multi_func_sel[7] ));
- sky130_fd_sc_hd__dfrtp_1 _9267_ (.CLK(clknet_leaf_128_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9267_ (.CLK(clknet_leaf_130_mclk),
     .D(_0767_),
-    .RESET_B(net290),
+    .RESET_B(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_negedge_int_sel[0] ));
- sky130_fd_sc_hd__dfrtp_1 _9268_ (.CLK(clknet_leaf_129_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9268_ (.CLK(clknet_leaf_131_mclk),
     .D(_0768_),
-    .RESET_B(net288),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_negedge_int_sel[1] ));
- sky130_fd_sc_hd__dfrtp_1 _9269_ (.CLK(clknet_leaf_130_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9269_ (.CLK(clknet_leaf_131_mclk),
     .D(_0769_),
-    .RESET_B(net288),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_negedge_int_sel[2] ));
- sky130_fd_sc_hd__dfrtp_1 _9270_ (.CLK(clknet_leaf_127_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9270_ (.CLK(clknet_leaf_129_mclk),
     .D(_0770_),
-    .RESET_B(net280),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_negedge_int_sel[3] ));
- sky130_fd_sc_hd__dfrtp_1 _9271_ (.CLK(clknet_leaf_136_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9271_ (.CLK(clknet_leaf_139_mclk),
     .D(_0771_),
     .RESET_B(net280),
     .VGND(vssd1),
@@ -157961,7 +158104,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_negedge_int_sel[4] ));
- sky130_fd_sc_hd__dfrtp_1 _9272_ (.CLK(clknet_leaf_136_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9272_ (.CLK(clknet_leaf_138_mclk),
     .D(_0772_),
     .RESET_B(net280),
     .VGND(vssd1),
@@ -157969,55 +158112,55 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_negedge_int_sel[5] ));
- sky130_fd_sc_hd__dfrtp_1 _9273_ (.CLK(clknet_leaf_137_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9273_ (.CLK(clknet_leaf_139_mclk),
     .D(_0773_),
-    .RESET_B(net280),
+    .RESET_B(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_negedge_int_sel[6] ));
- sky130_fd_sc_hd__dfrtp_1 _9274_ (.CLK(clknet_leaf_138_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9274_ (.CLK(clknet_leaf_140_mclk),
     .D(_0774_),
-    .RESET_B(net280),
+    .RESET_B(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_negedge_int_sel[7] ));
- sky130_fd_sc_hd__dfrtp_1 _9275_ (.CLK(clknet_leaf_129_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9275_ (.CLK(clknet_leaf_131_mclk),
     .D(_0775_),
-    .RESET_B(net290),
+    .RESET_B(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_posedge_int_sel[0] ));
- sky130_fd_sc_hd__dfrtp_1 _9276_ (.CLK(clknet_leaf_131_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9276_ (.CLK(clknet_leaf_133_mclk),
     .D(_0776_),
-    .RESET_B(net280),
+    .RESET_B(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_posedge_int_sel[1] ));
- sky130_fd_sc_hd__dfrtp_1 _9277_ (.CLK(clknet_leaf_132_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9277_ (.CLK(clknet_leaf_134_mclk),
     .D(_0777_),
-    .RESET_B(net280),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_posedge_int_sel[2] ));
- sky130_fd_sc_hd__dfrtp_1 _9278_ (.CLK(clknet_leaf_127_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9278_ (.CLK(clknet_leaf_129_mclk),
     .D(_0778_),
-    .RESET_B(net280),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_posedge_int_sel[3] ));
- sky130_fd_sc_hd__dfrtp_1 _9279_ (.CLK(clknet_leaf_136_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9279_ (.CLK(clknet_leaf_138_mclk),
     .D(_0779_),
     .RESET_B(net280),
     .VGND(vssd1),
@@ -158025,7 +158168,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_posedge_int_sel[4] ));
- sky130_fd_sc_hd__dfrtp_1 _9280_ (.CLK(clknet_leaf_136_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9280_ (.CLK(clknet_leaf_138_mclk),
     .D(_0780_),
     .RESET_B(net280),
     .VGND(vssd1),
@@ -158033,7 +158176,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_posedge_int_sel[5] ));
- sky130_fd_sc_hd__dfrtp_1 _9281_ (.CLK(clknet_leaf_136_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9281_ (.CLK(clknet_leaf_138_mclk),
     .D(_0781_),
     .RESET_B(net280),
     .VGND(vssd1),
@@ -158041,79 +158184,79 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_posedge_int_sel[6] ));
- sky130_fd_sc_hd__dfrtp_1 _9282_ (.CLK(clknet_leaf_135_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9282_ (.CLK(clknet_leaf_137_mclk),
     .D(_0782_),
-    .RESET_B(net279),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_posedge_int_sel[7] ));
- sky130_fd_sc_hd__dfrtp_1 _9283_ (.CLK(clknet_leaf_128_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9283_ (.CLK(clknet_leaf_130_mclk),
     .D(_0783_),
-    .RESET_B(net290),
+    .RESET_B(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_int_mask[0] ));
- sky130_fd_sc_hd__dfrtp_4 _9284_ (.CLK(clknet_leaf_130_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9284_ (.CLK(clknet_leaf_132_mclk),
     .D(_0784_),
-    .RESET_B(net288),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_int_mask[1] ));
- sky130_fd_sc_hd__dfrtp_1 _9285_ (.CLK(clknet_leaf_14_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9285_ (.CLK(clknet_leaf_15_mclk),
     .D(_0785_),
-    .RESET_B(net290),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_int_mask[2] ));
- sky130_fd_sc_hd__dfrtp_1 _9286_ (.CLK(clknet_leaf_127_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9286_ (.CLK(clknet_leaf_129_mclk),
     .D(_0786_),
-    .RESET_B(net280),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_int_mask[3] ));
- sky130_fd_sc_hd__dfrtp_4 _9287_ (.CLK(clknet_leaf_142_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9287_ (.CLK(clknet_leaf_144_mclk),
     .D(_0787_),
-    .RESET_B(net280),
+    .RESET_B(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_int_mask[4] ));
- sky130_fd_sc_hd__dfrtp_1 _9288_ (.CLK(clknet_leaf_138_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9288_ (.CLK(clknet_leaf_140_mclk),
     .D(_0788_),
-    .RESET_B(net280),
+    .RESET_B(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_int_mask[5] ));
- sky130_fd_sc_hd__dfrtp_4 _9289_ (.CLK(clknet_leaf_142_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9289_ (.CLK(clknet_leaf_144_mclk),
     .D(_0789_),
-    .RESET_B(net280),
+    .RESET_B(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_int_mask[6] ));
- sky130_fd_sc_hd__dfrtp_1 _9290_ (.CLK(clknet_leaf_138_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9290_ (.CLK(clknet_leaf_140_mclk),
     .D(_0790_),
-    .RESET_B(net280),
+    .RESET_B(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_gpio_int_mask[7] ));
- sky130_fd_sc_hd__dfrtp_1 _9291_ (.CLK(clknet_leaf_0_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _9291_ (.CLK(clknet_leaf_1_mclk),
     .D(_0791_),
     .RESET_B(net289),
     .VGND(vssd1),
@@ -158121,7 +158264,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net172));
- sky130_fd_sc_hd__dfstp_1 _9292_ (.CLK(clknet_leaf_0_mclk),
+ sky130_fd_sc_hd__dfstp_1 _9292_ (.CLK(clknet_leaf_1_mclk),
     .D(_0792_),
     .SET_B(net289),
     .VGND(vssd1),
@@ -158137,7 +158280,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net194));
- sky130_fd_sc_hd__dfstp_1 _9294_ (.CLK(clknet_leaf_0_mclk),
+ sky130_fd_sc_hd__dfstp_1 _9294_ (.CLK(clknet_leaf_1_mclk),
     .D(_0794_),
     .SET_B(net289),
     .VGND(vssd1),
@@ -158145,41 +158288,41 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net197));
- sky130_fd_sc_hd__dfstp_2 _9295_ (.CLK(clknet_leaf_146_mclk),
+ sky130_fd_sc_hd__dfstp_2 _9295_ (.CLK(clknet_leaf_147_mclk),
     .D(_0795_),
-    .SET_B(net290),
+    .SET_B(net31),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net198));
- sky130_fd_sc_hd__dfrtp_1 _9296_ (.CLK(clknet_leaf_146_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9296_ (.CLK(clknet_leaf_147_mclk),
     .D(_0796_),
-    .RESET_B(net289),
+    .RESET_B(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net199));
- sky130_fd_sc_hd__dfstp_1 _9297_ (.CLK(clknet_leaf_146_mclk),
+ sky130_fd_sc_hd__dfstp_1 _9297_ (.CLK(clknet_leaf_147_mclk),
     .D(_0797_),
-    .SET_B(net289),
+    .SET_B(net31),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net200));
- sky130_fd_sc_hd__dfrtp_1 _9298_ (.CLK(clknet_leaf_146_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9298_ (.CLK(clknet_leaf_0_mclk),
     .D(_0798_),
-    .RESET_B(net285),
+    .RESET_B(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net201));
- sky130_fd_sc_hd__dfrtp_4 _9299_ (.CLK(clknet_leaf_20_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9299_ (.CLK(clknet_leaf_21_mclk),
     .D(_0799_),
-    .RESET_B(net282),
+    .RESET_B(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -158193,7 +158336,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net262));
- sky130_fd_sc_hd__dfrtp_4 _9301_ (.CLK(clknet_leaf_28_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9301_ (.CLK(clknet_leaf_29_mclk),
     .D(_0801_),
     .RESET_B(net289),
     .VGND(vssd1),
@@ -158201,7 +158344,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.u_reg8_be2.gen_bit_reg[1].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_4 _9302_ (.CLK(clknet_leaf_29_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9302_ (.CLK(clknet_leaf_30_mclk),
     .D(_0802_),
     .RESET_B(net289),
     .VGND(vssd1),
@@ -158209,7 +158352,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.u_reg8_be2.gen_bit_reg[2].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _9303_ (.CLK(clknet_leaf_146_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9303_ (.CLK(clknet_leaf_0_mclk),
     .D(_0803_),
     .RESET_B(net289),
     .VGND(vssd1),
@@ -158219,39 +158362,39 @@
     .Q(\u_pinmux_reg.u_reg8_be0.gen_bit_reg[7].u_bit_reg.data_out ));
  sky130_fd_sc_hd__dfrtp_4 _9304_ (.CLK(clknet_leaf_56_mclk),
     .D(_0804_),
-    .RESET_B(net288),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_pinmux_reg.u_reg8_be1_1.gen_bit_reg[0].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_4 _9305_ (.CLK(clknet_leaf_22_mclk),
-    .D(_0805_),
     .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .Q(\u_pinmux_reg.u_reg8_be1_1.gen_bit_reg[0].u_bit_reg.data_out ));
+ sky130_fd_sc_hd__dfrtp_4 _9305_ (.CLK(clknet_leaf_23_mclk),
+    .D(_0805_),
+    .RESET_B(net287),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .Q(\u_pinmux_reg.u_reg8_be1_1.gen_bit_reg[1].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_4 _9306_ (.CLK(clknet_leaf_18_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9306_ (.CLK(clknet_leaf_19_mclk),
     .D(_0806_),
-    .RESET_B(net288),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net226));
- sky130_fd_sc_hd__dfrtp_4 _9307_ (.CLK(clknet_leaf_130_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9307_ (.CLK(clknet_leaf_131_mclk),
     .D(_0807_),
-    .RESET_B(net288),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net237));
- sky130_fd_sc_hd__dfrtp_4 _9308_ (.CLK(clknet_leaf_3_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9308_ (.CLK(clknet_leaf_5_mclk),
     .D(_0808_),
-    .RESET_B(net290),
+    .RESET_B(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -158259,87 +158402,87 @@
     .Q(net248));
  sky130_fd_sc_hd__dfrtp_4 _9309_ (.CLK(clknet_leaf_56_mclk),
     .D(_0809_),
-    .RESET_B(net281),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(net251));
- sky130_fd_sc_hd__dfrtp_4 _9310_ (.CLK(clknet_leaf_140_mclk),
-    .D(_0810_),
-    .RESET_B(net290),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(net252));
- sky130_fd_sc_hd__dfrtp_4 _9311_ (.CLK(clknet_leaf_140_mclk),
-    .D(_0811_),
-    .RESET_B(net290),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(net253));
- sky130_fd_sc_hd__dfrtp_4 _9312_ (.CLK(clknet_leaf_141_mclk),
-    .D(_0812_),
-    .RESET_B(net290),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(net254));
- sky130_fd_sc_hd__dfrtp_4 _9313_ (.CLK(clknet_leaf_141_mclk),
-    .D(_0813_),
-    .RESET_B(net290),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(net255));
- sky130_fd_sc_hd__dfrtp_4 _9314_ (.CLK(clknet_leaf_60_mclk),
-    .D(_0814_),
     .RESET_B(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .Q(net251));
+ sky130_fd_sc_hd__dfrtp_4 _9310_ (.CLK(clknet_leaf_4_mclk),
+    .D(_0810_),
+    .RESET_B(net283),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(net252));
+ sky130_fd_sc_hd__dfrtp_4 _9311_ (.CLK(clknet_leaf_142_mclk),
+    .D(_0811_),
+    .RESET_B(net283),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(net253));
+ sky130_fd_sc_hd__dfrtp_4 _9312_ (.CLK(clknet_leaf_143_mclk),
+    .D(_0812_),
+    .RESET_B(net283),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(net254));
+ sky130_fd_sc_hd__dfrtp_4 _9313_ (.CLK(clknet_leaf_143_mclk),
+    .D(_0813_),
+    .RESET_B(net283),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(net255));
+ sky130_fd_sc_hd__dfrtp_4 _9314_ (.CLK(clknet_leaf_59_mclk),
+    .D(_0814_),
+    .RESET_B(net282),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .Q(net256));
- sky130_fd_sc_hd__dfrtp_4 _9315_ (.CLK(clknet_leaf_60_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9315_ (.CLK(clknet_leaf_59_mclk),
     .D(_0815_),
-    .RESET_B(net288),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net257));
- sky130_fd_sc_hd__dfrtp_4 _9316_ (.CLK(clknet_leaf_56_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9316_ (.CLK(clknet_leaf_54_mclk),
     .D(_0816_),
-    .RESET_B(net282),
+    .RESET_B(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net227));
- sky130_fd_sc_hd__dfrtp_4 _9317_ (.CLK(clknet_leaf_54_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9317_ (.CLK(clknet_leaf_23_mclk),
     .D(_0817_),
-    .RESET_B(net282),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(net228));
- sky130_fd_sc_hd__dfrtp_4 _9318_ (.CLK(clknet_leaf_85_mclk),
-    .D(_0818_),
     .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .Q(net228));
+ sky130_fd_sc_hd__dfrtp_4 _9318_ (.CLK(clknet_leaf_61_mclk),
+    .D(_0818_),
+    .RESET_B(net276),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .Q(net229));
- sky130_fd_sc_hd__dfrtp_4 _9319_ (.CLK(clknet_leaf_59_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9319_ (.CLK(clknet_leaf_58_mclk),
     .D(_0819_),
-    .RESET_B(net286),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -158347,31 +158490,31 @@
     .Q(net230));
  sky130_fd_sc_hd__dfrtp_4 _9320_ (.CLK(clknet_leaf_85_mclk),
     .D(_0820_),
-    .RESET_B(net288),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net231));
- sky130_fd_sc_hd__dfrtp_4 _9321_ (.CLK(clknet_leaf_19_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9321_ (.CLK(clknet_leaf_20_mclk),
     .D(_0821_),
-    .RESET_B(net288),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net232));
- sky130_fd_sc_hd__dfrtp_2 _9322_ (.CLK(clknet_leaf_23_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _9322_ (.CLK(clknet_leaf_25_mclk),
     .D(_0822_),
-    .RESET_B(net283),
+    .RESET_B(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net233));
- sky130_fd_sc_hd__dfrtp_4 _9323_ (.CLK(clknet_leaf_22_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9323_ (.CLK(clknet_leaf_23_mclk),
     .D(_0823_),
-    .RESET_B(net282),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -158379,87 +158522,87 @@
     .Q(net234));
  sky130_fd_sc_hd__dfrtp_2 _9324_ (.CLK(clknet_leaf_24_mclk),
     .D(_0824_),
-    .RESET_B(net283),
+    .RESET_B(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net235));
- sky130_fd_sc_hd__dfrtp_4 _9325_ (.CLK(clknet_leaf_22_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9325_ (.CLK(clknet_leaf_23_mclk),
     .D(_0825_),
-    .RESET_B(net282),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net236));
- sky130_fd_sc_hd__dfrtp_4 _9326_ (.CLK(clknet_leaf_25_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9326_ (.CLK(clknet_leaf_26_mclk),
     .D(_0826_),
-    .RESET_B(net282),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net238));
- sky130_fd_sc_hd__dfrtp_4 _9327_ (.CLK(clknet_leaf_11_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9327_ (.CLK(clknet_leaf_13_mclk),
     .D(_0827_),
-    .RESET_B(net283),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net239));
- sky130_fd_sc_hd__dfrtp_4 _9328_ (.CLK(clknet_leaf_11_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9328_ (.CLK(clknet_leaf_13_mclk),
     .D(_0828_),
-    .RESET_B(net282),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net240));
- sky130_fd_sc_hd__dfrtp_4 _9329_ (.CLK(clknet_leaf_11_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9329_ (.CLK(clknet_leaf_13_mclk),
     .D(_0829_),
-    .RESET_B(net282),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net241));
- sky130_fd_sc_hd__dfrtp_4 _9330_ (.CLK(clknet_leaf_12_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9330_ (.CLK(clknet_leaf_17_mclk),
     .D(_0830_),
-    .RESET_B(net282),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net242));
- sky130_fd_sc_hd__dfrtp_4 _9331_ (.CLK(clknet_leaf_125_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9331_ (.CLK(clknet_leaf_126_mclk),
     .D(_0831_),
-    .RESET_B(net288),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net243));
- sky130_fd_sc_hd__dfrtp_4 _9332_ (.CLK(clknet_leaf_88_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9332_ (.CLK(clknet_leaf_58_mclk),
     .D(_0832_),
-    .RESET_B(net287),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net244));
- sky130_fd_sc_hd__dfrtp_4 _9333_ (.CLK(clknet_leaf_123_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9333_ (.CLK(clknet_leaf_125_mclk),
     .D(_0833_),
-    .RESET_B(net288),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net245));
- sky130_fd_sc_hd__dfrtp_4 _9334_ (.CLK(clknet_leaf_58_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9334_ (.CLK(clknet_leaf_88_mclk),
     .D(_0834_),
-    .RESET_B(net287),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -158467,7 +158610,7 @@
     .Q(net246));
  sky130_fd_sc_hd__dfrtp_4 _9335_ (.CLK(clknet_leaf_92_mclk),
     .D(_0835_),
-    .RESET_B(net276),
+    .RESET_B(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -158475,7 +158618,7 @@
     .Q(net247));
  sky130_fd_sc_hd__dfrtp_4 _9336_ (.CLK(clknet_leaf_90_mclk),
     .D(_0836_),
-    .RESET_B(net276),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -158483,13 +158626,13 @@
     .Q(net249));
  sky130_fd_sc_hd__dfrtp_4 _9337_ (.CLK(clknet_leaf_90_mclk),
     .D(_0837_),
-    .RESET_B(net276),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net250));
- sky130_fd_sc_hd__dfrtp_2 _9338_ (.CLK(clknet_leaf_0_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _9338_ (.CLK(clknet_leaf_1_mclk),
     .D(_0838_),
     .RESET_B(net289),
     .VGND(vssd1),
@@ -158505,7 +158648,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.u_reg8_be0.gen_bit_reg[1].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _9340_ (.CLK(clknet_leaf_146_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9340_ (.CLK(clknet_leaf_0_mclk),
     .D(_0840_),
     .RESET_B(net289),
     .VGND(vssd1),
@@ -158513,129 +158656,129 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.u_reg8_be0.gen_bit_reg[2].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_2 _9341_ (.CLK(clknet_leaf_146_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _9341_ (.CLK(clknet_leaf_2_mclk),
     .D(_0841_),
-    .RESET_B(net285),
+    .RESET_B(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.u_reg8_be0.gen_bit_reg[3].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_4 _9342_ (.CLK(clknet_leaf_144_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9342_ (.CLK(clknet_leaf_147_mclk),
     .D(_0842_),
-    .RESET_B(net290),
+    .RESET_B(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.u_reg8_be0.gen_bit_reg[4].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _9343_ (.CLK(clknet_leaf_145_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9343_ (.CLK(clknet_leaf_147_mclk),
     .D(_0843_),
-    .RESET_B(net290),
+    .RESET_B(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.u_reg8_be0.gen_bit_reg[5].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _9344_ (.CLK(clknet_leaf_145_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9344_ (.CLK(clknet_leaf_147_mclk),
     .D(_0844_),
-    .RESET_B(net290),
+    .RESET_B(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.u_reg8_be0.gen_bit_reg[6].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _9345_ (.CLK(clknet_leaf_3_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9345_ (.CLK(clknet_leaf_4_mclk),
     .D(_0845_),
-    .RESET_B(net290),
+    .RESET_B(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_dir_sel[0] ));
- sky130_fd_sc_hd__dfrtp_1 _9346_ (.CLK(clknet_leaf_3_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9346_ (.CLK(clknet_leaf_4_mclk),
     .D(_0846_),
-    .RESET_B(net290),
+    .RESET_B(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_dir_sel[1] ));
- sky130_fd_sc_hd__dfrtp_1 _9347_ (.CLK(clknet_leaf_3_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9347_ (.CLK(clknet_leaf_4_mclk),
     .D(_0847_),
-    .RESET_B(net290),
+    .RESET_B(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_dir_sel[2] ));
- sky130_fd_sc_hd__dfrtp_2 _9348_ (.CLK(clknet_leaf_3_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _9348_ (.CLK(clknet_leaf_4_mclk),
     .D(_0848_),
-    .RESET_B(net290),
+    .RESET_B(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_dir_sel[3] ));
- sky130_fd_sc_hd__dfrtp_1 _9349_ (.CLK(clknet_leaf_142_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9349_ (.CLK(clknet_leaf_145_mclk),
     .D(_0849_),
-    .RESET_B(net290),
+    .RESET_B(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_dir_sel[4] ));
- sky130_fd_sc_hd__dfrtp_1 _9350_ (.CLK(clknet_leaf_142_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9350_ (.CLK(clknet_leaf_144_mclk),
     .D(_0850_),
-    .RESET_B(net290),
+    .RESET_B(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_dir_sel[5] ));
- sky130_fd_sc_hd__dfrtp_1 _9351_ (.CLK(clknet_leaf_142_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9351_ (.CLK(clknet_leaf_144_mclk),
     .D(_0851_),
-    .RESET_B(net290),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_dir_sel[6] ));
- sky130_fd_sc_hd__dfrtp_1 _9352_ (.CLK(clknet_leaf_142_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9352_ (.CLK(clknet_leaf_144_mclk),
     .D(_0852_),
-    .RESET_B(net280),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_dir_sel[7] ));
- sky130_fd_sc_hd__dfrtp_2 _9353_ (.CLK(clknet_leaf_2_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _9353_ (.CLK(clknet_leaf_4_mclk),
     .D(_0853_),
-    .RESET_B(net290),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_out_data[0] ));
- sky130_fd_sc_hd__dfrtp_1 _9354_ (.CLK(clknet_leaf_1_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9354_ (.CLK(clknet_leaf_2_mclk),
     .D(_0854_),
-    .RESET_B(net290),
+    .RESET_B(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_out_data[1] ));
- sky130_fd_sc_hd__dfrtp_1 _9355_ (.CLK(clknet_leaf_1_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9355_ (.CLK(clknet_leaf_2_mclk),
     .D(_0855_),
-    .RESET_B(net290),
+    .RESET_B(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_out_data[2] ));
- sky130_fd_sc_hd__dfrtp_4 _9356_ (.CLK(clknet_leaf_1_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9356_ (.CLK(clknet_leaf_2_mclk),
     .D(_0856_),
-    .RESET_B(net290),
+    .RESET_B(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -158643,7 +158786,7 @@
     .Q(\u_gpio_intr.cfg_gpio_out_data[3] ));
  sky130_fd_sc_hd__dfrtp_1 _9357_ (.CLK(clknet_leaf_2_mclk),
     .D(_0857_),
-    .RESET_B(net290),
+    .RESET_B(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -158651,45 +158794,45 @@
     .Q(\u_gpio_intr.cfg_gpio_out_data[4] ));
  sky130_fd_sc_hd__dfrtp_1 _9358_ (.CLK(clknet_leaf_2_mclk),
     .D(_0858_),
-    .RESET_B(net290),
+    .RESET_B(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_out_data[5] ));
- sky130_fd_sc_hd__dfrtp_1 _9359_ (.CLK(clknet_leaf_144_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9359_ (.CLK(clknet_leaf_146_mclk),
     .D(_0859_),
-    .RESET_B(net290),
+    .RESET_B(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_out_data[6] ));
- sky130_fd_sc_hd__dfrtp_1 _9360_ (.CLK(clknet_leaf_2_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9360_ (.CLK(clknet_leaf_3_mclk),
     .D(_0860_),
-    .RESET_B(net290),
+    .RESET_B(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_gpio_intr.cfg_gpio_out_data[7] ));
- sky130_fd_sc_hd__dfrtp_4 _9361_ (.CLK(clknet_leaf_137_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9361_ (.CLK(clknet_leaf_139_mclk),
     .D(_0861_),
-    .RESET_B(net279),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pulse_1us[0] ));
- sky130_fd_sc_hd__dfrtp_4 _9362_ (.CLK(clknet_leaf_137_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9362_ (.CLK(clknet_leaf_139_mclk),
     .D(_0862_),
-    .RESET_B(net279),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pulse_1us[1] ));
- sky130_fd_sc_hd__dfrtp_4 _9363_ (.CLK(clknet_leaf_137_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9363_ (.CLK(clknet_leaf_139_mclk),
     .D(_0863_),
     .RESET_B(net280),
     .VGND(vssd1),
@@ -158697,41 +158840,41 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pulse_1us[2] ));
- sky130_fd_sc_hd__dfrtp_4 _9364_ (.CLK(clknet_leaf_137_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9364_ (.CLK(clknet_leaf_139_mclk),
     .D(_0864_),
-    .RESET_B(net279),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pulse_1us[3] ));
- sky130_fd_sc_hd__dfrtp_4 _9365_ (.CLK(clknet_leaf_137_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9365_ (.CLK(clknet_leaf_139_mclk),
     .D(_0865_),
-    .RESET_B(net279),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pulse_1us[4] ));
- sky130_fd_sc_hd__dfrtp_4 _9366_ (.CLK(clknet_leaf_138_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9366_ (.CLK(clknet_leaf_144_mclk),
     .D(_0866_),
-    .RESET_B(net279),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pulse_1us[5] ));
- sky130_fd_sc_hd__dfrtp_4 _9367_ (.CLK(clknet_leaf_137_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9367_ (.CLK(clknet_leaf_139_mclk),
     .D(_0867_),
-    .RESET_B(net279),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pulse_1us[6] ));
- sky130_fd_sc_hd__dfrtp_2 _9368_ (.CLK(clknet_leaf_137_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _9368_ (.CLK(clknet_leaf_144_mclk),
     .D(_0868_),
-    .RESET_B(net280),
+    .RESET_B(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -158739,7 +158882,7 @@
     .Q(\u_pinmux_reg.cfg_pulse_1us[7] ));
  sky130_fd_sc_hd__dfrtp_4 _9369_ (.CLK(clknet_leaf_1_mclk),
     .D(_0869_),
-    .RESET_B(net289),
+    .RESET_B(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -158747,37 +158890,37 @@
     .Q(\u_pinmux_reg.bist_en ));
  sky130_fd_sc_hd__dfrtp_4 _9370_ (.CLK(clknet_leaf_1_mclk),
     .D(_0870_),
-    .RESET_B(net289),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_pinmux_reg.bist_run ));
- sky130_fd_sc_hd__dfrtp_4 _9371_ (.CLK(clknet_leaf_1_mclk),
-    .D(_0871_),
-    .RESET_B(net285),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_pinmux_reg.bist_load ));
- sky130_fd_sc_hd__dfrtp_4 _9372_ (.CLK(clknet_leaf_1_mclk),
-    .D(_0872_),
-    .RESET_B(net285),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_pinmux_reg.cfg_bist_ctrl_1[3] ));
- sky130_fd_sc_hd__dfrtp_2 _9373_ (.CLK(clknet_leaf_1_mclk),
-    .D(_0873_),
     .RESET_B(net290),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .Q(\u_pinmux_reg.bist_run ));
+ sky130_fd_sc_hd__dfrtp_4 _9371_ (.CLK(clknet_leaf_2_mclk),
+    .D(_0871_),
+    .RESET_B(net480),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_pinmux_reg.bist_load ));
+ sky130_fd_sc_hd__dfrtp_4 _9372_ (.CLK(clknet_leaf_7_mclk),
+    .D(_0872_),
+    .RESET_B(net290),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_pinmux_reg.cfg_bist_ctrl_1[3] ));
+ sky130_fd_sc_hd__dfrtp_2 _9373_ (.CLK(clknet_leaf_2_mclk),
+    .D(_0873_),
+    .RESET_B(net480),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_bist_ctrl_1[4] ));
- sky130_fd_sc_hd__dfrtp_2 _9374_ (.CLK(clknet_leaf_2_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _9374_ (.CLK(clknet_leaf_0_mclk),
     .D(_0874_),
     .RESET_B(net290),
     .VGND(vssd1),
@@ -158785,87 +158928,87 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_bist_ctrl_1[5] ));
- sky130_fd_sc_hd__dfrtp_2 _9375_ (.CLK(clknet_leaf_146_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _9375_ (.CLK(clknet_leaf_147_mclk),
     .D(_0875_),
-    .RESET_B(net290),
+    .RESET_B(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_bist_ctrl_1[6] ));
- sky130_fd_sc_hd__dfrtp_2 _9376_ (.CLK(clknet_leaf_146_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _9376_ (.CLK(clknet_leaf_0_mclk),
     .D(_0876_),
-    .RESET_B(net290),
+    .RESET_B(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_bist_ctrl_1[7] ));
- sky130_fd_sc_hd__dfrtp_4 _9377_ (.CLK(clknet_leaf_19_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9377_ (.CLK(clknet_leaf_20_mclk),
     .D(_0877_),
-    .RESET_B(net287),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm3_low[0] ));
- sky130_fd_sc_hd__dfrtp_4 _9378_ (.CLK(clknet_leaf_18_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9378_ (.CLK(clknet_leaf_19_mclk),
     .D(_0878_),
-    .RESET_B(net288),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm3_low[1] ));
- sky130_fd_sc_hd__dfrtp_4 _9379_ (.CLK(clknet_leaf_126_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9379_ (.CLK(clknet_leaf_128_mclk),
     .D(_0879_),
-    .RESET_B(net288),
+    .RESET_B(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm3_low[2] ));
- sky130_fd_sc_hd__dfrtp_4 _9380_ (.CLK(clknet_leaf_126_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9380_ (.CLK(clknet_leaf_128_mclk),
     .D(_0880_),
-    .RESET_B(net288),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm3_low[3] ));
- sky130_fd_sc_hd__dfrtp_4 _9381_ (.CLK(clknet_leaf_140_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9381_ (.CLK(clknet_leaf_142_mclk),
     .D(_0881_),
-    .RESET_B(net280),
+    .RESET_B(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm3_low[4] ));
- sky130_fd_sc_hd__dfrtp_4 _9382_ (.CLK(clknet_leaf_140_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9382_ (.CLK(clknet_leaf_142_mclk),
     .D(_0882_),
-    .RESET_B(net280),
+    .RESET_B(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm3_low[5] ));
- sky130_fd_sc_hd__dfrtp_4 _9383_ (.CLK(clknet_leaf_140_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9383_ (.CLK(clknet_leaf_142_mclk),
     .D(_0883_),
-    .RESET_B(net280),
+    .RESET_B(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm3_low[6] ));
- sky130_fd_sc_hd__dfrtp_4 _9384_ (.CLK(clknet_leaf_140_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9384_ (.CLK(clknet_leaf_142_mclk),
     .D(_0884_),
-    .RESET_B(net280),
+    .RESET_B(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_pwm3_low[7] ));
- sky130_fd_sc_hd__dfrtp_4 _9385_ (.CLK(clknet_leaf_31_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9385_ (.CLK(clknet_leaf_32_mclk),
     .D(_0885_),
     .RESET_B(net289),
     .VGND(vssd1),
@@ -158873,7 +159016,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.u_reg8_be2.gen_bit_reg[3].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _9386_ (.CLK(clknet_leaf_28_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9386_ (.CLK(clknet_leaf_29_mclk),
     .D(_0024_),
     .RESET_B(net289),
     .VGND(vssd1),
@@ -158881,7 +159024,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.wb_req ));
- sky130_fd_sc_hd__dfrtp_1 _9387_ (.CLK(clknet_leaf_28_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9387_ (.CLK(clknet_leaf_29_mclk),
     .D(_0000_),
     .RESET_B(net289),
     .VGND(vssd1),
@@ -158889,55 +159032,55 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net225));
- sky130_fd_sc_hd__dfrtp_1 _9388_ (.CLK(clknet_leaf_93_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9388_ (.CLK(clknet_leaf_94_mclk),
     .D(_0886_),
-    .RESET_B(net277),
+    .RESET_B(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_glb_ctrl[24] ));
- sky130_fd_sc_hd__dfrtp_4 _9389_ (.CLK(clknet_leaf_110_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9389_ (.CLK(clknet_leaf_111_mclk),
     .D(_0887_),
-    .RESET_B(net278),
+    .RESET_B(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_glb_ctrl[25] ));
- sky130_fd_sc_hd__dfrtp_2 _9390_ (.CLK(clknet_leaf_110_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _9390_ (.CLK(clknet_leaf_111_mclk),
     .D(_0888_),
-    .RESET_B(net277),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_pinmux_reg.cfg_glb_ctrl[26] ));
- sky130_fd_sc_hd__dfrtp_1 _9391_ (.CLK(clknet_leaf_110_mclk),
-    .D(_0889_),
     .RESET_B(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .Q(\u_pinmux_reg.cfg_glb_ctrl[26] ));
+ sky130_fd_sc_hd__dfrtp_2 _9391_ (.CLK(clknet_leaf_111_mclk),
+    .D(_0889_),
+    .RESET_B(net279),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_glb_ctrl[27] ));
- sky130_fd_sc_hd__dfrtp_2 _9392_ (.CLK(clknet_leaf_99_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _9392_ (.CLK(clknet_leaf_98_mclk),
     .D(_0890_),
-    .RESET_B(net277),
+    .RESET_B(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_glb_ctrl[28] ));
- sky130_fd_sc_hd__dfrtp_1 _9393_ (.CLK(clknet_leaf_99_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _9393_ (.CLK(clknet_leaf_100_mclk),
     .D(_0891_),
-    .RESET_B(net277),
+    .RESET_B(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_glb_ctrl[29] ));
- sky130_fd_sc_hd__dfrtp_4 _9394_ (.CLK(clknet_leaf_101_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9394_ (.CLK(clknet_leaf_102_mclk),
     .D(_0892_),
     .RESET_B(net278),
     .VGND(vssd1),
@@ -158945,23 +159088,23 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_glb_ctrl[30] ));
- sky130_fd_sc_hd__dfrtp_4 _9395_ (.CLK(clknet_leaf_102_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9395_ (.CLK(clknet_leaf_95_mclk),
     .D(_0893_),
-    .RESET_B(net277),
+    .RESET_B(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.cfg_glb_ctrl[31] ));
- sky130_fd_sc_hd__dfrtp_1 _9396_ (.CLK(clknet_leaf_8_mclk),
-    .D(net481),
+ sky130_fd_sc_hd__dfrtp_1 _9396_ (.CLK(clknet_leaf_10_mclk),
+    .D(net475),
     .RESET_B(net289),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pinmux_reg.wb_req_d ));
- sky130_fd_sc_hd__dfrtp_1 _9397_ (.CLK(clknet_leaf_40_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9397_ (.CLK(clknet_leaf_41_mclk),
     .D(_0894_),
     .RESET_B(net285),
     .VGND(vssd1),
@@ -158977,9 +159120,9 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_0.pwm_cnt[1] ));
- sky130_fd_sc_hd__dfrtp_1 _9399_ (.CLK(clknet_leaf_40_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9399_ (.CLK(clknet_leaf_41_mclk),
     .D(_0896_),
-    .RESET_B(net284),
+    .RESET_B(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -159011,7 +159154,7 @@
     .Q(\u_pwm_0.pwm_cnt[5] ));
  sky130_fd_sc_hd__dfrtp_1 _9403_ (.CLK(clknet_leaf_45_mclk),
     .D(_0900_),
-    .RESET_B(net284),
+    .RESET_B(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -159019,7 +159162,7 @@
     .Q(\u_pwm_0.pwm_cnt[6] ));
  sky130_fd_sc_hd__dfrtp_1 _9404_ (.CLK(clknet_leaf_45_mclk),
     .D(_0901_),
-    .RESET_B(net284),
+    .RESET_B(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -159033,7 +159176,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_0.pwm_cnt[8] ));
- sky130_fd_sc_hd__dfrtp_1 _9406_ (.CLK(clknet_leaf_45_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9406_ (.CLK(clknet_leaf_46_mclk),
     .D(_0903_),
     .RESET_B(net285),
     .VGND(vssd1),
@@ -159041,57 +159184,57 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_0.pwm_cnt[9] ));
- sky130_fd_sc_hd__dfrtp_1 _9407_ (.CLK(clknet_leaf_46_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9407_ (.CLK(clknet_leaf_47_mclk),
     .D(_0904_),
-    .RESET_B(net282),
+    .RESET_B(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_0.pwm_cnt[10] ));
- sky130_fd_sc_hd__dfrtp_1 _9408_ (.CLK(clknet_leaf_46_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9408_ (.CLK(clknet_leaf_48_mclk),
     .D(_0905_),
-    .RESET_B(net282),
+    .RESET_B(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_0.pwm_cnt[11] ));
- sky130_fd_sc_hd__dfrtp_1 _9409_ (.CLK(clknet_leaf_46_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9409_ (.CLK(clknet_leaf_47_mclk),
     .D(_0906_),
-    .RESET_B(net282),
+    .RESET_B(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_0.pwm_cnt[12] ));
- sky130_fd_sc_hd__dfrtp_1 _9410_ (.CLK(clknet_leaf_47_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9410_ (.CLK(clknet_leaf_48_mclk),
     .D(_0907_),
-    .RESET_B(net282),
+    .RESET_B(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_0.pwm_cnt[13] ));
- sky130_fd_sc_hd__dfrtp_1 _9411_ (.CLK(clknet_leaf_47_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9411_ (.CLK(clknet_leaf_48_mclk),
     .D(_0908_),
-    .RESET_B(net282),
+    .RESET_B(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_0.pwm_cnt[14] ));
- sky130_fd_sc_hd__dfrtp_1 _9412_ (.CLK(clknet_leaf_47_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9412_ (.CLK(clknet_leaf_48_mclk),
     .D(_0909_),
-    .RESET_B(net282),
+    .RESET_B(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_0.pwm_cnt[15] ));
- sky130_fd_sc_hd__dfrtp_2 _9413_ (.CLK(clknet_leaf_51_mclk),
+ sky130_fd_sc_hd__dfrtp_2 _9413_ (.CLK(clknet_leaf_52_mclk),
     .D(_0910_),
-    .RESET_B(net281),
+    .RESET_B(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -159099,7 +159242,7 @@
     .Q(\u_pwm_1.waveform ));
  sky130_fd_sc_hd__dfrtp_1 _9414_ (.CLK(clknet_leaf_44_mclk),
     .D(_0911_),
-    .RESET_B(net282),
+    .RESET_B(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -159107,7 +159250,7 @@
     .Q(\u_pwm_1.pwm_cnt[0] ));
  sky130_fd_sc_hd__dfrtp_1 _9415_ (.CLK(clknet_leaf_52_mclk),
     .D(_0912_),
-    .RESET_B(net281),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -159115,23 +159258,23 @@
     .Q(\u_pwm_1.pwm_cnt[1] ));
  sky130_fd_sc_hd__dfrtp_1 _9416_ (.CLK(clknet_leaf_44_mclk),
     .D(_0913_),
-    .RESET_B(net282),
+    .RESET_B(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_1.pwm_cnt[2] ));
- sky130_fd_sc_hd__dfrtp_1 _9417_ (.CLK(clknet_leaf_51_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9417_ (.CLK(clknet_leaf_52_mclk),
     .D(_0914_),
-    .RESET_B(net281),
+    .RESET_B(net288),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_1.pwm_cnt[3] ));
- sky130_fd_sc_hd__dfrtp_1 _9418_ (.CLK(clknet_leaf_46_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9418_ (.CLK(clknet_leaf_47_mclk),
     .D(_0915_),
-    .RESET_B(net282),
+    .RESET_B(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -159139,7 +159282,7 @@
     .Q(\u_pwm_1.pwm_cnt[4] ));
  sky130_fd_sc_hd__dfrtp_1 _9419_ (.CLK(clknet_leaf_44_mclk),
     .D(_0916_),
-    .RESET_B(net282),
+    .RESET_B(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -159147,15 +159290,15 @@
     .Q(\u_pwm_1.pwm_cnt[5] ));
  sky130_fd_sc_hd__dfrtp_1 _9420_ (.CLK(clknet_leaf_49_mclk),
     .D(_0917_),
-    .RESET_B(net282),
+    .RESET_B(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_1.pwm_cnt[6] ));
- sky130_fd_sc_hd__dfrtp_1 _9421_ (.CLK(clknet_leaf_46_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9421_ (.CLK(clknet_leaf_47_mclk),
     .D(_0918_),
-    .RESET_B(net282),
+    .RESET_B(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -159163,23 +159306,23 @@
     .Q(\u_pwm_1.pwm_cnt[7] ));
  sky130_fd_sc_hd__dfrtp_1 _9422_ (.CLK(clknet_leaf_49_mclk),
     .D(_0919_),
-    .RESET_B(net282),
+    .RESET_B(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_1.pwm_cnt[8] ));
- sky130_fd_sc_hd__dfrtp_1 _9423_ (.CLK(clknet_leaf_50_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9423_ (.CLK(clknet_leaf_66_mclk),
     .D(_0920_),
-    .RESET_B(net281),
+    .RESET_B(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_1.pwm_cnt[9] ));
- sky130_fd_sc_hd__dfrtp_1 _9424_ (.CLK(clknet_leaf_48_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9424_ (.CLK(clknet_leaf_49_mclk),
     .D(_0921_),
-    .RESET_B(net282),
+    .RESET_B(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -159187,7 +159330,7 @@
     .Q(\u_pwm_1.pwm_cnt[10] ));
  sky130_fd_sc_hd__dfrtp_1 _9425_ (.CLK(clknet_leaf_67_mclk),
     .D(_0922_),
-    .RESET_B(net281),
+    .RESET_B(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -159195,7 +159338,7 @@
     .Q(\u_pwm_1.pwm_cnt[11] ));
  sky130_fd_sc_hd__dfrtp_1 _9426_ (.CLK(clknet_leaf_51_mclk),
     .D(_0923_),
-    .RESET_B(net281),
+    .RESET_B(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -159203,7 +159346,7 @@
     .Q(\u_pwm_1.pwm_cnt[12] ));
  sky130_fd_sc_hd__dfrtp_1 _9427_ (.CLK(clknet_leaf_51_mclk),
     .D(_0924_),
-    .RESET_B(net281),
+    .RESET_B(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -159211,7 +159354,7 @@
     .Q(\u_pwm_1.pwm_cnt[13] ));
  sky130_fd_sc_hd__dfrtp_1 _9428_ (.CLK(clknet_leaf_50_mclk),
     .D(_0925_),
-    .RESET_B(net281),
+    .RESET_B(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -159219,23 +159362,23 @@
     .Q(\u_pwm_1.pwm_cnt[14] ));
  sky130_fd_sc_hd__dfrtp_1 _9429_ (.CLK(clknet_leaf_50_mclk),
     .D(_0926_),
-    .RESET_B(net281),
+    .RESET_B(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_1.pwm_cnt[15] ));
- sky130_fd_sc_hd__dfrtp_4 _9430_ (.CLK(clknet_leaf_68_mclk),
+ sky130_fd_sc_hd__dfrtp_4 _9430_ (.CLK(clknet_leaf_67_mclk),
     .D(_0927_),
-    .RESET_B(net281),
+    .RESET_B(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_2.waveform ));
- sky130_fd_sc_hd__dfrtp_1 _9431_ (.CLK(clknet_leaf_46_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9431_ (.CLK(clknet_leaf_48_mclk),
     .D(_0928_),
-    .RESET_B(net282),
+    .RESET_B(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -159243,47 +159386,47 @@
     .Q(\u_pwm_2.pwm_cnt[0] ));
  sky130_fd_sc_hd__dfrtp_1 _9432_ (.CLK(clknet_leaf_49_mclk),
     .D(_0929_),
-    .RESET_B(net282),
+    .RESET_B(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_2.pwm_cnt[1] ));
- sky130_fd_sc_hd__dfrtp_1 _9433_ (.CLK(clknet_leaf_47_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9433_ (.CLK(clknet_leaf_48_mclk),
     .D(_0930_),
-    .RESET_B(net282),
+    .RESET_B(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_2.pwm_cnt[2] ));
- sky130_fd_sc_hd__dfrtp_1 _9434_ (.CLK(clknet_leaf_48_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9434_ (.CLK(clknet_leaf_67_mclk),
     .D(_0931_),
-    .RESET_B(net281),
+    .RESET_B(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_2.pwm_cnt[3] ));
- sky130_fd_sc_hd__dfrtp_1 _9435_ (.CLK(clknet_leaf_47_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9435_ (.CLK(clknet_leaf_48_mclk),
     .D(_0932_),
-    .RESET_B(net282),
+    .RESET_B(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_2.pwm_cnt[4] ));
- sky130_fd_sc_hd__dfrtp_1 _9436_ (.CLK(clknet_leaf_47_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9436_ (.CLK(clknet_leaf_48_mclk),
     .D(_0933_),
-    .RESET_B(net282),
+    .RESET_B(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_2.pwm_cnt[5] ));
- sky130_fd_sc_hd__dfrtp_1 _9437_ (.CLK(clknet_leaf_48_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9437_ (.CLK(clknet_leaf_67_mclk),
     .D(_0934_),
-    .RESET_B(net282),
+    .RESET_B(net285),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -159291,15 +159434,15 @@
     .Q(\u_pwm_2.pwm_cnt[6] ));
  sky130_fd_sc_hd__dfrtp_1 _9438_ (.CLK(clknet_leaf_68_mclk),
     .D(_0935_),
-    .RESET_B(net282),
+    .RESET_B(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_2.pwm_cnt[7] ));
- sky130_fd_sc_hd__dfrtp_1 _9439_ (.CLK(clknet_leaf_68_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9439_ (.CLK(clknet_leaf_67_mclk),
     .D(_0936_),
-    .RESET_B(net281),
+    .RESET_B(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -159307,23 +159450,23 @@
     .Q(\u_pwm_2.pwm_cnt[8] ));
  sky130_fd_sc_hd__dfrtp_1 _9440_ (.CLK(clknet_leaf_68_mclk),
     .D(_0937_),
-    .RESET_B(net281),
+    .RESET_B(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_2.pwm_cnt[9] ));
- sky130_fd_sc_hd__dfrtp_1 _9441_ (.CLK(clknet_leaf_69_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9441_ (.CLK(clknet_leaf_68_mclk),
     .D(_0938_),
-    .RESET_B(net281),
+    .RESET_B(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_2.pwm_cnt[10] ));
- sky130_fd_sc_hd__dfrtp_1 _9442_ (.CLK(clknet_leaf_69_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9442_ (.CLK(clknet_leaf_68_mclk),
     .D(_0939_),
-    .RESET_B(net281),
+    .RESET_B(net287),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -159345,49 +159488,49 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_2.pwm_cnt[13] ));
- sky130_fd_sc_hd__dfrtp_1 _9445_ (.CLK(clknet_leaf_70_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9445_ (.CLK(clknet_leaf_69_mclk),
     .D(_0942_),
-    .RESET_B(net287),
+    .RESET_B(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_2.pwm_cnt[14] ));
- sky130_fd_sc_hd__dfrtp_1 _9446_ (.CLK(clknet_leaf_70_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9446_ (.CLK(clknet_leaf_69_mclk),
     .D(_0943_),
-    .RESET_B(net287),
+    .RESET_B(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_2.pwm_cnt[15] ));
- sky130_fd_sc_hd__dfrtp_1 _9447_ (.CLK(clknet_leaf_77_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9447_ (.CLK(clknet_leaf_75_mclk),
     .D(_0944_),
-    .RESET_B(net275),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_3.waveform ));
- sky130_fd_sc_hd__dfrtp_1 _9448_ (.CLK(clknet_leaf_63_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9448_ (.CLK(clknet_leaf_62_mclk),
     .D(_0945_),
-    .RESET_B(net286),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_3.pwm_cnt[0] ));
- sky130_fd_sc_hd__dfrtp_1 _9449_ (.CLK(clknet_leaf_63_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9449_ (.CLK(clknet_leaf_62_mclk),
     .D(_0946_),
-    .RESET_B(net286),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_3.pwm_cnt[1] ));
- sky130_fd_sc_hd__dfrtp_1 _9450_ (.CLK(clknet_leaf_63_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9450_ (.CLK(clknet_leaf_62_mclk),
     .D(_0947_),
-    .RESET_B(net286),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -159395,39 +159538,39 @@
     .Q(\u_pwm_3.pwm_cnt[2] ));
  sky130_fd_sc_hd__dfrtp_1 _9451_ (.CLK(clknet_leaf_84_mclk),
     .D(_0948_),
-    .RESET_B(net288),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_3.pwm_cnt[3] ));
- sky130_fd_sc_hd__dfrtp_1 _9452_ (.CLK(clknet_leaf_73_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9452_ (.CLK(clknet_leaf_72_mclk),
     .D(_0949_),
-    .RESET_B(net286),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_3.pwm_cnt[4] ));
- sky130_fd_sc_hd__dfrtp_1 _9453_ (.CLK(clknet_leaf_74_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9453_ (.CLK(clknet_leaf_72_mclk),
     .D(_0950_),
-    .RESET_B(net286),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_3.pwm_cnt[5] ));
- sky130_fd_sc_hd__dfrtp_1 _9454_ (.CLK(clknet_leaf_74_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9454_ (.CLK(clknet_leaf_72_mclk),
     .D(_0951_),
-    .RESET_B(net286),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_3.pwm_cnt[6] ));
- sky130_fd_sc_hd__dfrtp_1 _9455_ (.CLK(clknet_leaf_74_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9455_ (.CLK(clknet_leaf_72_mclk),
     .D(_0952_),
-    .RESET_B(net286),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -159435,21 +159578,21 @@
     .Q(\u_pwm_3.pwm_cnt[7] ));
  sky130_fd_sc_hd__dfrtp_1 _9456_ (.CLK(clknet_leaf_74_mclk),
     .D(_0953_),
-    .RESET_B(net286),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_3.pwm_cnt[8] ));
- sky130_fd_sc_hd__dfrtp_1 _9457_ (.CLK(clknet_leaf_77_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9457_ (.CLK(clknet_leaf_72_mclk),
     .D(_0954_),
-    .RESET_B(net286),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_3.pwm_cnt[9] ));
- sky130_fd_sc_hd__dfrtp_1 _9458_ (.CLK(clknet_leaf_77_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9458_ (.CLK(clknet_leaf_74_mclk),
     .D(_0955_),
     .RESET_B(net275),
     .VGND(vssd1),
@@ -159457,15 +159600,15 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_3.pwm_cnt[10] ));
- sky130_fd_sc_hd__dfrtp_1 _9459_ (.CLK(clknet_leaf_77_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9459_ (.CLK(clknet_leaf_74_mclk),
     .D(_0956_),
-    .RESET_B(net286),
+    .RESET_B(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_3.pwm_cnt[11] ));
- sky130_fd_sc_hd__dfrtp_1 _9460_ (.CLK(clknet_4_15_0_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9460_ (.CLK(clknet_leaf_75_mclk),
     .D(_0957_),
     .RESET_B(net275),
     .VGND(vssd1),
@@ -159473,7 +159616,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_3.pwm_cnt[12] ));
- sky130_fd_sc_hd__dfrtp_1 _9461_ (.CLK(clknet_leaf_78_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9461_ (.CLK(clknet_leaf_76_mclk),
     .D(_0958_),
     .RESET_B(net275),
     .VGND(vssd1),
@@ -159481,7 +159624,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_3.pwm_cnt[13] ));
- sky130_fd_sc_hd__dfrtp_1 _9462_ (.CLK(clknet_leaf_78_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9462_ (.CLK(clknet_leaf_75_mclk),
     .D(_0959_),
     .RESET_B(net275),
     .VGND(vssd1),
@@ -159489,55 +159632,55 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_3.pwm_cnt[14] ));
- sky130_fd_sc_hd__dfrtp_1 _9463_ (.CLK(clknet_leaf_84_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9463_ (.CLK(clknet_leaf_83_mclk),
     .D(_0960_),
-    .RESET_B(net275),
+    .RESET_B(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_3.pwm_cnt[15] ));
- sky130_fd_sc_hd__dfrtp_1 _9464_ (.CLK(clknet_leaf_116_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9464_ (.CLK(clknet_leaf_117_mclk),
     .D(_0961_),
-    .RESET_B(net279),
+    .RESET_B(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_4.waveform ));
- sky130_fd_sc_hd__dfrtp_1 _9465_ (.CLK(clknet_leaf_135_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9465_ (.CLK(clknet_leaf_137_mclk),
     .D(_0962_),
-    .RESET_B(net279),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_4.pwm_cnt[0] ));
- sky130_fd_sc_hd__dfrtp_1 _9466_ (.CLK(clknet_leaf_135_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9466_ (.CLK(clknet_leaf_137_mclk),
     .D(_0963_),
-    .RESET_B(net279),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_4.pwm_cnt[1] ));
- sky130_fd_sc_hd__dfrtp_1 _9467_ (.CLK(clknet_leaf_135_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9467_ (.CLK(clknet_leaf_137_mclk),
     .D(_0964_),
-    .RESET_B(net279),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_4.pwm_cnt[2] ));
- sky130_fd_sc_hd__dfrtp_1 _9468_ (.CLK(clknet_leaf_115_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9468_ (.CLK(clknet_leaf_116_mclk),
     .D(_0965_),
-    .RESET_B(net279),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_4.pwm_cnt[3] ));
- sky130_fd_sc_hd__dfrtp_1 _9469_ (.CLK(clknet_leaf_134_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9469_ (.CLK(clknet_leaf_136_mclk),
     .D(_0966_),
     .RESET_B(net280),
     .VGND(vssd1),
@@ -159545,15 +159688,15 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_4.pwm_cnt[4] ));
- sky130_fd_sc_hd__dfrtp_1 _9470_ (.CLK(clknet_leaf_135_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9470_ (.CLK(clknet_leaf_137_mclk),
     .D(_0967_),
-    .RESET_B(net279),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_4.pwm_cnt[5] ));
- sky130_fd_sc_hd__dfrtp_1 _9471_ (.CLK(clknet_leaf_136_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9471_ (.CLK(clknet_leaf_138_mclk),
     .D(_0968_),
     .RESET_B(net280),
     .VGND(vssd1),
@@ -159561,57 +159704,57 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_4.pwm_cnt[6] ));
- sky130_fd_sc_hd__dfrtp_1 _9472_ (.CLK(clknet_leaf_115_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9472_ (.CLK(clknet_leaf_136_mclk),
     .D(_0969_),
-    .RESET_B(net279),
+    .RESET_B(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_4.pwm_cnt[7] ));
- sky130_fd_sc_hd__dfrtp_1 _9473_ (.CLK(clknet_leaf_115_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9473_ (.CLK(clknet_leaf_116_mclk),
     .D(_0970_),
-    .RESET_B(net279),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_4.pwm_cnt[8] ));
- sky130_fd_sc_hd__dfrtp_1 _9474_ (.CLK(clknet_leaf_115_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9474_ (.CLK(clknet_leaf_116_mclk),
     .D(_0971_),
-    .RESET_B(net279),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_4.pwm_cnt[9] ));
- sky130_fd_sc_hd__dfrtp_1 _9475_ (.CLK(clknet_leaf_115_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9475_ (.CLK(clknet_leaf_116_mclk),
     .D(_0972_),
-    .RESET_B(net279),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_4.pwm_cnt[10] ));
- sky130_fd_sc_hd__dfrtp_1 _9476_ (.CLK(clknet_leaf_115_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9476_ (.CLK(clknet_leaf_116_mclk),
     .D(_0973_),
-    .RESET_B(net279),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_4.pwm_cnt[11] ));
- sky130_fd_sc_hd__dfrtp_1 _9477_ (.CLK(clknet_leaf_115_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9477_ (.CLK(clknet_leaf_116_mclk),
     .D(_0974_),
-    .RESET_B(net279),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_4.pwm_cnt[12] ));
- sky130_fd_sc_hd__dfrtp_1 _9478_ (.CLK(clknet_leaf_114_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9478_ (.CLK(clknet_leaf_115_mclk),
     .D(_0975_),
-    .RESET_B(net279),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -159619,23 +159762,23 @@
     .Q(\u_pwm_4.pwm_cnt[13] ));
  sky130_fd_sc_hd__dfrtp_1 _9479_ (.CLK(clknet_leaf_114_mclk),
     .D(_0976_),
-    .RESET_B(net279),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_4.pwm_cnt[14] ));
- sky130_fd_sc_hd__dfrtp_1 _9480_ (.CLK(clknet_leaf_114_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9480_ (.CLK(clknet_leaf_115_mclk),
     .D(_0977_),
-    .RESET_B(net279),
+    .RESET_B(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_pwm_4.pwm_cnt[15] ));
- sky130_fd_sc_hd__dfrtp_1 _9481_ (.CLK(clknet_leaf_98_mclk),
+ sky130_fd_sc_hd__dfrtp_1 _9481_ (.CLK(clknet_leaf_99_mclk),
     .D(_0978_),
-    .RESET_B(net277),
+    .RESET_B(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -160407,7 +160550,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_10_mclk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_110_mclk (.A(clknet_4_8_0_mclk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_110_mclk (.A(clknet_4_10_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -160473,13 +160616,13 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_11_mclk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_120_mclk (.A(clknet_4_9_0_mclk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_120_mclk (.A(clknet_4_8_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_120_mclk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_121_mclk (.A(clknet_4_9_0_mclk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_121_mclk (.A(clknet_4_8_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -160503,13 +160646,13 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_124_mclk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_125_mclk (.A(clknet_4_12_0_mclk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_125_mclk (.A(clknet_4_9_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_125_mclk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_126_mclk (.A(clknet_4_3_0_mclk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_126_mclk (.A(clknet_4_9_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -160539,13 +160682,13 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_12_mclk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_130_mclk (.A(clknet_4_2_0_mclk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_130_mclk (.A(clknet_4_3_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_130_mclk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_131_mclk (.A(clknet_4_2_0_mclk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_131_mclk (.A(clknet_4_3_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -160611,13 +160754,13 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_140_mclk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_141_mclk (.A(clknet_4_0_0_mclk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_141_mclk (.A(clknet_4_2_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_141_mclk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_142_mclk (.A(clknet_4_0_0_mclk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_142_mclk (.A(clknet_4_2_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -160647,7 +160790,13 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_146_mclk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_14_mclk (.A(clknet_4_3_0_mclk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_147_mclk (.A(clknet_4_0_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(clknet_leaf_147_mclk));
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_14_mclk (.A(clknet_4_1_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -160677,7 +160826,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_18_mclk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_19_mclk (.A(clknet_4_6_0_mclk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_19_mclk (.A(clknet_4_3_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -160773,13 +160922,13 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_32_mclk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_33_mclk (.A(clknet_4_4_0_mclk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_33_mclk (.A(clknet_4_5_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_33_mclk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_34_mclk (.A(clknet_4_5_0_mclk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_34_mclk (.A(clknet_4_4_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -160827,7 +160976,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_40_mclk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_41_mclk (.A(clknet_4_5_0_mclk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_41_mclk (.A(clknet_4_7_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -160881,7 +161030,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_49_mclk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_4_mclk (.A(clknet_4_1_0_mclk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_4_mclk (.A(clknet_4_0_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -160965,7 +161114,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_61_mclk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_62_mclk (.A(clknet_4_12_0_mclk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_62_mclk (.A(clknet_4_13_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -161025,7 +161174,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_70_mclk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_71_mclk (.A(clknet_4_15_0_mclk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_71_mclk (.A(clknet_4_13_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -161055,6 +161204,12 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_75_mclk));
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_76_mclk (.A(clknet_4_15_0_mclk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(clknet_leaf_76_mclk));
  sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_77_mclk (.A(clknet_4_15_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -161097,19 +161252,19 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_82_mclk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_83_mclk (.A(clknet_4_14_0_mclk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_83_mclk (.A(clknet_4_15_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_83_mclk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_84_mclk (.A(clknet_4_14_0_mclk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_84_mclk (.A(clknet_4_15_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_84_mclk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_85_mclk (.A(clknet_4_12_0_mclk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_85_mclk (.A(clknet_4_14_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -161121,7 +161276,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_86_mclk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_87_mclk (.A(clknet_4_14_0_mclk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_87_mclk (.A(clknet_4_12_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -161145,13 +161300,13 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_8_mclk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_90_mclk (.A(clknet_4_12_0_mclk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_90_mclk (.A(clknet_4_9_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_90_mclk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_91_mclk (.A(clknet_4_9_0_mclk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_91_mclk (.A(clknet_4_14_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -161163,7 +161318,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_92_mclk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_93_mclk (.A(clknet_4_11_0_mclk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_93_mclk (.A(clknet_4_9_0_mclk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -161217,192 +161372,192 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net336));
- sky130_fd_sc_hd__dlygate4sd3_1 hold10 (.A(net344),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold10 (.A(net492),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net345));
- sky130_fd_sc_hd__dlygate4sd3_1 hold100 (.A(net434),
+ sky130_fd_sc_hd__buf_4 hold100 (.A(net250),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net435));
- sky130_fd_sc_hd__buf_2 hold101 (.A(net435),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(reg_rdata[26]));
- sky130_fd_sc_hd__buf_4 hold102 (.A(net244),
+    .X(net434));
+ sky130_fd_sc_hd__dlygate4sd3_1 hold101 (.A(net435),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net436));
- sky130_fd_sc_hd__dlygate4sd3_1 hold103 (.A(net437),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold102 (.A(net436),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net437));
+ sky130_fd_sc_hd__buf_2 hold103 (.A(net437),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(reg_rdata[2]));
+ sky130_fd_sc_hd__clkbuf_4 hold104 (.A(net248),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net438));
- sky130_fd_sc_hd__dlygate4sd3_1 hold104 (.A(net438),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold105 (.A(net439),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net439));
- sky130_fd_sc_hd__buf_2 hold105 (.A(net439),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net489));
+    .X(net440));
  sky130_fd_sc_hd__dlygate4sd3_1 hold106 (.A(net440),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net441));
- sky130_fd_sc_hd__dlygate4sd3_1 hold107 (.A(net441),
+ sky130_fd_sc_hd__buf_2 hold107 (.A(net441),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(reg_rdata[6]));
+ sky130_fd_sc_hd__buf_4 hold108 (.A(net254),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net442));
- sky130_fd_sc_hd__buf_2 hold108 (.A(net442),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold109 (.A(net443),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(reg_rdata[12]));
- sky130_fd_sc_hd__buf_4 hold109 (.A(net229),
+    .X(net444));
+ sky130_fd_sc_hd__buf_2 hold11 (.A(net345),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net443));
- sky130_fd_sc_hd__buf_2 hold11 (.A(net477),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net476));
+    .X(reg_rdata[19]));
  sky130_fd_sc_hd__dlygate4sd3_1 hold110 (.A(net444),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net445));
- sky130_fd_sc_hd__dlygate4sd3_1 hold111 (.A(net445),
+ sky130_fd_sc_hd__buf_2 hold111 (.A(net445),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(reg_rdata[0]));
+ sky130_fd_sc_hd__buf_4 hold112 (.A(net226),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net446));
- sky130_fd_sc_hd__buf_2 hold112 (.A(net446),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold113 (.A(net447),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(reg_rdata[14]));
- sky130_fd_sc_hd__buf_4 hold113 (.A(net231),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net447));
+    .X(net448));
  sky130_fd_sc_hd__dlygate4sd3_1 hold114 (.A(net448),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net449));
- sky130_fd_sc_hd__dlygate4sd3_1 hold115 (.A(net449),
+ sky130_fd_sc_hd__buf_2 hold115 (.A(net449),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net450));
- sky130_fd_sc_hd__buf_2 hold116 (.A(net450),
+    .X(net491));
+ sky130_fd_sc_hd__dlygate4sd3_1 hold116 (.A(net450),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net513));
+    .X(net451));
  sky130_fd_sc_hd__dlygate4sd3_1 hold117 (.A(net451),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net452));
- sky130_fd_sc_hd__dlygate4sd3_1 hold118 (.A(net452),
+ sky130_fd_sc_hd__buf_2 hold118 (.A(net452),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(reg_rdata[7]));
+ sky130_fd_sc_hd__buf_4 hold119 (.A(net255),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net453));
- sky130_fd_sc_hd__buf_2 hold119 (.A(net453),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(reg_rdata[4]));
- sky130_fd_sc_hd__clkbuf_2 hold12 (.A(net233),
+ sky130_fd_sc_hd__clkbuf_2 hold12 (.A(net236),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net346));
- sky130_fd_sc_hd__clkbuf_4 hold120 (.A(net252),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold120 (.A(net454),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net454));
+    .X(net455));
  sky130_fd_sc_hd__dlygate4sd3_1 hold121 (.A(net455),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net456));
- sky130_fd_sc_hd__dlygate4sd3_1 hold122 (.A(net456),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net457));
- sky130_fd_sc_hd__buf_2 hold123 (.A(net457),
+ sky130_fd_sc_hd__buf_2 hold122 (.A(net456),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(reg_rdata[1]));
- sky130_fd_sc_hd__buf_4 hold124 (.A(net237),
+ sky130_fd_sc_hd__buf_4 hold123 (.A(net237),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net458));
+    .X(net457));
+ sky130_fd_sc_hd__dlygate4sd3_1 hold124 (.A(net458),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net459));
  sky130_fd_sc_hd__dlygate4sd3_1 hold125 (.A(net459),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net460));
- sky130_fd_sc_hd__dlygate4sd3_1 hold126 (.A(net460),
+ sky130_fd_sc_hd__buf_2 hold126 (.A(net460),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(qspim_rst_n));
+ sky130_fd_sc_hd__clkdlybuf4s50_1 hold127 (.A(net224),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net461));
- sky130_fd_sc_hd__buf_2 hold127 (.A(net461),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(reg_rdata[31]));
  sky130_fd_sc_hd__dlygate4sd3_1 hold128 (.A(net462),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -161427,19 +161582,19 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(reg_rdata[5]));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold131 (.A(\u_pinmux_reg.gpio_in_data_s[11] ),
+ sky130_fd_sc_hd__buf_4 hold131 (.A(net253),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net465));
- sky130_fd_sc_hd__clkbuf_2 hold132 (.A(\u_gpio_intr.gpio_prev_indata[12] ),
+ sky130_fd_sc_hd__clkdlybuf4s50_1 hold132 (.A(\u_pinmux_reg.gpio_in_data_s[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net466));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold133 (.A(\u_pinmux_reg.gpio_in_data_s[31] ),
+ sky130_fd_sc_hd__clkbuf_4 hold133 (.A(net257),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -161450,50 +161605,50 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(reg_rdata[27]));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold135 (.A(net349),
+    .X(reg_rdata[9]));
+ sky130_fd_sc_hd__buf_2 hold135 (.A(net469),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net469));
- sky130_fd_sc_hd__buf_2 hold136 (.A(net470),
+    .X(reg_rdata[20]));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold136 (.A(net380),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(reg_rdata[29]));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold137 (.A(net373),
+    .X(net470));
+ sky130_fd_sc_hd__buf_2 hold137 (.A(net471),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net471));
- sky130_fd_sc_hd__buf_4 hold138 (.A(\u_gpio_intr.gpio_prev_indata[19] ),
+    .X(reg_rdata[25]));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold138 (.A(net403),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net472));
- sky130_fd_sc_hd__buf_2 hold139 (.A(net473),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold139 (.A(net425),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(reg_rdata[18]));
+    .X(net473));
  sky130_fd_sc_hd__dlygate4sd3_1 hold14 (.A(net348),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net349));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold140 (.A(net341),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold140 (.A(\u_pinmux_reg.gpio_in_data_s[11] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net474));
- sky130_fd_sc_hd__buf_6 hold141 (.A(\u_gpio_intr.gpio_prev_indata[16] ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold141 (.A(\u_pinmux_reg.wb_req ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -161504,290 +161659,314 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(reg_rdata[16]));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold143 (.A(net345),
+    .X(reg_rdata[18]));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold143 (.A(net353),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net477));
- sky130_fd_sc_hd__dlymetal6s2s_1 hold144 (.A(\u_pinmux_reg.gpio_in_data_s[30] ),
+ sky130_fd_sc_hd__buf_2 hold144 (.A(net478),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net478));
- sky130_fd_sc_hd__buf_2 hold145 (.A(net479),
+    .X(reg_rdata[28]));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold145 (.A(net372),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(reg_ack));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold146 (.A(net337),
+    .X(net479));
+ sky130_fd_sc_hd__buf_12 hold146 (.A(net31),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net480));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold147 (.A(\u_pinmux_reg.wb_req ),
+ sky130_fd_sc_hd__buf_2 hold147 (.A(net481),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net481));
+    .X(reg_ack));
  sky130_fd_sc_hd__buf_2 hold148 (.A(net482),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(reg_rdata[6]));
- sky130_fd_sc_hd__dlymetal6s2s_1 hold149 (.A(\u_gpio_intr.gpio_prev_indata[26] ),
+    .X(reg_rdata[29]));
+ sky130_fd_sc_hd__buf_2 hold149 (.A(net483),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net483));
- sky130_fd_sc_hd__buf_2 hold15 (.A(net469),
+    .X(reg_rdata[26]));
+ sky130_fd_sc_hd__buf_2 hold15 (.A(net349),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net468));
- sky130_fd_sc_hd__buf_6 hold150 (.A(\u_gpio_intr.gpio_prev_indata[18] ),
+    .X(reg_rdata[16]));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold150 (.A(net339),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net484));
- sky130_fd_sc_hd__buf_2 hold151 (.A(net485),
+ sky130_fd_sc_hd__clkbuf_2 hold151 (.A(\u_gpio_intr.gpio_prev_indata[26] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(reg_rdata[7]));
+    .X(net485));
  sky130_fd_sc_hd__buf_2 hold152 (.A(net486),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(reg_rdata[0]));
- sky130_fd_sc_hd__buf_4 hold153 (.A(\u_gpio_intr.gpio_prev_indata[8] ),
+    .X(reg_rdata[27]));
+ sky130_fd_sc_hd__buf_2 hold153 (.A(net487),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net487));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold154 (.A(\u_pinmux_reg.gpio_in_data_s[28] ),
+    .X(reg_rdata[8]));
+ sky130_fd_sc_hd__buf_2 hold154 (.A(net488),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net488));
- sky130_fd_sc_hd__buf_2 hold155 (.A(net489),
+    .X(reg_rdata[12]));
+ sky130_fd_sc_hd__clkbuf_1 hold155 (.A(\u_pinmux_reg.gpio_in_data_s[30] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(reg_rdata[25]));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold156 (.A(net241),
+    .X(net489));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold156 (.A(\u_pinmux_reg.gpio_in_data_s[31] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net490));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold157 (.A(\u_pinmux_reg.gpio_in_data_s[13] ),
+ sky130_fd_sc_hd__buf_2 hold157 (.A(net491),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net491));
- sky130_fd_sc_hd__buf_2 hold158 (.A(\u_gpio_intr.gpio_prev_indata[28] ),
+    .X(reg_rdata[4]));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold158 (.A(net344),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net492));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold159 (.A(net239),
+ sky130_fd_sc_hd__buf_2 hold159 (.A(net493),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net493));
- sky130_fd_sc_hd__buf_4 hold16 (.A(net245),
+    .X(reg_rdata[15]));
+ sky130_fd_sc_hd__dlymetal6s2s_1 hold16 (.A(net501),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net350));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold160 (.A(\u_pinmux_reg.gpio_in_data_s[18] ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold160 (.A(\u_pinmux_reg.gpio_in_data_s[29] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net494));
- sky130_fd_sc_hd__buf_2 hold161 (.A(\u_gpio_intr.gpio_prev_indata[25] ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold161 (.A(net422),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net495));
- sky130_fd_sc_hd__clkbuf_8 hold162 (.A(\u_gpio_intr.gpio_prev_indata[9] ),
+ sky130_fd_sc_hd__buf_2 hold162 (.A(\u_gpio_intr.gpio_prev_indata[25] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net496));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold163 (.A(net368),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold163 (.A(net228),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net497));
- sky130_fd_sc_hd__clkbuf_2 hold164 (.A(\u_gpio_intr.gpio_prev_indata[13] ),
+ sky130_fd_sc_hd__buf_2 hold164 (.A(net498),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net498));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold165 (.A(net364),
+    .X(reg_rdata[13]));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold165 (.A(\u_pinmux_reg.gpio_in_data_s[17] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net499));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold166 (.A(net238),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold166 (.A(net366),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net500));
- sky130_fd_sc_hd__clkdlybuf4s50_1 hold167 (.A(\u_pinmux_reg.gpio_in_data_s[12] ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold167 (.A(net233),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net501));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold168 (.A(\u_pinmux_reg.gpio_in_data_s[10] ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold168 (.A(net375),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net502));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold169 (.A(\u_pinmux_reg.gpio_in_data_s[16] ),
+ sky130_fd_sc_hd__buf_2 hold169 (.A(net503),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net503));
+    .X(reg_rdata[31]));
  sky130_fd_sc_hd__dlygate4sd3_1 hold17 (.A(net351),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net352));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold170 (.A(\u_pinmux_reg.gpio_in_data_s[21] ),
+ sky130_fd_sc_hd__buf_2 hold170 (.A(\u_gpio_intr.gpio_prev_indata[20] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net504));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold171 (.A(\u_pinmux_reg.gpio_in_data_s[27] ),
+ sky130_fd_sc_hd__clkdlybuf4s50_1 hold171 (.A(\u_gpio_intr.gpio_prev_indata[29] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net505));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold172 (.A(\u_pinmux_reg.gpio_in_data_s[20] ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold172 (.A(net386),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net506));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold173 (.A(\u_pinmux_reg.gpio_in_data_s[9] ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold173 (.A(\u_pinmux_reg.gpio_in_data_s[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net507));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold174 (.A(\u_pinmux_reg.gpio_in_data_s[17] ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold174 (.A(net241),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net508));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold175 (.A(net380),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold175 (.A(\u_pinmux_reg.gpio_in_data_s[27] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net509));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold176 (.A(\u_pinmux_reg.gpio_in_data_s[22] ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold176 (.A(\u_pinmux_reg.gpio_in_data_s[28] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net510));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold177 (.A(net228),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold177 (.A(\u_pinmux_reg.gpio_in_data_s[10] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net511));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold178 (.A(\u_pinmux_reg.gpio_in_data_s[8] ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold178 (.A(\u_pinmux_reg.gpio_in_data_s[19] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net512));
- sky130_fd_sc_hd__buf_2 hold179 (.A(net513),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold179 (.A(\u_pinmux_reg.gpio_in_data_s[22] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(reg_rdata[30]));
+    .X(net513));
  sky130_fd_sc_hd__dlygate4sd3_1 hold18 (.A(net352),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net353));
- sky130_fd_sc_hd__clkbuf_2 hold180 (.A(\u_pinmux_reg.cfg_pwm1_low[15] ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold180 (.A(\u_pinmux_reg.gpio_in_data_s[20] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net514));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold181 (.A(\u_pinmux_reg.gpio_in_data_s[14] ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold181 (.A(net409),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net515));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold182 (.A(\u_pinmux_reg.gpio_in_data_s[26] ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold182 (.A(\u_pinmux_reg.gpio_in_data_s[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net516));
- sky130_fd_sc_hd__dlymetal6s2s_1 hold183 (.A(net478),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold183 (.A(\u_pinmux_reg.gpio_in_data_s[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net517));
- sky130_fd_sc_hd__buf_2 hold19 (.A(net353),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold184 (.A(\u_pinmux_reg.gpio_in_data_s[26] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(reg_rdata[21]));
+    .X(net518));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold185 (.A(\u_pinmux_reg.gpio_in_data_s[21] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net519));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold186 (.A(\u_pinmux_reg.gpio_in_data_s[16] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net520));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold187 (.A(\u_pinmux_reg.gpio_in_data_s[25] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net521));
+ sky130_fd_sc_hd__buf_2 hold19 (.A(net477),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net476));
  sky130_fd_sc_hd__dlygate4sd3_1 hold2 (.A(net336),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net337));
- sky130_fd_sc_hd__clkbuf_2 hold20 (.A(net493),
+ sky130_fd_sc_hd__clkbuf_2 hold20 (.A(net235),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -161810,512 +161989,512 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(reg_rdata[23]));
- sky130_fd_sc_hd__buf_2 hold24 (.A(net490),
+    .X(net468));
+ sky130_fd_sc_hd__dlygate4sd3_1 hold24 (.A(net358),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net358));
+    .X(net359));
  sky130_fd_sc_hd__dlygate4sd3_1 hold25 (.A(net359),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net360));
- sky130_fd_sc_hd__dlygate4sd3_1 hold26 (.A(net360),
+ sky130_fd_sc_hd__buf_2 hold26 (.A(net360),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(reg_rdata[11]));
+ sky130_fd_sc_hd__clkbuf_2 hold27 (.A(net497),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net361));
- sky130_fd_sc_hd__buf_2 hold27 (.A(net361),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold28 (.A(net362),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(reg_rdata[20]));
- sky130_fd_sc_hd__clkbuf_2 hold28 (.A(net500),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net362));
+    .X(net363));
  sky130_fd_sc_hd__dlygate4sd3_1 hold29 (.A(net363),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net364));
- sky130_fd_sc_hd__buf_2 hold3 (.A(net480),
+ sky130_fd_sc_hd__buf_2 hold3 (.A(net337),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net479));
- sky130_fd_sc_hd__dlygate4sd3_1 hold30 (.A(net499),
+    .X(net481));
+ sky130_fd_sc_hd__buf_2 hold30 (.A(net364),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net487));
+ sky130_fd_sc_hd__clkbuf_4 hold31 (.A(net256),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net365));
- sky130_fd_sc_hd__buf_2 hold31 (.A(net365),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold32 (.A(net500),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(reg_rdata[24]));
- sky130_fd_sc_hd__buf_2 hold32 (.A(net242),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net366));
+    .X(net367));
  sky130_fd_sc_hd__dlygate4sd3_1 hold33 (.A(net367),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net368));
- sky130_fd_sc_hd__dlygate4sd3_1 hold34 (.A(net497),
+ sky130_fd_sc_hd__buf_2 hold34 (.A(net368),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(reg_rdata[10]));
+ sky130_fd_sc_hd__buf_2 hold35 (.A(net227),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net369));
- sky130_fd_sc_hd__buf_2 hold35 (.A(net369),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold36 (.A(net370),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(reg_rdata[22]));
- sky130_fd_sc_hd__buf_2 hold36 (.A(net240),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net370));
+    .X(net371));
  sky130_fd_sc_hd__dlygate4sd3_1 hold37 (.A(net371),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net372));
- sky130_fd_sc_hd__dlygate4sd3_1 hold38 (.A(net372),
+ sky130_fd_sc_hd__buf_2 hold38 (.A(net479),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net478));
+ sky130_fd_sc_hd__buf_4 hold39 (.A(net246),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net373));
- sky130_fd_sc_hd__buf_2 hold39 (.A(net471),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net470));
  sky130_fd_sc_hd__clkbuf_1 hold4 (.A(net225),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net338));
- sky130_fd_sc_hd__clkbuf_8 hold40 (.A(net247),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold40 (.A(net374),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net374));
- sky130_fd_sc_hd__dlygate4sd3_1 hold41 (.A(net375),
+    .X(net375));
+ sky130_fd_sc_hd__dlygate4sd3_1 hold41 (.A(net502),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net376));
- sky130_fd_sc_hd__dlygate4sd3_1 hold42 (.A(net376),
+ sky130_fd_sc_hd__buf_2 hold42 (.A(net376),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(reg_rdata[3]));
+ sky130_fd_sc_hd__buf_2 hold43 (.A(net251),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net377));
- sky130_fd_sc_hd__buf_2 hold43 (.A(net377),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold44 (.A(net378),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(reg_rdata[17]));
- sky130_fd_sc_hd__clkbuf_2 hold44 (.A(net234),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net378));
+    .X(net379));
  sky130_fd_sc_hd__dlygate4sd3_1 hold45 (.A(net379),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net380));
- sky130_fd_sc_hd__dlygate4sd3_1 hold46 (.A(net509),
+ sky130_fd_sc_hd__buf_2 hold46 (.A(net470),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net381));
- sky130_fd_sc_hd__buf_2 hold47 (.A(net381),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(reg_rdata[19]));
- sky130_fd_sc_hd__buf_2 hold48 (.A(net236),
+    .X(net469));
+ sky130_fd_sc_hd__dlygate4sd3_1 hold47 (.A(net381),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net382));
- sky130_fd_sc_hd__dlygate4sd3_1 hold49 (.A(net383),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold48 (.A(net382),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net384));
- sky130_fd_sc_hd__dlygate4sd3_1 hold5 (.A(net339),
+    .X(net383));
+ sky130_fd_sc_hd__buf_2 hold49 (.A(net383),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net493));
+ sky130_fd_sc_hd__dlygate4sd3_1 hold5 (.A(net484),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net340));
- sky130_fd_sc_hd__dlygate4sd3_1 hold50 (.A(net384),
+ sky130_fd_sc_hd__clkbuf_4 hold50 (.A(net232),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net385));
- sky130_fd_sc_hd__buf_2 hold51 (.A(net385),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(reg_rdata[11]));
- sky130_fd_sc_hd__buf_2 hold52 (.A(net511),
+    .X(net384));
+ sky130_fd_sc_hd__dlygate4sd3_1 hold51 (.A(net385),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net386));
- sky130_fd_sc_hd__dlygate4sd3_1 hold53 (.A(net387),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold52 (.A(net506),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net387));
+ sky130_fd_sc_hd__buf_2 hold53 (.A(net387),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(reg_rdata[21]));
+ sky130_fd_sc_hd__clkbuf_2 hold54 (.A(net239),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net388));
- sky130_fd_sc_hd__dlygate4sd3_1 hold54 (.A(net388),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net389));
- sky130_fd_sc_hd__buf_2 hold55 (.A(net389),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net486));
- sky130_fd_sc_hd__buf_4 hold56 (.A(net226),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold55 (.A(net389),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net390));
- sky130_fd_sc_hd__dlygate4sd3_1 hold57 (.A(net391),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold56 (.A(net390),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net391));
+ sky130_fd_sc_hd__buf_2 hold57 (.A(net391),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net498));
+ sky130_fd_sc_hd__clkbuf_4 hold58 (.A(net230),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net392));
- sky130_fd_sc_hd__dlygate4sd3_1 hold58 (.A(net392),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold59 (.A(net393),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net393));
- sky130_fd_sc_hd__buf_2 hold59 (.A(net393),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(reg_rdata[10]));
+    .X(net394));
  sky130_fd_sc_hd__dlygate4sd3_1 hold6 (.A(net340),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net341));
- sky130_fd_sc_hd__buf_2 hold60 (.A(net227),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold60 (.A(net394),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net394));
- sky130_fd_sc_hd__dlygate4sd3_1 hold61 (.A(net395),
+    .X(net395));
+ sky130_fd_sc_hd__buf_2 hold61 (.A(net395),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net483));
+ sky130_fd_sc_hd__buf_4 hold62 (.A(net244),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net396));
- sky130_fd_sc_hd__dlygate4sd3_1 hold62 (.A(net396),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net397));
- sky130_fd_sc_hd__buf_2 hold63 (.A(net397),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(reg_rdata[3]));
- sky130_fd_sc_hd__buf_2 hold64 (.A(net251),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold63 (.A(net397),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net398));
- sky130_fd_sc_hd__dlygate4sd3_1 hold65 (.A(net399),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold64 (.A(net398),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net399));
+ sky130_fd_sc_hd__buf_2 hold65 (.A(net399),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(reg_rdata[23]));
+ sky130_fd_sc_hd__buf_2 hold66 (.A(net508),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net400));
- sky130_fd_sc_hd__dlygate4sd3_1 hold66 (.A(net400),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net401));
- sky130_fd_sc_hd__buf_2 hold67 (.A(net401),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(reg_rdata[15]));
- sky130_fd_sc_hd__clkbuf_4 hold68 (.A(net232),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold67 (.A(net401),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net402));
- sky130_fd_sc_hd__dlygate4sd3_1 hold69 (.A(net403),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold68 (.A(net402),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net404));
- sky130_fd_sc_hd__buf_2 hold7 (.A(net474),
+    .X(net403));
+ sky130_fd_sc_hd__buf_2 hold69 (.A(net472),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net473));
+    .X(net471));
+ sky130_fd_sc_hd__buf_2 hold7 (.A(net341),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(reg_rdata[17]));
  sky130_fd_sc_hd__dlygate4sd3_1 hold70 (.A(net404),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net405));
- sky130_fd_sc_hd__buf_2 hold71 (.A(net405),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(reg_rdata[2]));
- sky130_fd_sc_hd__clkbuf_4 hold72 (.A(net248),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold71 (.A(net405),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net406));
- sky130_fd_sc_hd__dlygate4sd3_1 hold73 (.A(net407),
+ sky130_fd_sc_hd__buf_2 hold72 (.A(net406),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net408));
+    .X(net486));
+ sky130_fd_sc_hd__buf_4 hold73 (.A(net245),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net407));
  sky130_fd_sc_hd__dlygate4sd3_1 hold74 (.A(net408),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net409));
- sky130_fd_sc_hd__buf_2 hold75 (.A(net409),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(reg_rdata[9]));
- sky130_fd_sc_hd__clkbuf_4 hold76 (.A(net257),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold75 (.A(net515),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net410));
- sky130_fd_sc_hd__dlygate4sd3_1 hold77 (.A(net411),
+ sky130_fd_sc_hd__buf_2 hold76 (.A(net410),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net412));
+    .X(reg_rdata[22]));
+ sky130_fd_sc_hd__buf_2 hold77 (.A(net240),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net411));
  sky130_fd_sc_hd__dlygate4sd3_1 hold78 (.A(net412),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net413));
- sky130_fd_sc_hd__buf_2 hold79 (.A(net413),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(reg_rdata[28]));
- sky130_fd_sc_hd__clkbuf_2 hold8 (.A(net235),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net342));
- sky130_fd_sc_hd__buf_4 hold80 (.A(net246),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold79 (.A(net413),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net414));
- sky130_fd_sc_hd__dlygate4sd3_1 hold81 (.A(net415),
+ sky130_fd_sc_hd__clkbuf_2 hold8 (.A(net234),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net416));
+    .X(net342));
+ sky130_fd_sc_hd__buf_2 hold80 (.A(net414),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net488));
+ sky130_fd_sc_hd__buf_4 hold81 (.A(net229),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net415));
  sky130_fd_sc_hd__dlygate4sd3_1 hold82 (.A(net416),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net417));
- sky130_fd_sc_hd__buf_2 hold83 (.A(net417),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold83 (.A(net417),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net482));
- sky130_fd_sc_hd__dlygate4sd3_1 hold84 (.A(net418),
+    .X(net418));
+ sky130_fd_sc_hd__buf_2 hold84 (.A(net418),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(reg_rdata[24]));
+ sky130_fd_sc_hd__buf_2 hold85 (.A(net242),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net419));
- sky130_fd_sc_hd__dlygate4sd3_1 hold85 (.A(net419),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net420));
- sky130_fd_sc_hd__buf_2 hold86 (.A(net420),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(reg_rdata[8]));
- sky130_fd_sc_hd__clkbuf_4 hold87 (.A(net256),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold86 (.A(net420),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net421));
- sky130_fd_sc_hd__dlygate4sd3_1 hold88 (.A(net422),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold87 (.A(net421),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net422));
+ sky130_fd_sc_hd__buf_2 hold88 (.A(net495),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(reg_rdata[30]));
+ sky130_fd_sc_hd__buf_4 hold89 (.A(net249),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net423));
- sky130_fd_sc_hd__dlygate4sd3_1 hold89 (.A(net423),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net424));
  sky130_fd_sc_hd__dlygate4sd3_1 hold9 (.A(net343),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net344));
- sky130_fd_sc_hd__buf_2 hold90 (.A(net424),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(reg_rdata[13]));
- sky130_fd_sc_hd__buf_4 hold91 (.A(net230),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold90 (.A(net424),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net425));
- sky130_fd_sc_hd__dlygate4sd3_1 hold92 (.A(net426),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold91 (.A(net473),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net427));
+    .X(net426));
+ sky130_fd_sc_hd__buf_2 hold92 (.A(net426),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(reg_rdata[14]));
  sky130_fd_sc_hd__dlygate4sd3_1 hold93 (.A(net427),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net428));
- sky130_fd_sc_hd__buf_2 hold94 (.A(net428),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold94 (.A(net428),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net485));
- sky130_fd_sc_hd__dlygate4sd3_1 hold95 (.A(net429),
+    .X(net429));
+ sky130_fd_sc_hd__buf_2 hold95 (.A(net429),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net482));
+ sky130_fd_sc_hd__clkbuf_8 hold96 (.A(net247),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net430));
- sky130_fd_sc_hd__dlygate4sd3_1 hold96 (.A(net430),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net431));
- sky130_fd_sc_hd__buf_2 hold97 (.A(net431),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(qspim_rst_n));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold98 (.A(net224),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold97 (.A(net431),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net432));
- sky130_fd_sc_hd__dlygate4sd3_1 hold99 (.A(net433),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold98 (.A(net432),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net434));
+    .X(net433));
+ sky130_fd_sc_hd__buf_2 hold99 (.A(net433),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net503));
  sky130_fd_sc_hd__clkbuf_2 input1 (.A(digital_io_in[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net1));
- sky130_fd_sc_hd__clkbuf_1 input10 (.A(digital_io_in[19]),
+ sky130_fd_sc_hd__dlymetal6s2s_1 input10 (.A(digital_io_in[19]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -162375,7 +162554,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net16));
- sky130_fd_sc_hd__dlymetal6s2s_1 input17 (.A(digital_io_in[2]),
+ sky130_fd_sc_hd__clkbuf_2 input17 (.A(digital_io_in[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -162447,13 +162626,13 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net27));
- sky130_fd_sc_hd__dlymetal6s2s_1 input28 (.A(digital_io_in[7]),
+ sky130_fd_sc_hd__clkbuf_1 input28 (.A(digital_io_in[7]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net28));
- sky130_fd_sc_hd__dlymetal6s2s_1 input29 (.A(digital_io_in[8]),
+ sky130_fd_sc_hd__clkbuf_1 input29 (.A(digital_io_in[8]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -162471,7 +162650,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net30));
- sky130_fd_sc_hd__buf_4 input31 (.A(h_reset_n),
+ sky130_fd_sc_hd__buf_12 input31 (.A(h_reset_n),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -162543,7 +162722,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net41));
- sky130_fd_sc_hd__clkbuf_2 input42 (.A(reg_be[0]),
+ sky130_fd_sc_hd__buf_2 input42 (.A(reg_be[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -162561,7 +162740,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net44));
- sky130_fd_sc_hd__clkbuf_4 input45 (.A(reg_be[3]),
+ sky130_fd_sc_hd__buf_4 input45 (.A(reg_be[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -162627,7 +162806,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net54));
- sky130_fd_sc_hd__dlymetal6s2s_1 input55 (.A(reg_wdata[17]),
+ sky130_fd_sc_hd__clkbuf_2 input55 (.A(reg_wdata[17]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -162663,7 +162842,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net6));
- sky130_fd_sc_hd__clkbuf_1 input60 (.A(reg_wdata[21]),
+ sky130_fd_sc_hd__dlymetal6s2s_1 input60 (.A(reg_wdata[21]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -162783,7 +162962,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net78));
- sky130_fd_sc_hd__clkbuf_2 input79 (.A(reg_wr),
+ sky130_fd_sc_hd__buf_2 input79 (.A(reg_wr),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -163647,210 +163826,210 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(pulse1m_mclk));
- sky130_fd_sc_hd__buf_2 output224 (.A(net432),
+ sky130_fd_sc_hd__buf_2 output224 (.A(net461),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net429));
+    .X(net458));
  sky130_fd_sc_hd__buf_2 output225 (.A(net338),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net335));
- sky130_fd_sc_hd__buf_2 output226 (.A(net390),
+ sky130_fd_sc_hd__buf_2 output226 (.A(net446),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net387));
- sky130_fd_sc_hd__buf_2 output227 (.A(net394),
+    .X(net443));
+ sky130_fd_sc_hd__buf_2 output227 (.A(net369),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net391));
- sky130_fd_sc_hd__buf_2 output228 (.A(net386),
+    .X(net366));
+ sky130_fd_sc_hd__buf_2 output228 (.A(net361),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net383));
- sky130_fd_sc_hd__buf_2 output229 (.A(net443),
+    .X(net358));
+ sky130_fd_sc_hd__buf_2 output229 (.A(net415),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net440));
- sky130_fd_sc_hd__buf_2 output230 (.A(net425),
+    .X(net412));
+ sky130_fd_sc_hd__buf_2 output230 (.A(net392),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net422));
- sky130_fd_sc_hd__buf_2 output231 (.A(net447),
+    .X(net389));
+ sky130_fd_sc_hd__buf_2 output231 (.A(net231),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net444));
- sky130_fd_sc_hd__buf_2 output232 (.A(net402),
+    .X(net424));
+ sky130_fd_sc_hd__buf_2 output232 (.A(net384),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net399));
- sky130_fd_sc_hd__buf_2 output233 (.A(net346),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net343));
- sky130_fd_sc_hd__buf_2 output234 (.A(net378),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net375));
- sky130_fd_sc_hd__buf_2 output235 (.A(net342),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net339));
- sky130_fd_sc_hd__buf_2 output236 (.A(net382),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net379));
- sky130_fd_sc_hd__buf_2 output237 (.A(net458),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net455));
- sky130_fd_sc_hd__buf_2 output238 (.A(net362),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net359));
- sky130_fd_sc_hd__buf_2 output239 (.A(net354),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net351));
- sky130_fd_sc_hd__buf_2 output240 (.A(net370),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net367));
- sky130_fd_sc_hd__buf_2 output241 (.A(net358),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net355));
- sky130_fd_sc_hd__buf_2 output242 (.A(net366),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net363));
- sky130_fd_sc_hd__buf_2 output243 (.A(net243),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net437));
- sky130_fd_sc_hd__buf_2 output244 (.A(net436),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net433));
- sky130_fd_sc_hd__buf_2 output245 (.A(net350),
+    .X(net381));
+ sky130_fd_sc_hd__buf_2 output233 (.A(net350),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net347));
- sky130_fd_sc_hd__buf_2 output246 (.A(net414),
+ sky130_fd_sc_hd__buf_2 output234 (.A(net342),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net411));
- sky130_fd_sc_hd__buf_2 output247 (.A(net374),
+    .X(net339));
+ sky130_fd_sc_hd__buf_2 output235 (.A(net354),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net371));
- sky130_fd_sc_hd__buf_2 output248 (.A(net406),
+    .X(net351));
+ sky130_fd_sc_hd__buf_2 output236 (.A(net346),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net403));
- sky130_fd_sc_hd__buf_2 output249 (.A(net249),
+    .X(net343));
+ sky130_fd_sc_hd__buf_2 output237 (.A(net457),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net448));
- sky130_fd_sc_hd__buf_2 output250 (.A(net250),
+    .X(net454));
+ sky130_fd_sc_hd__buf_2 output238 (.A(net238),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net459));
- sky130_fd_sc_hd__buf_2 output251 (.A(net398),
+    .X(net378));
+ sky130_fd_sc_hd__buf_2 output239 (.A(net388),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net395));
- sky130_fd_sc_hd__buf_2 output252 (.A(net454),
+    .X(net385));
+ sky130_fd_sc_hd__buf_2 output240 (.A(net411),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net451));
- sky130_fd_sc_hd__buf_2 output253 (.A(net253),
+    .X(net408));
+ sky130_fd_sc_hd__buf_2 output241 (.A(net400),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net397));
+ sky130_fd_sc_hd__buf_2 output242 (.A(net419),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net416));
+ sky130_fd_sc_hd__buf_2 output243 (.A(net243),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net401));
+ sky130_fd_sc_hd__buf_2 output244 (.A(net396),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net393));
+ sky130_fd_sc_hd__buf_2 output245 (.A(net407),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net404));
+ sky130_fd_sc_hd__buf_2 output246 (.A(net373),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net370));
+ sky130_fd_sc_hd__buf_2 output247 (.A(net430),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net427));
+ sky130_fd_sc_hd__buf_2 output248 (.A(net438),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net435));
+ sky130_fd_sc_hd__buf_2 output249 (.A(net423),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net420));
+ sky130_fd_sc_hd__buf_2 output250 (.A(net434),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net431));
+ sky130_fd_sc_hd__buf_2 output251 (.A(net377),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net374));
+ sky130_fd_sc_hd__buf_2 output252 (.A(net252),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net447));
+ sky130_fd_sc_hd__buf_2 output253 (.A(net465),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net462));
- sky130_fd_sc_hd__buf_2 output254 (.A(net254),
+ sky130_fd_sc_hd__buf_2 output254 (.A(net442),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net415));
- sky130_fd_sc_hd__buf_2 output255 (.A(net255),
+    .X(net439));
+ sky130_fd_sc_hd__buf_2 output255 (.A(net453),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net426));
- sky130_fd_sc_hd__buf_2 output256 (.A(net421),
+    .X(net450));
+ sky130_fd_sc_hd__buf_2 output256 (.A(net365),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net418));
- sky130_fd_sc_hd__buf_2 output257 (.A(net410),
+    .X(net362));
+ sky130_fd_sc_hd__buf_2 output257 (.A(net467),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net407));
+    .X(net355));
  sky130_fd_sc_hd__buf_2 output258 (.A(net258),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -163953,25 +164132,25 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(wbd_clk_pinmux));
- sky130_fd_sc_hd__buf_12 repeater275 (.A(net276),
+ sky130_fd_sc_hd__buf_12 repeater275 (.A(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net275));
- sky130_fd_sc_hd__buf_12 repeater276 (.A(net280),
+ sky130_fd_sc_hd__buf_12 repeater276 (.A(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net276));
- sky130_fd_sc_hd__buf_12 repeater277 (.A(net278),
+ sky130_fd_sc_hd__buf_12 repeater277 (.A(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net277));
- sky130_fd_sc_hd__buf_12 repeater278 (.A(net280),
+ sky130_fd_sc_hd__buf_12 repeater278 (.A(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -163983,13 +164162,13 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net279));
- sky130_fd_sc_hd__buf_12 repeater280 (.A(net290),
+ sky130_fd_sc_hd__buf_12 repeater280 (.A(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net280));
- sky130_fd_sc_hd__buf_12 repeater281 (.A(net282),
+ sky130_fd_sc_hd__buf_12 repeater281 (.A(net283),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -164001,7 +164180,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net282));
- sky130_fd_sc_hd__buf_12 repeater283 (.A(net284),
+ sky130_fd_sc_hd__buf_12 repeater283 (.A(net31),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -164013,7 +164192,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net284));
- sky130_fd_sc_hd__buf_12 repeater285 (.A(net289),
+ sky130_fd_sc_hd__buf_12 repeater285 (.A(net31),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -164031,7 +164210,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net287));
- sky130_fd_sc_hd__buf_12 repeater288 (.A(net290),
+ sky130_fd_sc_hd__buf_12 repeater288 (.A(net31),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
diff --git a/verilog/gl/uart_i2c_usb_spi_top.v b/verilog/gl/uart_i2c_usb_spi_top.v
index fa13093..cfff3c7 100644
--- a/verilog/gl/uart_i2c_usb_spi_top.v
+++ b/verilog/gl/uart_i2c_usb_spi_top.v
@@ -9708,26 +9708,6 @@
  wire _09631_;
  wire _09632_;
  wire _09633_;
- wire _09634_;
- wire _09635_;
- wire _09636_;
- wire _09637_;
- wire _09638_;
- wire _09639_;
- wire _09640_;
- wire _09641_;
- wire _09642_;
- wire _09643_;
- wire _09644_;
- wire _09645_;
- wire _09646_;
- wire _09647_;
- wire _09648_;
- wire _09649_;
- wire _09650_;
- wire _09651_;
- wire _09652_;
- wire _09653_;
  wire clknet_0_app_clk;
  wire \clknet_0_u_uart_core.line_clk_16x ;
  wire clknet_0_usb_clk;
@@ -9740,12 +9720,16 @@
  wire clknet_1_1_0_usb_clk;
  wire clknet_1_1_1_usb_clk;
  wire clknet_2_0_0_app_clk;
+ wire \clknet_2_0_0_u_uart_core.line_clk_16x ;
  wire clknet_2_0_0_usb_clk;
  wire clknet_2_1_0_app_clk;
+ wire \clknet_2_1_0_u_uart_core.line_clk_16x ;
  wire clknet_2_1_0_usb_clk;
  wire clknet_2_2_0_app_clk;
+ wire \clknet_2_2_0_u_uart_core.line_clk_16x ;
  wire clknet_2_2_0_usb_clk;
  wire clknet_2_3_0_app_clk;
+ wire \clknet_2_3_0_u_uart_core.line_clk_16x ;
  wire clknet_2_3_0_usb_clk;
  wire clknet_3_0_0_app_clk;
  wire clknet_3_0_0_usb_clk;
@@ -9847,22 +9831,9 @@
  wire \clknet_leaf_14_u_uart_core.line_clk_16x ;
  wire clknet_leaf_14_usb_clk;
  wire clknet_leaf_150_usb_clk;
- wire clknet_leaf_151_usb_clk;
- wire clknet_leaf_152_usb_clk;
- wire clknet_leaf_153_usb_clk;
- wire clknet_leaf_154_usb_clk;
- wire clknet_leaf_155_usb_clk;
- wire clknet_leaf_156_usb_clk;
- wire clknet_leaf_157_usb_clk;
- wire clknet_leaf_158_usb_clk;
- wire clknet_leaf_159_usb_clk;
  wire clknet_leaf_15_app_clk;
  wire \clknet_leaf_15_u_uart_core.line_clk_16x ;
  wire clknet_leaf_15_usb_clk;
- wire clknet_leaf_160_usb_clk;
- wire clknet_leaf_161_usb_clk;
- wire clknet_leaf_162_usb_clk;
- wire clknet_leaf_163_usb_clk;
  wire clknet_leaf_16_app_clk;
  wire \clknet_leaf_16_u_uart_core.line_clk_16x ;
  wire clknet_leaf_16_usb_clk;
@@ -9873,12 +9844,12 @@
  wire \clknet_leaf_18_u_uart_core.line_clk_16x ;
  wire clknet_leaf_18_usb_clk;
  wire clknet_leaf_19_app_clk;
+ wire \clknet_leaf_19_u_uart_core.line_clk_16x ;
  wire clknet_leaf_19_usb_clk;
  wire clknet_leaf_1_app_clk;
  wire \clknet_leaf_1_u_uart_core.line_clk_16x ;
  wire clknet_leaf_1_usb_clk;
  wire clknet_leaf_20_app_clk;
- wire \clknet_leaf_20_u_uart_core.line_clk_16x ;
  wire clknet_leaf_20_usb_clk;
  wire clknet_leaf_21_app_clk;
  wire \clknet_leaf_21_u_uart_core.line_clk_16x ;
@@ -9898,8 +9869,12 @@
  wire clknet_leaf_26_app_clk;
  wire \clknet_leaf_26_u_uart_core.line_clk_16x ;
  wire clknet_leaf_26_usb_clk;
+ wire clknet_leaf_27_app_clk;
+ wire \clknet_leaf_27_u_uart_core.line_clk_16x ;
  wire clknet_leaf_27_usb_clk;
  wire clknet_leaf_28_app_clk;
+ wire \clknet_leaf_28_u_uart_core.line_clk_16x ;
+ wire clknet_leaf_28_usb_clk;
  wire clknet_leaf_29_app_clk;
  wire clknet_leaf_29_usb_clk;
  wire clknet_leaf_2_app_clk;
@@ -9962,6 +9937,7 @@
  wire clknet_leaf_54_app_clk;
  wire clknet_leaf_54_usb_clk;
  wire clknet_leaf_55_app_clk;
+ wire clknet_leaf_55_usb_clk;
  wire clknet_leaf_56_app_clk;
  wire clknet_leaf_56_usb_clk;
  wire clknet_leaf_57_app_clk;
@@ -9973,12 +9949,10 @@
  wire clknet_leaf_5_app_clk;
  wire \clknet_leaf_5_u_uart_core.line_clk_16x ;
  wire clknet_leaf_5_usb_clk;
- wire clknet_leaf_60_app_clk;
  wire clknet_leaf_60_usb_clk;
  wire clknet_leaf_61_app_clk;
  wire clknet_leaf_61_usb_clk;
  wire clknet_leaf_62_app_clk;
- wire clknet_leaf_62_usb_clk;
  wire clknet_leaf_63_app_clk;
  wire clknet_leaf_63_usb_clk;
  wire clknet_leaf_64_app_clk;
@@ -10025,8 +9999,11 @@
  wire clknet_leaf_81_usb_clk;
  wire clknet_leaf_82_app_clk;
  wire clknet_leaf_82_usb_clk;
+ wire clknet_leaf_83_app_clk;
  wire clknet_leaf_83_usb_clk;
+ wire clknet_leaf_84_app_clk;
  wire clknet_leaf_84_usb_clk;
+ wire clknet_leaf_85_app_clk;
  wire clknet_leaf_85_usb_clk;
  wire clknet_leaf_86_usb_clk;
  wire clknet_leaf_87_usb_clk;
@@ -10048,18 +10025,10 @@
  wire clknet_leaf_9_app_clk;
  wire \clknet_leaf_9_u_uart_core.line_clk_16x ;
  wire clknet_leaf_9_usb_clk;
+ wire clknet_opt_1_0_app_clk;
  wire \clknet_opt_1_0_u_uart_core.line_clk_16x ;
  wire clknet_opt_1_0_usb_clk;
- wire \clknet_opt_2_0_u_uart_core.line_clk_16x ;
  wire clknet_opt_2_0_usb_clk;
- wire \clknet_opt_3_0_u_uart_core.line_clk_16x ;
- wire clknet_opt_3_0_usb_clk;
- wire clknet_opt_4_0_usb_clk;
- wire clknet_opt_5_0_usb_clk;
- wire clknet_opt_6_0_usb_clk;
- wire clknet_opt_7_0_usb_clk;
- wire clknet_opt_8_0_usb_clk;
- wire clknet_opt_9_0_usb_clk;
  wire net1;
  wire net10;
  wire net100;
@@ -10362,7 +10331,22 @@
  wire net370;
  wire net371;
  wire net372;
+ wire net373;
+ wire net374;
+ wire net375;
+ wire net376;
+ wire net377;
+ wire net378;
+ wire net379;
  wire net38;
+ wire net380;
+ wire net381;
+ wire net382;
+ wire net383;
+ wire net384;
+ wire net385;
+ wire net386;
+ wire net387;
  wire net39;
  wire net4;
  wire net40;
@@ -12871,3187 +12855,3077 @@
  wire \u_usb_host.u_phy.state_q[9] ;
  wire \u_usb_host.u_phy.sync_j_detected_q ;
 
- sky130_fd_sc_hd__diode_2 ANTENNA__09677__B1 (.DIODE(_05276_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09656__B1 (.DIODE(_05256_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09732__A (.DIODE(_05330_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09746__D (.DIODE(\u_usb_host.u_core.u_sie.crc_sum_q[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09740__B1 (.DIODE(\u_usb_host.u_core.u_sie.wait_resp_q ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09747__A_N (.DIODE(\u_usb_host.u_core.u_sie.crc_sum_q[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09741__A (.DIODE(_05339_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09747__C (.DIODE(\u_usb_host.u_core.u_sie.crc_out_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09757__A (.DIODE(\u_usb_host.u_core.u_sie.wait_resp_q ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09747__D (.DIODE(\u_usb_host.u_core.u_sie.crc_out_w[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09765__B (.DIODE(_05339_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09749__A (.DIODE(\u_usb_host.u_core.u_sie.crc_out_w[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09767__C (.DIODE(\u_usb_host.u_core.u_sie.crc_out_w[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09750__A (.DIODE(\u_usb_host.u_core.status_response_w[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09767__D (.DIODE(\u_usb_host.u_core.u_sie.crc_out_w[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09750__B (.DIODE(\u_usb_host.u_core.status_response_w[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09772__A (.DIODE(\u_usb_host.u_core.status_response_w[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09751__B (.DIODE(\u_usb_host.u_core.status_response_w[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09772__B (.DIODE(\u_usb_host.u_core.status_response_w[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09751__C (.DIODE(\u_usb_host.u_core.status_response_w[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09773__A (.DIODE(\u_usb_host.u_core.status_response_w[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09754__A_N (.DIODE(\u_usb_host.u_core.status_response_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09773__B (.DIODE(\u_usb_host.u_core.status_response_w[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09754__B (.DIODE(\u_usb_host.u_core.status_response_w[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09774__B (.DIODE(\u_usb_host.u_core.status_response_w[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09754__C (.DIODE(\u_usb_host.u_core.status_response_w[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09774__C_N (.DIODE(\u_usb_host.u_core.status_response_w[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09754__D (.DIODE(\u_usb_host.u_core.status_response_w[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09774__D_N (.DIODE(\u_usb_host.u_core.status_response_w[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09756__B (.DIODE(_05348_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09780__A (.DIODE(\u_usb_host.u_core.status_response_w[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09774__A (.DIODE(\u_usb_host.u_core.usb_ctrl_enable_sof_out_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09780__B (.DIODE(_05377_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09775__B (.DIODE(_05371_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09780__C (.DIODE(\u_usb_host.u_core.status_response_w[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09776__A (.DIODE(_05372_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09780__D_N (.DIODE(\u_usb_host.u_core.status_response_w[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09794__B_N (.DIODE(_05385_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09781__A (.DIODE(_05369_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09794__C (.DIODE(_05386_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09784__C1 (.DIODE(\u_usb_host.u_core.u_sie.wait_resp_q ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09805__A1 (.DIODE(\u_uart_core.cfg_rx_enable ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09798__B (.DIODE(_05393_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09805__C1 (.DIODE(_05400_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09799__A (.DIODE(_05394_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09810__A (.DIODE(_05403_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09816__C (.DIODE(_05410_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09812__A (.DIODE(_05406_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09825__A1 (.DIODE(\u_uart_core.cfg_rx_enable ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09820__A (.DIODE(_05406_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09828__A (.DIODE(\u_uart_core.cfg_tx_enable ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09828__A (.DIODE(_05385_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09831__A (.DIODE(\u_uart_core.cfg_stop_bit ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09828__B (.DIODE(_05386_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09832__A (.DIODE(_05425_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09829__A (.DIODE(_05421_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09840__A (.DIODE(_05425_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09850__S (.DIODE(net101),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09849__A (.DIODE(_05440_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09853__S (.DIODE(net101),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09850__A3 (.DIODE(_05441_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09857__A (.DIODE(\u_i2cm.cr[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09870__A1 (.DIODE(_05457_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09861__A (.DIODE(\u_i2cm.cr[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09870__S (.DIODE(net101),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09864__B (.DIODE(_05447_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09873__A1 (.DIODE(_05459_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09869__A1 (.DIODE(_05443_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09873__S (.DIODE(net101),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09871__A3 (.DIODE(_05421_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09877__A (.DIODE(\u_i2cm.cr[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09876__A (.DIODE(_05456_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09879__A (.DIODE(\u_i2cm.cr[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09876__B (.DIODE(_05457_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09881__A (.DIODE(\u_i2cm.cr[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09877__A (.DIODE(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[4].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09881__B (.DIODE(_00036_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09880__A1 (.DIODE(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[0].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09884__B (.DIODE(_05466_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09880__B1 (.DIODE(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[3].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09889__A1 (.DIODE(_05462_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09881__A (.DIODE(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[2].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09891__A3 (.DIODE(_05440_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09882__A (.DIODE(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[1].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09896__A (.DIODE(_05475_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09884__A1 (.DIODE(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[0].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09896__B (.DIODE(_05476_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09884__B1 (.DIODE(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[3].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09897__A (.DIODE(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[4].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09885__B1 (.DIODE(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[2].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09900__B1 (.DIODE(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[3].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09887__A1 (.DIODE(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[1].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09904__B1 (.DIODE(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[3].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09908__A (.DIODE(\u_i2cm.cr[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09928__A (.DIODE(\u_i2cm.cr[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09919__B (.DIODE(_05498_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09930__A (.DIODE(\u_i2cm.cr[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09920__B1 (.DIODE(\u_i2cm.cr[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09932__B2 (.DIODE(_00036_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09935__A1 (.DIODE(_05443_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09939__B (.DIODE(_05517_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09957__A (.DIODE(_05530_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09940__B1 (.DIODE(\u_i2cm.cr[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09962__A (.DIODE(_05535_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09955__A1 (.DIODE(_05462_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09964__A2 (.DIODE(_05256_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09972__A (.DIODE(_05457_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09970__C (.DIODE(_05530_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09972__B (.DIODE(_05459_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09980__A2 (.DIODE(_05256_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09973__A (.DIODE(\u_usb_host.u_core.u_sie.utmi_rxactive_i ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09997__B1 (.DIODE(_05530_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09975__A (.DIODE(_05457_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10017__A (.DIODE(_05535_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09975__B (.DIODE(_05459_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10030__A (.DIODE(net92),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09979__A (.DIODE(_05551_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10031__B1 (.DIODE(\u_i2cm.core_en ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09984__A (.DIODE(_05556_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10036__B2 (.DIODE(_05498_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09986__A2 (.DIODE(_05276_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10041__A_N (.DIODE(_05456_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09992__C (.DIODE(_05551_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10041__B_N (.DIODE(_05457_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10002__A2 (.DIODE(_05276_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10045__A (.DIODE(\u_sspim.cfg_op_req ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10019__B1 (.DIODE(_05551_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10067__A (.DIODE(_05620_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10038__A (.DIODE(_05556_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10068__A_N (.DIODE(net54),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10044__B (.DIODE(net92),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10068__B (.DIODE(net55),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10051__C1 (.DIODE(\u_i2cm.core_en ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10087__A2 (.DIODE(_05637_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10055__B2 (.DIODE(_05517_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10107__A (.DIODE(_05656_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10060__A_N (.DIODE(_05475_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10110__A (.DIODE(_05659_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10060__B_N (.DIODE(_05476_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10111__A (.DIODE(_05660_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10064__A (.DIODE(\u_sspim.cfg_op_req ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10112__A (.DIODE(_05657_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10069__B (.DIODE(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[4].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10112__B (.DIODE(_05661_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10070__B (.DIODE(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[4].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10114__A (.DIODE(\u_usb_host.u_core.status_timeout_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10072__A2 (.DIODE(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[3].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10114__B (.DIODE(\u_usb_host.u_core.status_crc_err_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10073__A2 (.DIODE(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[3].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10118__A (.DIODE(net8),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10087__A_N (.DIODE(net54),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10119__A (.DIODE(net9),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10087__B (.DIODE(net55),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10123__A (.DIODE(_05669_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10122__A (.DIODE(_05641_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10125__A (.DIODE(net8),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10125__A (.DIODE(_05641_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10125__B (.DIODE(net9),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10126__A (.DIODE(_05674_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10127__A2 (.DIODE(_05671_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10129__A (.DIODE(_05677_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10127__B1 (.DIODE(_05673_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10130__A (.DIODE(_05678_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10135__A (.DIODE(_05681_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10131__A (.DIODE(_05675_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10138__B1 (.DIODE(net292),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10131__B (.DIODE(_05679_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10141__B_N (.DIODE(_05686_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10133__A (.DIODE(\u_usb_host.u_core.status_timeout_w ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10143__A (.DIODE(_05671_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10133__B (.DIODE(\u_usb_host.u_core.status_crc_err_w ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10144__A2 (.DIODE(_05689_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10141__A (.DIODE(_05686_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10144__B1 (.DIODE(_05669_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10145__A0 (.DIODE(net289),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10144__B2 (.DIODE(net255),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10145__A3 (.DIODE(net282),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10145__A1 (.DIODE(net297),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10146__A (.DIODE(net281),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10145__A2 (.DIODE(_05685_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10148__A0 (.DIODE(\u_uart_core.reg_rdata[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10145__B1 (.DIODE(_05688_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10148__A3 (.DIODE(net316),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10149__A1 (.DIODE(\u_uart_core.reg_rdata[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10152__A0 (.DIODE(\u_uart_core.reg_rdata[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10149__B1 (.DIODE(_05669_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10152__A3 (.DIODE(net314),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10150__A2 (.DIODE(_05689_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10153__A (.DIODE(net257),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10153__A2 (.DIODE(_05689_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10156__A0 (.DIODE(\u_uart_core.reg_rdata[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10153__B1 (.DIODE(_05685_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10156__A3 (.DIODE(net318),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10153__B2 (.DIODE(net336),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10157__A (.DIODE(net269),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10156__A (.DIODE(_05698_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10159__A (.DIODE(_05686_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10160__A (.DIODE(_05671_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10160__A0 (.DIODE(\u_uart_core.reg_rdata[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10161__B1 (.DIODE(_05685_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10160__A3 (.DIODE(\u_sspim.reg_rdata[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10162__C1 (.DIODE(net311),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10161__A (.DIODE(net243),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10165__B1 (.DIODE(_05673_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10163__A0 (.DIODE(\u_uart_core.reg_rdata[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10166__C1 (.DIODE(net314),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10167__A0 (.DIODE(\u_uart_core.reg_rdata[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10170__B1 (.DIODE(_05673_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10167__A3 (.DIODE(\u_sspim.reg_rdata[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10171__A2 (.DIODE(_05708_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10167__S1 (.DIODE(_05706_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10171__C1 (.DIODE(net275),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10168__A (.DIODE(net263),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10174__B1 (.DIODE(_05673_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10170__A0 (.DIODE(\u_uart_core.reg_rdata[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10175__A2 (.DIODE(_05708_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10170__A3 (.DIODE(net320),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10175__C1 (.DIODE(net318),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10170__S1 (.DIODE(_05706_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10176__A (.DIODE(_05681_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10171__A (.DIODE(net275),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10177__A (.DIODE(_05715_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10172__A (.DIODE(_05706_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10179__A (.DIODE(_05717_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10178__A (.DIODE(_05686_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10180__A (.DIODE(_05698_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10179__A (.DIODE(_05716_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10182__A (.DIODE(_05708_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10186__A (.DIODE(_05721_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10184__A (.DIODE(_05717_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10189__A (.DIODE(_05706_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10187__A (.DIODE(_05717_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10197__A (.DIODE(_05716_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10195__A (.DIODE(_05715_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10198__C1 (.DIODE(_05731_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10197__A (.DIODE(_05698_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10201__C1 (.DIODE(_05731_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10199__A (.DIODE(_05708_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10203__A (.DIODE(_05721_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10212__A (.DIODE(_05715_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10204__B_N (.DIODE(_05735_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10214__A (.DIODE(_05698_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10205__C1 (.DIODE(_05731_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10216__A (.DIODE(_05669_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10207__A (.DIODE(_05737_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10217__A (.DIODE(_05747_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10210__B_N (.DIODE(_05735_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10229__A (.DIODE(_05715_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10211__A2 (.DIODE(_05740_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10233__A (.DIODE(_05747_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10211__C1 (.DIODE(_05731_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10249__A (.DIODE(_05747_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10213__B_N (.DIODE(_05735_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10254__B (.DIODE(\u_usb_host.u_async_wb.u_resp_if.mem[0][26] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10214__A (.DIODE(_05716_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10265__A (.DIODE(_05747_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10215__A2 (.DIODE(_05742_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10273__A (.DIODE(_05686_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10217__B_N (.DIODE(_05735_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10276__A (.DIODE(net14),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10218__A2 (.DIODE(net291),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10277__A (.DIODE(net47),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10220__A (.DIODE(_05721_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10278__A (.DIODE(_05792_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10221__B_N (.DIODE(_05748_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10284__B (.DIODE(_05793_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10222__A2 (.DIODE(_05747_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10284__C (.DIODE(_05681_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10223__A (.DIODE(_05737_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10284__D (.DIODE(net300),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10226__B_N (.DIODE(_05748_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10285__A (.DIODE(net47),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10229__B_N (.DIODE(_05748_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10286__A (.DIODE(_05800_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10230__A (.DIODE(_05716_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10303__B1 (.DIODE(net14),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10233__B_N (.DIODE(_05748_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10305__A_N (.DIODE(_05681_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10234__A2 (.DIODE(_05757_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10306__A1 (.DIODE(_05802_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10236__A (.DIODE(_05721_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10306__C1 (.DIODE(_05671_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10237__B_N (.DIODE(_05760_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10309__A2 (.DIODE(_05823_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10239__A (.DIODE(_05737_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10310__A1 (.DIODE(net332),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10242__B_N (.DIODE(_05760_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10310__A2 (.DIODE(_05685_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10243__A2 (.DIODE(_05764_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10332__A (.DIODE(_05841_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10245__B_N (.DIODE(_05760_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10333__A (.DIODE(_05842_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10246__A (.DIODE(_05686_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10337__A (.DIODE(\u_i2cm.core_en ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10248__A2 (.DIODE(_05766_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10348__A1 (.DIODE(\u_i2cm.prer[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10250__B_N (.DIODE(_05760_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10352__A1 (.DIODE(\u_i2cm.prer[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10251__A2 (.DIODE(_05770_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10355__A1 (.DIODE(\u_i2cm.prer[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10255__A2 (.DIODE(_05772_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10360__A1 (.DIODE(\u_i2cm.prer[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10256__A (.DIODE(_05737_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10364__A1 (.DIODE(\u_i2cm.prer[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10277__A0 (.DIODE(_05790_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10367__A1 (.DIODE(\u_i2cm.prer[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10278__A1 (.DIODE(_05788_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10370__A1 (.DIODE(\u_i2cm.prer[10] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10278__A2 (.DIODE(_05789_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10374__A1 (.DIODE(\u_i2cm.prer[11] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10286__C_N (.DIODE(\u_i2cm.rxr[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10377__A1 (.DIODE(\u_i2cm.prer[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10287__A0 (.DIODE(\u_i2cm.rxr[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10380__A1 (.DIODE(\u_i2cm.prer[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10287__A1 (.DIODE(\u_i2cm.ack ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10383__A1 (.DIODE(\u_i2cm.prer[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10288__A2 (.DIODE(\u_i2cm.ack ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10386__A2 (.DIODE(_05842_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10305__A (.DIODE(\u_i2cm.core_en ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10427__A (.DIODE(_05921_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10307__A1 (.DIODE(\u_i2cm.prer[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10429__A (.DIODE(_05922_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10313__A1 (.DIODE(\u_i2cm.prer[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10455__A (.DIODE(\u_usb_host.u_core.usb_rx_stat_start_pend_in_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10316__A1 (.DIODE(\u_i2cm.prer[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10456__A1 (.DIODE(\u_usb_host.u_core.usb_ctrl_enable_sof_out_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10320__A1 (.DIODE(\u_i2cm.prer[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10456__A2 (.DIODE(_05946_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10323__A1 (.DIODE(\u_i2cm.prer[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10458__A1 (.DIODE(_05372_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10332__A1 (.DIODE(\u_i2cm.prer[8] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10461__A (.DIODE(\u_sspim.cfg_op_req ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10335__A1 (.DIODE(\u_i2cm.prer[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10471__B1 (.DIODE(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[5].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10338__A1 (.DIODE(\u_i2cm.prer[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10473__B1 (.DIODE(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[5].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10388__A (.DIODE(_05881_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10476__A (.DIODE(_05953_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10390__A (.DIODE(_05882_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10476__B (.DIODE(_05966_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10391__A (.DIODE(\u_usb_host.u_core.u_sie.utmi_rxactive_i ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10500__A (.DIODE(_05984_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10417__A (.DIODE(\u_usb_host.u_core.usb_rx_stat_start_pend_in_w ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10501__A (.DIODE(net6),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10418__A2 (.DIODE(_05907_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10503__A_N (.DIODE(net4),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10420__A1 (.DIODE(_05394_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10503__B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10422__A (.DIODE(\u_sspim.cfg_op_req ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10505__A (.DIODE(_05988_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10425__A (.DIODE(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[2].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10506__B (.DIODE(_05989_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10439__A (.DIODE(_05913_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10509__B_N (.DIODE(_05992_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10439__B (.DIODE(_05928_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10510__A (.DIODE(net4),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10462__B (.DIODE(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[7].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10510__B (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10463__A (.DIODE(_05946_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10512__A (.DIODE(_05995_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10464__A (.DIODE(net6),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10513__A (.DIODE(_05996_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10467__A_N (.DIODE(net4),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10514__B2 (.DIODE(_05997_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10467__B (.DIODE(net5),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10515__A (.DIODE(net6),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10469__A (.DIODE(_05951_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10516__A_N (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10470__B (.DIODE(_05952_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10516__B (.DIODE(net4),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10474__A (.DIODE(net4),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10518__B (.DIODE(_06001_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10474__B (.DIODE(net5),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10520__A (.DIODE(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10476__A (.DIODE(_05958_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10521__A (.DIODE(net4),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10478__B2 (.DIODE(_05960_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10524__A1 (.DIODE(\u_i2cm.prer[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10479__A_N (.DIODE(net5),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10525__A (.DIODE(net6),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10479__B (.DIODE(net4),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10541__B2 (.DIODE(_05996_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10481__B (.DIODE(_05963_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10542__A1 (.DIODE(\u_i2cm.prer[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10483__A (.DIODE(net5),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10544__A (.DIODE(\u_i2cm.prer[10] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10484__A (.DIODE(net4),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10548__C1 (.DIODE(_06029_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10488__A1 (.DIODE(\u_i2cm.prer[8] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10550__B1 (.DIODE(_06029_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10489__A (.DIODE(net6),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10551__A1 (.DIODE(\u_i2cm.prer[11] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10496__B2 (.DIODE(\u_i2cm.prer[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10554__B1 (.DIODE(_06029_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10500__A1 (.DIODE(\u_i2cm.prer[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10556__A1 (.DIODE(\u_i2cm.cr[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10500__B2 (.DIODE(_05960_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10556__B2 (.DIODE(\u_i2cm.prer[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10505__A1 (.DIODE(\u_i2cm.prer[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10557__A1 (.DIODE(\u_i2cm.prer[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10506__A_N (.DIODE(net6),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10558__B_N (.DIODE(_05992_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10510__A1 (.DIODE(\u_i2cm.prer[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10559__B2 (.DIODE(_05997_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10512__A1 (.DIODE(\u_i2cm.prer[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10561__A1 (.DIODE(_05498_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10514__A1 (.DIODE(\u_i2cm.ack ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10561__B2 (.DIODE(\u_i2cm.prer[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10516__B2 (.DIODE(\u_i2cm.prer[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10562__B2 (.DIODE(\u_i2cm.prer[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10518__A1 (.DIODE(\u_i2cm.cr[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10563__A (.DIODE(\u_i2cm.i2c_busy ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10520__B2 (.DIODE(\u_i2cm.prer[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10563__B_N (.DIODE(_05992_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10522__B2 (.DIODE(_05960_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10564__B2 (.DIODE(_05997_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10524__A1 (.DIODE(_05517_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10566__A1 (.DIODE(\u_i2cm.cr[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10525__A1 (.DIODE(\u_i2cm.prer[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10566__B2 (.DIODE(\u_i2cm.prer[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10526__A1 (.DIODE(\u_i2cm.cr[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10567__B2 (.DIODE(\u_i2cm.prer[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10528__A (.DIODE(_05958_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10570__B2 (.DIODE(_05996_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10529__B2 (.DIODE(_06005_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10572__A1 (.DIODE(\u_i2cm.prer[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10531__A1 (.DIODE(\u_i2cm.prer[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10591__A2 (.DIODE(_06057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10532__A1 (.DIODE(\u_i2cm.cr[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10591__B1 (.DIODE(_06066_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10534__B2 (.DIODE(_06005_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10592__A (.DIODE(net14),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10535__B2 (.DIODE(\u_i2cm.rxr[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10593__B (.DIODE(_06067_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10557__A (.DIODE(net14),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10594__B1 (.DIODE(_05953_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10557__B (.DIODE(_05789_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10598__A3 (.DIODE(net93),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10558__A (.DIODE(_05788_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10599__A1 (.DIODE(\u_i2cm.i2c_busy ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10559__B1 (.DIODE(_05913_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10612__A2 (.DIODE(_05447_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10564__A3 (.DIODE(net93),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10613__A (.DIODE(_05443_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10576__B (.DIODE(net92),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10615__A_N (.DIODE(_05823_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10578__A2 (.DIODE(_05466_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10615__B (.DIODE(_05689_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10579__A (.DIODE(_05462_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10616__A (.DIODE(_06079_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10581__B_N (.DIODE(_05790_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10628__S1 (.DIODE(_06087_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10581__C (.DIODE(net14),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10640__A (.DIODE(_06098_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10597__A (.DIODE(_05641_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10645__A (.DIODE(_06102_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10604__S0 (.DIODE(_06060_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10648__A3 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.mem[3][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10606__A (.DIODE(_06063_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10650__A (.DIODE(_06106_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10609__S0 (.DIODE(_06060_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10656__A (.DIODE(_06087_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10611__A (.DIODE(_06067_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10657__S0 (.DIODE(_06111_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10614__S0 (.DIODE(_06060_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10658__B (.DIODE(_06113_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10616__A (.DIODE(_06071_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10659__A (.DIODE(_05657_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10623__S0 (.DIODE(_06076_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10670__C (.DIODE(net14),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10624__B (.DIODE(_06078_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10674__A1 (.DIODE(_06125_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10625__A (.DIODE(_05675_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10679__A1 (.DIODE(_06125_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10626__A (.DIODE(_06080_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10682__A_N (.DIODE(_06133_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10631__B_N (.DIODE(\u_usb_host.u_core.u_sie.utmi_rxactive_i ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10682__B (.DIODE(_05966_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10636__C (.DIODE(net14),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10684__A (.DIODE(\u_sspim.cfg_op_req ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10637__B (.DIODE(_06088_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10684__B (.DIODE(_06133_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10641__A (.DIODE(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[2].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10711__S (.DIODE(_06154_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10648__A_N (.DIODE(_06098_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10715__S (.DIODE(_06154_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10648__B (.DIODE(_05928_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10719__S (.DIODE(_06154_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10650__A (.DIODE(\u_sspim.cfg_op_req ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10731__A (.DIODE(_06169_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10650__B (.DIODE(_06098_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10735__A (.DIODE(_06172_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10766__S (.DIODE(_06191_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10816__A (.DIODE(\u_usb_host.u_core.u_sie.token_q[15] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10770__S (.DIODE(_06191_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10816__B (.DIODE(\u_usb_host.u_core.u_sie.token_q[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10774__S (.DIODE(_06191_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10823__A (.DIODE(\u_usb_host.u_core.u_sie.token_q[15] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10779__S (.DIODE(_06201_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10823__B (.DIODE(\u_usb_host.u_core.u_sie.token_q[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10783__S (.DIODE(_06201_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10828__A (.DIODE(\u_usb_host.u_core.u_sie.token_q[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10787__S (.DIODE(_06201_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10828__B (.DIODE(\u_usb_host.u_core.u_sie.token_q[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10791__S (.DIODE(_06201_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10838__A (.DIODE(\u_usb_host.u_core.u_sie.token_q[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10793__A (.DIODE(\u_uart_core.rx_fifo_wr_data[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10849__A (.DIODE(_06262_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10839__S (.DIODE(_06251_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10862__A (.DIODE(_06262_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10842__S (.DIODE(_06251_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10869__A1 (.DIODE(_06276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10845__S (.DIODE(_06251_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10891__A1 (.DIODE(_06291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10848__S (.DIODE(_06251_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10893__A (.DIODE(_06169_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10852__S (.DIODE(_06260_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10894__A1 (.DIODE(_06294_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10855__S (.DIODE(_06260_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10896__A (.DIODE(_06172_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10858__S (.DIODE(_06260_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10897__A1 (.DIODE(_06296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10860__A (.DIODE(\u_uart_core.rx_fifo_wr_data[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10904__A (.DIODE(_06301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10861__A1 (.DIODE(_06266_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10911__A1 (.DIODE(\u_uart_core.u_rxfifo.mem[11][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10861__S (.DIODE(_06260_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10913__A (.DIODE(_06301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10877__S (.DIODE(_06277_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10926__A (.DIODE(_06315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10879__S (.DIODE(_06277_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10935__A (.DIODE(_06315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10881__S (.DIODE(_06277_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10947__A (.DIODE(_05421_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10883__S (.DIODE(_06277_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10953__C_N (.DIODE(\u_uart_core.cfg_rx_enable ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10898__S (.DIODE(_06290_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10965__A1 (.DIODE(_05421_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10900__S (.DIODE(_06290_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10975__A (.DIODE(_06352_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10902__S (.DIODE(_06290_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10977__A (.DIODE(_06354_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10904__S (.DIODE(_06290_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10998__A3 (.DIODE(_06373_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10923__S (.DIODE(_06307_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11016__A (.DIODE(_06354_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10926__S (.DIODE(_06307_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11043__A (.DIODE(_05792_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10929__S (.DIODE(_06307_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11043__B (.DIODE(_06067_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10931__A1 (.DIODE(_06266_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11044__A_N (.DIODE(net7),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10931__S (.DIODE(_06307_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11049__A (.DIODE(_06417_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10936__B (.DIODE(\u_uart_core.cfg_stop_bit ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11059__B (.DIODE(_06417_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10938__A1 (.DIODE(_05441_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11074__A (.DIODE(_06437_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10940__C_N (.DIODE(\u_uart_core.cfg_rx_enable ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11087__A (.DIODE(net15),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10954__A1 (.DIODE(_05440_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11089__B (.DIODE(_06448_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10956__A1 (.DIODE(_05440_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11090__A (.DIODE(_06449_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10969__A (.DIODE(_06345_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11091__A1 (.DIODE(_06447_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10976__B1 (.DIODE(_06352_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11093__A (.DIODE(net26),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10982__A1 (.DIODE(_06357_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11094__A1 (.DIODE(_06452_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10988__A (.DIODE(_06345_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11096__A (.DIODE(net37),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10993__B (.DIODE(_06352_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11097__A1 (.DIODE(_06454_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__10995__A2 (.DIODE(_06352_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11099__A (.DIODE(net40),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11016__D1 (.DIODE(_06345_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11100__A1 (.DIODE(_06456_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11021__S (.DIODE(_06389_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11102__A (.DIODE(net41),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11034__A (.DIODE(net14),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11104__A (.DIODE(_06449_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11034__B (.DIODE(net47),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11105__A1 (.DIODE(_06459_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11034__C (.DIODE(_05789_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11107__A (.DIODE(net42),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11035__A (.DIODE(net7),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11108__A1 (.DIODE(_06462_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11036__C (.DIODE(_06402_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11110__A (.DIODE(net43),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11055__B (.DIODE(_06420_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11111__A1 (.DIODE(_06464_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11056__A (.DIODE(_06420_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11113__A (.DIODE(net44),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11082__A (.DIODE(net15),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11114__A1 (.DIODE(_06466_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11084__A (.DIODE(_06420_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11119__A (.DIODE(_06470_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11084__B (.DIODE(_06442_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11126__A1 (.DIODE(\u_uart_core.u_rxfifo.mem[1][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11086__A1 (.DIODE(_06441_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11128__A (.DIODE(_06470_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11088__A (.DIODE(net26),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11158__A2 (.DIODE(_05953_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11089__A1 (.DIODE(_06446_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11160__A1 (.DIODE(_06481_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11091__A (.DIODE(net37),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11163__A1 (.DIODE(_06505_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11092__A1 (.DIODE(_06448_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11168__A1 (.DIODE(_06509_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11094__A (.DIODE(net40),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11171__A1 (.DIODE(_06511_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11097__A (.DIODE(net41),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11175__A1 (.DIODE(_06513_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11100__A1 (.DIODE(_06453_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11178__A1 (.DIODE(_06516_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11102__A (.DIODE(net42),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11181__A1 (.DIODE(_06518_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11105__A (.DIODE(net43),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11184__A1 (.DIODE(_06520_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11108__A (.DIODE(net44),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11209__B1 (.DIODE(_06542_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11116__S (.DIODE(_06466_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11223__A1 (.DIODE(_06057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11118__S (.DIODE(_06466_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11224__A2 (.DIODE(_06548_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11120__S (.DIODE(_06466_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11234__A2 (.DIODE(_06066_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11122__S (.DIODE(_06466_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11239__B1 (.DIODE(_06542_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11125__S (.DIODE(_06471_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11246__A1 (.DIODE(_06575_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11127__S (.DIODE(_06471_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11255__A1 (.DIODE(_06542_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11129__S (.DIODE(_06471_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11256__A2 (.DIODE(_06548_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11131__S (.DIODE(_06471_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11264__A2 (.DIODE(_06548_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11154__A2 (.DIODE(_05913_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11286__A_N (.DIODE(net7),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11156__A1 (.DIODE(_06476_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11287__A (.DIODE(_05988_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11159__A1 (.DIODE(_06500_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11288__A (.DIODE(_06611_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11164__A1 (.DIODE(_06504_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11289__A (.DIODE(_05800_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11167__A1 (.DIODE(_06506_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11289__B (.DIODE(\u_uart_core.app_rxfifo_empty ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11171__A1 (.DIODE(_06508_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11290__A (.DIODE(_06067_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11174__A1 (.DIODE(_06511_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11291__A0 (.DIODE(_06609_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11177__A1 (.DIODE(_06513_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11293__A (.DIODE(_06067_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11180__A1 (.DIODE(_06515_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11321__A (.DIODE(_06609_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11269__A (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11372__A1 (.DIODE(\u_uart_core.app_rxfifo_empty ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11270__A (.DIODE(_06592_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11388__A (.DIODE(_06697_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11273__A (.DIODE(net47),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11403__B (.DIODE(_06154_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11274__A (.DIODE(net7),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11406__S (.DIODE(_06710_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11276__A (.DIODE(_05951_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11421__A (.DIODE(_06354_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11277__B_N (.DIODE(_06596_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11422__A (.DIODE(_06726_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11277__D (.DIODE(_05788_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11283__A (.DIODE(_06604_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11284__A (.DIODE(_06605_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11285__A (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11287__B (.DIODE(_06608_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11293__A (.DIODE(_06613_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11297__A (.DIODE(_06617_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11311__A (.DIODE(_06608_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11313__A (.DIODE(_06632_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11315__A1 (.DIODE(_06605_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11334__A (.DIODE(_06613_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11343__A (.DIODE(\u_uart_core.u_rxfifo.rd_ptr[1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11345__A1 (.DIODE(_06653_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11377__A (.DIODE(_06686_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11379__A (.DIODE(_06687_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11393__B (.DIODE(_06191_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11404__S (.DIODE(_06707_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11410__A (.DIODE(_06389_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11414__S1 (.DIODE(_06717_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11420__A (.DIODE(_06723_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11428__S (.DIODE(_06707_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11443__S (.DIODE(_06707_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11476__A (.DIODE(_06723_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11425__A (.DIODE(_06352_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11488__A (.DIODE(_06389_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11426__S0 (.DIODE(_06373_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11503__S1 (.DIODE(_06357_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11426__S1 (.DIODE(_06730_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11504__S1 (.DIODE(_06717_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11431__B1 (.DIODE(_06731_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11516__S1 (.DIODE(_06357_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11431__C1 (.DIODE(_06735_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11517__S1 (.DIODE(_06717_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11434__S (.DIODE(_06710_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11522__S (.DIODE(_06345_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11445__A (.DIODE(_06352_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11529__S0 (.DIODE(_06707_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11446__S0 (.DIODE(_06373_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11529__S1 (.DIODE(_06357_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11447__B1 (.DIODE(_06750_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11530__S1 (.DIODE(_06717_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11447__C1 (.DIODE(_06735_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11531__C1 (.DIODE(_06723_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11449__S (.DIODE(_06710_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11540__B1 (.DIODE(_06834_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11459__S0 (.DIODE(_06373_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11540__C1 (.DIODE(_06835_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11460__B1 (.DIODE(_06762_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11555__S (.DIODE(_06846_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11460__C1 (.DIODE(_06735_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11557__S (.DIODE(_06846_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11468__A (.DIODE(_06354_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11559__S (.DIODE(_06846_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11472__A (.DIODE(_06726_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11561__S (.DIODE(_06846_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11482__A (.DIODE(_06726_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11565__A1 (.DIODE(\u_usb_host.reg_rdata[8] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11484__A (.DIODE(_06726_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11567__A1 (.DIODE(\u_usb_host.reg_rdata[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11487__B1 (.DIODE(_06787_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11569__A1 (.DIODE(\u_usb_host.reg_rdata[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11502__B1 (.DIODE(_06801_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11571__A1 (.DIODE(\u_usb_host.reg_rdata[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11505__A (.DIODE(_06730_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11574__A1 (.DIODE(\u_usb_host.reg_rdata[12] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11515__B1 (.DIODE(_06814_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11576__A1 (.DIODE(\u_usb_host.reg_rdata[13] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11518__A (.DIODE(_06730_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11578__A1 (.DIODE(\u_usb_host.reg_rdata[14] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11528__B1 (.DIODE(_06826_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11580__A1 (.DIODE(\u_usb_host.reg_rdata[15] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11531__A (.DIODE(_06730_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11585__A1 (.DIODE(\u_usb_host.reg_rdata[17] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11534__S (.DIODE(_06710_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11587__A1 (.DIODE(\u_usb_host.reg_rdata[18] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11536__A (.DIODE(_06352_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11589__A1 (.DIODE(\u_usb_host.reg_rdata[19] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11550__B1 (.DIODE(_06845_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11592__A1 (.DIODE(\u_usb_host.reg_rdata[20] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11550__C1 (.DIODE(_06846_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11594__A1 (.DIODE(\u_usb_host.reg_rdata[21] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11574__A0 (.DIODE(\u_usb_host.reg_rdata[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11596__A1 (.DIODE(\u_usb_host.reg_rdata[22] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11576__A0 (.DIODE(\u_usb_host.reg_rdata[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11610__A1 (.DIODE(\u_usb_host.reg_rdata[28] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11578__A0 (.DIODE(\u_usb_host.reg_rdata[10] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11616__A1 (.DIODE(\u_usb_host.reg_rdata[31] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11580__A0 (.DIODE(\u_usb_host.reg_rdata[11] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11659__A1 (.DIODE(_06916_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11583__A0 (.DIODE(\u_usb_host.reg_rdata[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11663__A0 (.DIODE(_06916_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11585__A0 (.DIODE(\u_usb_host.reg_rdata[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11666__A0 (.DIODE(_06916_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11587__A0 (.DIODE(\u_usb_host.reg_rdata[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11666__S (.DIODE(_06923_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11589__A0 (.DIODE(\u_usb_host.reg_rdata[15] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11669__A0 (.DIODE(_06916_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11592__A0 (.DIODE(\u_usb_host.reg_rdata[16] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11669__S (.DIODE(_06925_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11596__A0 (.DIODE(\u_usb_host.reg_rdata[18] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11673__A0 (.DIODE(_06927_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11598__A0 (.DIODE(\u_usb_host.reg_rdata[19] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11700__A1 (.DIODE(_06441_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11601__A0 (.DIODE(\u_usb_host.reg_rdata[20] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11702__A1 (.DIODE(_06446_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11603__A0 (.DIODE(\u_usb_host.reg_rdata[21] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11704__A1 (.DIODE(_06448_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11605__A0 (.DIODE(\u_usb_host.reg_rdata[22] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11706__A (.DIODE(net40),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11607__A0 (.DIODE(\u_usb_host.reg_rdata[23] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11708__A1 (.DIODE(_06951_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11619__A0 (.DIODE(\u_usb_host.reg_rdata[28] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11711__A1 (.DIODE(_06453_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11621__A0 (.DIODE(\u_usb_host.reg_rdata[29] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11713__A (.DIODE(net42),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11652__A0 (.DIODE(_05400_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11715__A1 (.DIODE(_06956_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11652__S (.DIODE(_06915_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11717__A (.DIODE(net43),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11657__A0 (.DIODE(_05400_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11719__A1 (.DIODE(_06959_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11657__S (.DIODE(_06919_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11721__A (.DIODE(net44),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11662__A0 (.DIODE(_05400_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11723__A1 (.DIODE(_06962_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11662__S (.DIODE(_06923_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11729__A_N (.DIODE(_06967_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11668__A0 (.DIODE(_06925_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11730__B (.DIODE(_06967_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11672__A1 (.DIODE(_06291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11732__B (.DIODE(_06967_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11675__A1 (.DIODE(_06294_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11753__A1 (.DIODE(_06352_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11678__A1 (.DIODE(_06296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11760__A (.DIODE(_05410_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11708__A (.DIODE(net15),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11771__A0 (.DIODE(net97),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11709__A (.DIODE(_06956_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11781__A (.DIODE(net15),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11712__B (.DIODE(_06959_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11785__A0 (.DIODE(_07014_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11714__A1 (.DIODE(_06957_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11787__A (.DIODE(net26),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11716__A (.DIODE(net26),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11788__A0 (.DIODE(_07019_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11717__A (.DIODE(_06963_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11790__A (.DIODE(net37),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11718__A1 (.DIODE(_06964_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11791__A0 (.DIODE(_07021_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11720__A (.DIODE(net37),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11793__A (.DIODE(net40),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11721__A (.DIODE(_06966_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11795__A0 (.DIODE(_07024_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11722__A1 (.DIODE(_06967_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11797__A (.DIODE(net41),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11724__A1 (.DIODE(_06456_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11802__A (.DIODE(net42),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11727__A1 (.DIODE(_06459_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11803__A0 (.DIODE(_07030_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11729__A1 (.DIODE(_06462_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11805__A (.DIODE(net43),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11731__A1 (.DIODE(_06464_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11806__A0 (.DIODE(_07032_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11733__A1 (.DIODE(_06466_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11808__A (.DIODE(net44),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11737__B (.DIODE(_06976_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11809__A0 (.DIODE(_07034_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11738__B (.DIODE(_06976_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11811__A (.DIODE(net10),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11749__B (.DIODE(_06976_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11812__A (.DIODE(_06402_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11761__A1 (.DIODE(_05403_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11815__A (.DIODE(_07036_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11763__B1 (.DIODE(_06735_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11816__A1 (.DIODE(_06951_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11782__A0 (.DIODE(net97),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11892__A2 (.DIODE(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[0].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11792__A (.DIODE(net15),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11905__A0 (.DIODE(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[0].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11795__A0 (.DIODE(_07026_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11914__A3 (.DIODE(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[1].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11797__A (.DIODE(net26),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11920__A0 (.DIODE(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[1].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11798__A0 (.DIODE(_07030_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11926__A2 (.DIODE(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[2].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11800__A (.DIODE(net37),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11926__A3 (.DIODE(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[2].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11801__A0 (.DIODE(_07032_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11933__A0 (.DIODE(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[2].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11803__A (.DIODE(net40),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11933__A1 (.DIODE(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[2].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11804__A (.DIODE(_07034_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11939__A2 (.DIODE(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[3].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11805__A0 (.DIODE(_07035_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11939__A3 (.DIODE(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[3].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11809__A0 (.DIODE(_07037_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11943__A0 (.DIODE(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[3].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11811__A (.DIODE(net42),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11943__A1 (.DIODE(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[3].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11812__A0 (.DIODE(_07040_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11947__A2 (.DIODE(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[4].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11814__A (.DIODE(net43),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11947__A3 (.DIODE(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[4].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11815__A0 (.DIODE(_07042_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11951__A0 (.DIODE(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[4].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11817__A (.DIODE(net44),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11951__A1 (.DIODE(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[4].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11818__A0 (.DIODE(_07044_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11955__A2 (.DIODE(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[5].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11820__A (.DIODE(net10),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11955__A3 (.DIODE(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[5].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11821__A (.DIODE(_07046_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11959__A0 (.DIODE(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[5].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11822__A_N (.DIODE(net7),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11959__A1 (.DIODE(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[5].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11825__A1 (.DIODE(_06456_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11963__A0 (.DIODE(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[6].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11849__A1 (.DIODE(_06548_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11963__A1 (.DIODE(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[6].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11860__A1 (.DIODE(_06057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11964__A0 (.DIODE(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[6].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11863__B1 (.DIODE(_06575_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11964__A2 (.DIODE(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[6].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11865__B1 (.DIODE(_06066_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11964__A3 (.DIODE(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[6].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11879__A1 (.DIODE(_06542_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11965__A2 (.DIODE(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[6].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11883__C1 (.DIODE(_06057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11972__A0 (.DIODE(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[7].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11884__B2 (.DIODE(_06066_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11973__A0 (.DIODE(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[7].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11929__A2 (.DIODE(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[2].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11978__A (.DIODE(net7),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11936__A1 (.DIODE(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[2].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11979__C_N (.DIODE(net10),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11942__A2 (.DIODE(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[3].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11981__A0 (.DIODE(_07024_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11946__A1 (.DIODE(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[3].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11983__A0 (.DIODE(_07021_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11950__A2 (.DIODE(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[4].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11983__A1 (.DIODE(_05425_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11954__A1 (.DIODE(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[4].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11985__A0 (.DIODE(_07019_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11958__A2 (.DIODE(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[5].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11985__A1 (.DIODE(\u_uart_core.cfg_rx_enable ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11962__A1 (.DIODE(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[5].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11987__A0 (.DIODE(_07014_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11966__A0 (.DIODE(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[6].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11987__A1 (.DIODE(\u_uart_core.cfg_tx_enable ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11966__A1 (.DIODE(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[6].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11990__A (.DIODE(_07036_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11967__A2 (.DIODE(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[6].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11991__A (.DIODE(_07021_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11967__A3 (.DIODE(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[6].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11993__A (.DIODE(_05788_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11974__A1 (.DIODE(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[7].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__11993__B (.DIODE(_06596_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11977__A0 (.DIODE(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[7].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12004__A (.DIODE(_06608_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11981__A (.DIODE(net7),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12006__A (.DIODE(_07204_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11985__A0 (.DIODE(_07035_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12007__A (.DIODE(_06592_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11985__A1 (.DIODE(_05385_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12009__S0 (.DIODE(_07205_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11987__A0 (.DIODE(_07032_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12009__S1 (.DIODE(_07207_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11987__A1 (.DIODE(_05406_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12010__S0 (.DIODE(_07205_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11989__A0 (.DIODE(_07030_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12010__S1 (.DIODE(_07207_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11989__A1 (.DIODE(\u_uart_core.cfg_rx_enable ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12013__A (.DIODE(_07211_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11991__A0 (.DIODE(_07026_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12014__S0 (.DIODE(_07212_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11995__A (.DIODE(_07032_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12014__S1 (.DIODE(_07207_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12000__S0 (.DIODE(_07198_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12016__S0 (.DIODE(_06632_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12000__S1 (.DIODE(_07199_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12020__A1 (.DIODE(_06605_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12009__S0 (.DIODE(_07207_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12029__B (.DIODE(_06596_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12011__S0 (.DIODE(_07207_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12030__A2 (.DIODE(_07227_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12011__S1 (.DIODE(_07199_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12032__A1 (.DIODE(\u_uart_core.cfg_tx_enable ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12015__B (.DIODE(_07214_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12037__S0 (.DIODE(_07204_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12016__A (.DIODE(_05995_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12038__S0 (.DIODE(_07204_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12017__A2 (.DIODE(_07216_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12039__S (.DIODE(_06617_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12031__A (.DIODE(_05793_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12040__A (.DIODE(_06653_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12032__A (.DIODE(_07231_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12041__S0 (.DIODE(_07211_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12033__A1 (.DIODE(_05403_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12041__S1 (.DIODE(_07238_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12044__S1 (.DIODE(_07242_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12042__S1 (.DIODE(_06653_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12047__A_N (.DIODE(_06611_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12044__A1 (.DIODE(_06604_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12048__A1 (.DIODE(\u_uart_core.app_rxfifo_empty ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12045__A2 (.DIODE(_07237_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12052__B2 (.DIODE(\u_uart_core.cfg_rx_enable ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12050__B (.DIODE(_07243_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12053__A (.DIODE(_07231_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12053__A1 (.DIODE(\u_uart_core.cfg_rx_enable ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12067__A_N (.DIODE(_06611_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12054__A1 (.DIODE(\u_uart_core.reg_rdata[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12069__B1 (.DIODE(_07265_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12055__S0 (.DIODE(_07211_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12070__A2 (.DIODE(_06029_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12055__S1 (.DIODE(_07238_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12071__A1 (.DIODE(_05406_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12056__S0 (.DIODE(_07211_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12072__A0 (.DIODE(\u_uart_core.reg_rdata[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12056__S1 (.DIODE(_07238_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12078__S1 (.DIODE(_07242_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12057__S (.DIODE(_06604_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12079__A1 (.DIODE(\u_uart_core.u_rxfifo.mem[1][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12058__S0 (.DIODE(_06632_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12079__S1 (.DIODE(_07242_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12061__A1 (.DIODE(_06604_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12081__A3 (.DIODE(\u_uart_core.u_rxfifo.mem[11][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12062__A2 (.DIODE(_07254_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12081__S1 (.DIODE(_07242_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12069__A1 (.DIODE(_07259_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12085__B1 (.DIODE(_06611_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12069__B2 (.DIODE(_05425_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12089__A1 (.DIODE(_05385_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12070__A0 (.DIODE(\u_uart_core.reg_rdata[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12096__S (.DIODE(_07291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12076__S1 (.DIODE(_06653_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12100__A1 (.DIODE(_06609_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12077__S1 (.DIODE(_06592_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12101__S (.DIODE(_07291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12080__S0 (.DIODE(_06608_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12102__A (.DIODE(_06609_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12080__S1 (.DIODE(_06592_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12107__S (.DIODE(_07291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12082__A1 (.DIODE(_06617_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12112__S0 (.DIODE(_07207_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12083__A1 (.DIODE(_06613_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12112__S1 (.DIODE(_07199_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12083__A2 (.DIODE(_07274_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12114__A2 (.DIODE(_07307_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12083__B1 (.DIODE(_07278_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12116__A1_N (.DIODE(_07302_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12086__A0 (.DIODE(\u_uart_core.reg_rdata[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12117__A1 (.DIODE(_05386_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12090__A2 (.DIODE(_07212_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12125__S (.DIODE(_07319_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12090__B1 (.DIODE(_06617_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12129__A (.DIODE(_07199_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12095__A1 (.DIODE(_07207_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12131__S (.DIODE(_07325_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12097__A2 (.DIODE(_07204_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12134__S (.DIODE(_07198_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12099__A1 (.DIODE(_07238_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12136__S (.DIODE(_07319_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12103__A (.DIODE(_06613_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12142__A2_N (.DIODE(_07336_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12106__B1 (.DIODE(_07299_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12145__S (.DIODE(_07291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12109__A1 (.DIODE(\u_uart_core.reg_rdata[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12151__S (.DIODE(_07325_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12111__A (.DIODE(_07205_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12155__S (.DIODE(_07319_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12116__A (.DIODE(_07212_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12157__S (.DIODE(_07198_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12123__A (.DIODE(_06632_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12161__A2_N (.DIODE(_07354_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12125__B1 (.DIODE(_06605_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12162__S (.DIODE(_07231_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12126__A (.DIODE(_07205_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12164__S0 (.DIODE(_07207_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12134__A1 (.DIODE(\u_uart_core.reg_rdata[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12167__S (.DIODE(_07325_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12152__A1 (.DIODE(\u_uart_core.reg_rdata[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12170__S (.DIODE(_07325_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12161__S (.DIODE(_07212_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12174__S (.DIODE(_07319_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12170__A1 (.DIODE(\u_uart_core.reg_rdata[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12176__S (.DIODE(_07198_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12171__A (.DIODE(_07014_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12180__A2_N (.DIODE(_07372_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12173__A (.DIODE(_07019_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12180__B2 (.DIODE(_06575_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12175__A (.DIODE(net15),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12181__S (.DIODE(_07231_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12176__A (.DIODE(_07364_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12183__A (.DIODE(_07026_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12178__B (.DIODE(_07366_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12184__B1 (.DIODE(\u_uart_core.frm_error_o ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12182__A (.DIODE(net26),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12185__A (.DIODE(_07030_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12184__A0 (.DIODE(_07371_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12188__B (.DIODE(_07377_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12186__A (.DIODE(net37),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12190__A1 (.DIODE(_06957_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12187__A (.DIODE(_07373_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12192__A1 (.DIODE(_06964_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12190__A0 (.DIODE(_07024_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12194__A1 (.DIODE(_06967_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12195__A0 (.DIODE(_07030_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12196__A (.DIODE(_07034_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12197__A0 (.DIODE(_07032_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12197__A1 (.DIODE(_07383_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12199__A0 (.DIODE(_07034_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12199__A (.DIODE(net41),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12201__A (.DIODE(net10),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12200__A (.DIODE(_07385_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12203__A1 (.DIODE(_06959_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12202__A1 (.DIODE(_07386_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12205__A1 (.DIODE(_06956_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12204__A1 (.DIODE(_06462_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12207__A1 (.DIODE(_06453_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12206__A1 (.DIODE(_06464_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12209__A1 (.DIODE(_06951_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12208__A1 (.DIODE(_06466_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12212__A1 (.DIODE(_06448_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12210__A (.DIODE(net43),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12214__A1 (.DIODE(_06446_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12214__A1 (.DIODE(_07393_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12216__A1 (.DIODE(_06441_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12216__A (.DIODE(net42),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12220__A (.DIODE(net37),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12218__A1 (.DIODE(_07398_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12222__A1 (.DIODE(_07394_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12220__A1 (.DIODE(_07386_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12224__A (.DIODE(net26),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12222__A1 (.DIODE(_07383_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12226__A1 (.DIODE(_07397_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12225__A1 (.DIODE(_06967_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12228__A (.DIODE(net15),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12227__A1 (.DIODE(_06964_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12230__A1 (.DIODE(_07400_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12229__A1 (.DIODE(_06957_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12232__A1 (.DIODE(_06962_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12231__A0 (.DIODE(_07037_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12235__S (.DIODE(_06687_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12231__A1 (.DIODE(_05386_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12238__S (.DIODE(_06687_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12233__A1 (.DIODE(_06967_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12241__S (.DIODE(_06687_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12235__A1 (.DIODE(_06964_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12243__A (.DIODE(_06686_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12237__A1 (.DIODE(_06957_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12244__S (.DIODE(_07409_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12239__A (.DIODE(net44),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12247__S (.DIODE(_07409_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12241__A0 (.DIODE(_06575_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12250__S (.DIODE(_07409_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12241__A1 (.DIODE(_07411_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12253__S (.DIODE(_07409_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12244__S (.DIODE(_06697_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12255__A0 (.DIODE(_06927_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12247__S (.DIODE(_06697_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12255__S (.DIODE(_06686_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12250__S (.DIODE(_06697_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12267__S (.DIODE(_07424_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12253__A0 (.DIODE(_06925_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12269__S (.DIODE(_07424_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12258__A (.DIODE(_06169_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12271__S (.DIODE(_07424_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12261__A (.DIODE(_06172_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12273__S (.DIODE(_07424_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12269__S (.DIODE(_07430_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12278__A0 (.DIODE(\u_usb_host.reg_rdata[8] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12271__S (.DIODE(_07430_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12280__A0 (.DIODE(\u_usb_host.reg_rdata[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12273__S (.DIODE(_07430_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12282__A0 (.DIODE(\u_usb_host.reg_rdata[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12288__A0 (.DIODE(\u_usb_host.reg_rdata[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12286__A0 (.DIODE(\u_usb_host.reg_rdata[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12290__A0 (.DIODE(\u_usb_host.reg_rdata[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12288__A0 (.DIODE(\u_usb_host.reg_rdata[12] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12292__A0 (.DIODE(\u_usb_host.reg_rdata[10] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12290__A0 (.DIODE(\u_usb_host.reg_rdata[13] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12296__A0 (.DIODE(\u_usb_host.reg_rdata[11] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12292__A0 (.DIODE(\u_usb_host.reg_rdata[14] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12298__A0 (.DIODE(\u_usb_host.reg_rdata[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12295__A0 (.DIODE(\u_usb_host.reg_rdata[15] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12300__A0 (.DIODE(\u_usb_host.reg_rdata[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12299__A0 (.DIODE(\u_usb_host.reg_rdata[17] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12302__A0 (.DIODE(\u_usb_host.reg_rdata[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12301__A0 (.DIODE(\u_usb_host.reg_rdata[18] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12305__A0 (.DIODE(\u_usb_host.reg_rdata[15] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12304__A0 (.DIODE(\u_usb_host.reg_rdata[19] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12307__A0 (.DIODE(\u_usb_host.reg_rdata[16] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12306__A0 (.DIODE(\u_usb_host.reg_rdata[20] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12311__A0 (.DIODE(\u_usb_host.reg_rdata[18] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12308__A0 (.DIODE(\u_usb_host.reg_rdata[21] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12314__A0 (.DIODE(\u_usb_host.reg_rdata[19] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12310__A0 (.DIODE(\u_usb_host.reg_rdata[22] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12316__A0 (.DIODE(\u_usb_host.reg_rdata[20] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12324__A0 (.DIODE(\u_usb_host.reg_rdata[28] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12318__A0 (.DIODE(\u_usb_host.reg_rdata[21] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12330__A0 (.DIODE(\u_usb_host.reg_rdata[31] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12320__A0 (.DIODE(\u_usb_host.reg_rdata[22] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12343__S (.DIODE(_07467_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12323__A0 (.DIODE(\u_usb_host.reg_rdata[23] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12345__S (.DIODE(_07467_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12329__A1 (.DIODE(\u_usb_host.u_async_wb.u_resp_if.mem[0][26] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12347__S (.DIODE(_07467_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12334__A0 (.DIODE(\u_usb_host.reg_rdata[28] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12349__A1 (.DIODE(_06266_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12336__A0 (.DIODE(\u_usb_host.reg_rdata[29] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12349__S (.DIODE(_07467_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12353__A1 (.DIODE(_06291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12368__A1 (.DIODE(_07400_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12355__A1 (.DIODE(_06294_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12370__A1 (.DIODE(_07397_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12357__A1 (.DIODE(_06296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12372__A1 (.DIODE(_07394_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12361__A (.DIODE(net15),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12374__A1 (.DIODE(_06951_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12368__A (.DIODE(net26),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12377__A (.DIODE(_07493_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12372__A (.DIODE(net37),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12379__A1 (.DIODE(_06453_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12376__A1 (.DIODE(_07383_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12381__A1 (.DIODE(_06956_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12380__A (.DIODE(_07497_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12383__A1 (.DIODE(_06959_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12381__A1 (.DIODE(_07386_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12385__A1 (.DIODE(_06962_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12383__A1 (.DIODE(_07398_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12387__A (.DIODE(net45),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12385__A1 (.DIODE(_07393_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12391__A (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12387__A1 (.DIODE(_07411_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12394__A (.DIODE(net16),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12389__A (.DIODE(net45),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12397__A (.DIODE(net17),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12390__A (.DIODE(_07497_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12400__A (.DIODE(net18),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12393__A (.DIODE(net46),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12404__A (.DIODE(net19),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12396__A (.DIODE(net16),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12407__A (.DIODE(net20),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12399__A (.DIODE(net17),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12410__A (.DIODE(net21),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12402__A (.DIODE(net18),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12413__A (.DIODE(net22),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12403__A (.DIODE(_07497_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12417__A (.DIODE(net23),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12406__A (.DIODE(net19),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12420__A (.DIODE(net24),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12409__A (.DIODE(net20),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12423__A (.DIODE(net25),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12412__A (.DIODE(net21),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12426__A (.DIODE(net27),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12415__A (.DIODE(net22),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12427__A (.DIODE(_07493_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12416__A (.DIODE(_07497_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12430__A (.DIODE(net28),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12419__A (.DIODE(net23),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12433__A (.DIODE(net29),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12422__A (.DIODE(net24),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12436__A (.DIODE(net30),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12425__A (.DIODE(net25),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12439__A (.DIODE(net35),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12428__A (.DIODE(net27),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12440__A (.DIODE(_07493_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12432__A (.DIODE(net28),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12443__A (.DIODE(net36),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12435__A (.DIODE(net29),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12446__A (.DIODE(net38),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12438__A (.DIODE(net30),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12449__A (.DIODE(net39),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12441__A (.DIODE(net35),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12450__A1 (.DIODE(_07543_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12445__A (.DIODE(net36),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12452__A (.DIODE(net47),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12448__A (.DIODE(net38),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12453__A (.DIODE(_07545_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12451__A (.DIODE(net39),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12454__A (.DIODE(_07546_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12452__A1 (.DIODE(_07546_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12455__A (.DIODE(_07493_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12454__A (.DIODE(_05802_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -16066,327 +15940,307 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12463__A1 (.DIODE(_07552_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12463__A1 (.DIODE(_07553_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12466__A1 (.DIODE(_07554_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12466__A1 (.DIODE(_07555_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12469__A1 (.DIODE(_07556_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12468__A (.DIODE(_05992_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12472__A1 (.DIODE(_07558_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12472__A1 (.DIODE(_07559_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12475__A (.DIODE(_06420_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12483__A1 (.DIODE(_07383_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12477__A1 (.DIODE(_07400_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12486__A1 (.DIODE(_07386_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12479__A1 (.DIODE(_07397_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12488__A1 (.DIODE(_07398_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12481__A1 (.DIODE(_07394_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12490__A1 (.DIODE(_07393_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12484__A1 (.DIODE(_07566_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12492__A1 (.DIODE(_07411_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12488__A1 (.DIODE(_07568_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12494__A (.DIODE(_05802_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12490__A1 (.DIODE(_06956_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12494__B (.DIODE(_05823_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12492__A1 (.DIODE(_06959_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12502__A (.DIODE(net40),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12494__A1 (.DIODE(_06962_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12504__A1 (.DIODE(_07579_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12496__A (.DIODE(_07545_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12506__A (.DIODE(_07385_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12497__B (.DIODE(_05790_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12508__A1 (.DIODE(_07581_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12499__A1 (.DIODE(_07400_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12510__A1 (.DIODE(_07398_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12501__A1 (.DIODE(_07397_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12512__A1 (.DIODE(_07393_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12503__A1 (.DIODE(_07394_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12514__A1 (.DIODE(_07411_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12505__A1 (.DIODE(_07566_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12529__A (.DIODE(_05841_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12508__A1 (.DIODE(_07568_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12530__A0 (.DIODE(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cSDA[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12511__A1 (.DIODE(_07583_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12536__A0 (.DIODE(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cSCL[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12514__A1 (.DIODE(_07585_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12538__S (.DIODE(_05842_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12517__A1 (.DIODE(_07587_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12540__S (.DIODE(_05842_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12533__A0 (.DIODE(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cSDA[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12551__A1 (.DIODE(net93),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12539__A0 (.DIODE(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cSCL[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12577__A (.DIODE(_06959_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12554__B2 (.DIODE(net93),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12585__A1 (.DIODE(_07579_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12558__A1 (.DIODE(net92),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12588__A1 (.DIODE(_07581_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12579__A1 (.DIODE(\u_i2cm.rxr[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12591__A1 (.DIODE(_07633_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12583__A1 (.DIODE(_07627_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12594__A1 (.DIODE(_07635_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12586__A1 (.DIODE(_07631_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12597__A1 (.DIODE(_07637_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12589__A1 (.DIODE(_07633_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12600__A (.DIODE(_06448_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12591__A1 (.DIODE(_07566_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12600__B (.DIODE(_07377_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12594__A1 (.DIODE(_07568_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12602__A1 (.DIODE(_07639_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12596__A1 (.DIODE(_07583_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12605__A1 (.DIODE(_07643_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12598__A1 (.DIODE(_07585_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12608__A1 (.DIODE(_07645_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12600__A1 (.DIODE(_07587_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12610__A1 (.DIODE(_07579_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12602__A (.DIODE(_06442_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12613__A1 (.DIODE(_07581_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12602__B_N (.DIODE(_07366_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12615__A1 (.DIODE(_07633_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12606__A0 (.DIODE(_07371_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12617__A1 (.DIODE(_07635_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12610__A0 (.DIODE(_07024_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12619__A1 (.DIODE(_07637_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12616__A0 (.DIODE(_07030_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12623__A1 (.DIODE(_07639_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12618__A0 (.DIODE(_07032_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12625__A1 (.DIODE(_07643_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12620__A0 (.DIODE(_07034_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12627__A1 (.DIODE(_07645_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12624__A1 (.DIODE(_07627_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12629__A1 (.DIODE(_07579_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12626__A1 (.DIODE(_07631_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12632__A1 (.DIODE(_07581_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12628__A1 (.DIODE(_07633_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12634__A1 (.DIODE(_07633_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12630__A1 (.DIODE(_07566_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12636__A1 (.DIODE(_07635_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12633__A1 (.DIODE(_07568_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12638__A1 (.DIODE(_07637_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12635__A1 (.DIODE(_07583_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12643__A1 (.DIODE(_07639_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12637__A1 (.DIODE(_07585_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12645__A1 (.DIODE(_07643_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12639__A1 (.DIODE(_07587_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12647__A1 (.DIODE(_07645_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12644__A0 (.DIODE(\u_i2cm.prer[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12650__A1 (.DIODE(_07670_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12644__A1 (.DIODE(_07627_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12652__A (.DIODE(_07385_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12646__A0 (.DIODE(\u_i2cm.prer[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12654__A0 (.DIODE(\u_i2cm.prer[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12646__A1 (.DIODE(_07631_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12654__A1 (.DIODE(_07672_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12648__A0 (.DIODE(\u_i2cm.prer[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12656__A0 (.DIODE(\u_i2cm.prer[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12648__A1 (.DIODE(_07633_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12656__A1 (.DIODE(_07633_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12651__A0 (.DIODE(\u_i2cm.prer[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12658__A0 (.DIODE(\u_i2cm.prer[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12655__A0 (.DIODE(\u_i2cm.prer[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12658__A1 (.DIODE(_07635_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12655__A1 (.DIODE(_07672_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12660__A0 (.DIODE(\u_i2cm.prer[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12657__A0 (.DIODE(\u_i2cm.prer[5] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12657__A1 (.DIODE(_07583_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12659__A0 (.DIODE(\u_i2cm.prer[6] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12659__A1 (.DIODE(_07585_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12661__A1 (.DIODE(_07587_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12660__A1 (.DIODE(_07637_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -16396,16407 +16250,16332 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12663__C (.DIODE(_07227_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12663__C (.DIODE(_07678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12664__A (.DIODE(_07546_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12664__A (.DIODE(_05802_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12664__B (.DIODE(_05790_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12664__B (.DIODE(_05823_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12665__A0 (.DIODE(\u_i2cm.ack ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12665__A1 (.DIODE(_07670_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12668__A (.DIODE(_07366_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12667__A (.DIODE(_07377_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12672__A0 (.DIODE(_07371_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12669__A1 (.DIODE(_07639_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12677__A0 (.DIODE(_07687_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12671__A1 (.DIODE(_07643_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12689__A0 (.DIODE(_07695_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12673__A1 (.DIODE(_07645_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12695__A0 (.DIODE(_07371_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12675__A1 (.DIODE(_07670_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12699__A0 (.DIODE(_07687_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12678__A1 (.DIODE(_07672_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12708__A0 (.DIODE(_07695_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12681__A1 (.DIODE(_07690_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12714__A0 (.DIODE(_07708_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12684__A1 (.DIODE(_07692_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12717__A0 (.DIODE(_07713_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12687__A1 (.DIODE(_07694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12720__A0 (.DIODE(_07715_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12689__A (.DIODE(_06437_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12722__A0 (.DIODE(_07687_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12691__A0 (.DIODE(_06447_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12725__A (.DIODE(_07718_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12693__A0 (.DIODE(_06452_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12733__A0 (.DIODE(_07695_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12695__A0 (.DIODE(_06454_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12762__A (.DIODE(_07718_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12697__A0 (.DIODE(_07035_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12771__A (.DIODE(_07718_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12700__A0 (.DIODE(_07037_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12778__A0 (.DIODE(_07543_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12702__A0 (.DIODE(_07040_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12780__A (.DIODE(_07718_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12704__A0 (.DIODE(_07042_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12783__A0 (.DIODE(net2),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12706__A0 (.DIODE(_07044_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12785__A0 (.DIODE(net3),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12712__A1 (.DIODE(_07707_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12787__A0 (.DIODE(_07552_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12715__A1 (.DIODE(_07712_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12789__A0 (.DIODE(_07554_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12718__A1 (.DIODE(_07714_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12791__A0 (.DIODE(_07556_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12720__A1 (.DIODE(_07670_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12793__A0 (.DIODE(_07558_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12724__A (.DIODE(_07718_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12798__A0 (.DIODE(_07708_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12725__A1 (.DIODE(_07672_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12800__A0 (.DIODE(_07713_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12727__A1 (.DIODE(_07690_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12802__A0 (.DIODE(_07715_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12729__A1 (.DIODE(_07692_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12806__A0 (.DIODE(_07687_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12731__A1 (.DIODE(_07694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12816__A0 (.DIODE(_07695_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12733__A (.DIODE(_07718_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12843__A (.DIODE(_07786_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12742__A (.DIODE(_07718_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12852__A (.DIODE(_07786_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12751__A (.DIODE(_07718_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12861__A (.DIODE(_07786_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12776__A1 (.DIODE(_07546_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12862__A0 (.DIODE(_07543_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12781__A1 (.DIODE(net2),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12866__A0 (.DIODE(net2),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12783__A1 (.DIODE(net3),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12868__A0 (.DIODE(net3),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12785__A1 (.DIODE(_07553_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12870__A (.DIODE(_07786_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12787__A1 (.DIODE(_07555_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12871__A0 (.DIODE(_07552_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12791__A1 (.DIODE(_07559_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12873__A0 (.DIODE(_07554_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12797__A1 (.DIODE(_07707_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12875__A0 (.DIODE(_07556_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12799__A1 (.DIODE(_07712_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12877__A0 (.DIODE(_07558_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12801__A1 (.DIODE(_07714_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12879__A1 (.DIODE(_07627_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12807__A1 (.DIODE(_07672_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12881__A1 (.DIODE(_07631_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12809__A1 (.DIODE(_07690_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12884__A1 (.DIODE(_07633_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12811__A1 (.DIODE(_07692_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12888__A1 (.DIODE(_07672_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12814__A1 (.DIODE(_07694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12891__A1 (.DIODE(_07813_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12833__S (.DIODE(_07781_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12894__A1 (.DIODE(_07815_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12835__S (.DIODE(_07781_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12897__A1 (.DIODE(_07817_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12837__S (.DIODE(_07781_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12899__C (.DIODE(_06442_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12839__S (.DIODE(_07781_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12900__A (.DIODE(_07819_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12860__A1 (.DIODE(_07546_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12901__A0 (.DIODE(_07708_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12864__A1 (.DIODE(net2),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12903__A0 (.DIODE(_07713_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12866__A1 (.DIODE(net3),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12905__A0 (.DIODE(_07715_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12869__A1 (.DIODE(_07553_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12909__A (.DIODE(_07819_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12871__A1 (.DIODE(_07555_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12931__S (.DIODE(_07837_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12875__A1 (.DIODE(_07559_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12933__S (.DIODE(_07837_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12877__A1 (.DIODE(_07707_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12935__S (.DIODE(_07837_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12879__A1 (.DIODE(_07712_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12937__A0 (.DIODE(_06927_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12882__A1 (.DIODE(_07714_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12937__S (.DIODE(_07837_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12887__A1 (.DIODE(_07811_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12942__A0 (.DIODE(\u_i2cm.prer[8] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12889__A1 (.DIODE(_07690_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12942__A1 (.DIODE(_07842_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12891__A1 (.DIODE(_07692_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12945__A0 (.DIODE(\u_i2cm.prer[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12893__A1 (.DIODE(_07694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12945__A1 (.DIODE(_07846_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12895__A (.DIODE(_06437_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12948__A0 (.DIODE(\u_i2cm.prer[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12895__B (.DIODE(_06417_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12948__A1 (.DIODE(_07848_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12895__C (.DIODE(_06448_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12953__A1 (.DIODE(_07672_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12897__A0 (.DIODE(_06447_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12955__A1 (.DIODE(_07813_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12899__A0 (.DIODE(_06452_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12957__A1 (.DIODE(_07815_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12901__A0 (.DIODE(_06454_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12959__A1 (.DIODE(_07817_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12903__A0 (.DIODE(_07035_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12964__A1 (.DIODE(_07842_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12906__A0 (.DIODE(_06459_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12966__A1 (.DIODE(_07846_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12908__A0 (.DIODE(_07040_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12968__A1 (.DIODE(_07848_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12910__A0 (.DIODE(_07042_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12971__A1 (.DIODE(_07862_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12912__A0 (.DIODE(_07044_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12974__A1 (.DIODE(_07672_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12918__S (.DIODE(_07829_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12976__A1 (.DIODE(_07813_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12920__S (.DIODE(_07829_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12978__A1 (.DIODE(_07815_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12922__S (.DIODE(_07829_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12980__A1 (.DIODE(_07817_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12924__A0 (.DIODE(_06925_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12984__A1 (.DIODE(_07842_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12924__S (.DIODE(_07829_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12986__A1 (.DIODE(_07846_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12938__A1 (.DIODE(_07707_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12988__A1 (.DIODE(_07848_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12940__A1 (.DIODE(_07712_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12990__A1 (.DIODE(_07862_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12942__A1 (.DIODE(_07714_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12994__A1 (.DIODE(_07875_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12947__A1 (.DIODE(_07811_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12996__A1 (.DIODE(_07813_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12950__A1 (.DIODE(_07848_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__12998__A1 (.DIODE(_07815_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12953__A1 (.DIODE(_07850_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13000__A1 (.DIODE(_07817_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12956__A1 (.DIODE(_07852_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13004__A (.DIODE(_07882_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12959__A (.DIODE(_06959_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13005__A0 (.DIODE(_07708_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12961__A1 (.DIODE(_07854_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13007__A0 (.DIODE(_07713_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12964__A1 (.DIODE(_07858_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13009__A0 (.DIODE(_07715_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12967__A1 (.DIODE(_07860_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13013__A (.DIODE(_07882_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12972__A1 (.DIODE(_07811_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13027__A1 (.DIODE(_06476_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12974__A1 (.DIODE(_07848_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13029__A1 (.DIODE(_06500_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12976__A1 (.DIODE(_07850_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13031__A1 (.DIODE(_06504_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12978__A1 (.DIODE(_07852_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13033__A1 (.DIODE(_06506_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12980__A (.DIODE(_06437_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13036__A1 (.DIODE(_06508_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12980__B (.DIODE(_06417_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13038__A1 (.DIODE(_06511_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12982__A0 (.DIODE(_06447_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13040__A1 (.DIODE(_06513_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12984__A0 (.DIODE(_06452_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13042__A1 (.DIODE(_06515_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12986__A0 (.DIODE(_06454_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13047__A0 (.DIODE(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12988__A0 (.DIODE(_06456_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13049__S (.DIODE(_07911_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12991__A0 (.DIODE(_06459_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13051__A0 (.DIODE(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12993__A0 (.DIODE(_06462_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13052__S (.DIODE(_07911_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12995__A0 (.DIODE(_06464_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13054__A0 (.DIODE(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__12997__A0 (.DIODE(_06466_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13055__S (.DIODE(_07911_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13003__A (.DIODE(_07882_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13058__A0 (.DIODE(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13004__A1 (.DIODE(_06481_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13076__A0 (.DIODE(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13006__A1 (.DIODE(_06505_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13079__A0 (.DIODE(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13008__A1 (.DIODE(_06509_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13082__A0 (.DIODE(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13010__A1 (.DIODE(_06511_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13088__A2 (.DIODE(_05556_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13012__A (.DIODE(_07882_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13089__A2 (.DIODE(net101),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13013__A1 (.DIODE(_06513_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13091__B1 (.DIODE(_05551_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13015__A1 (.DIODE(_06516_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13094__A2 (.DIODE(_07941_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13017__A1 (.DIODE(_06518_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13106__A2 (.DIODE(_07941_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13019__A1 (.DIODE(_06520_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13121__A (.DIODE(_07968_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13023__A0 (.DIODE(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13133__A (.DIODE(_07980_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13025__S (.DIODE(_07896_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13137__A (.DIODE(_07984_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13027__A0 (.DIODE(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13146__A (.DIODE(_07984_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13028__S (.DIODE(_07896_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13152__A (.DIODE(_07999_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13030__A0 (.DIODE(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13154__A2 (.DIODE(_07987_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13031__S (.DIODE(_07896_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13154__A3 (.DIODE(_07993_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13035__A0 (.DIODE(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13154__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[51][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13051__A0 (.DIODE(\u_usb_host.u_core.u_sie.token_q[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13160__B (.DIODE(_08007_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13053__A0 (.DIODE(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13166__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[37][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13054__A0 (.DIODE(\u_usb_host.u_core.u_sie.token_q[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13166__A2 (.DIODE(_08008_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13056__A0 (.DIODE(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13166__B1 (.DIODE(_08013_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13057__A0 (.DIODE(\u_usb_host.u_core.u_sie.token_q[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13166__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[34][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13059__A0 (.DIODE(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13170__B (.DIODE(_08017_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13060__A0 (.DIODE(\u_usb_host.u_core.u_sie.token_q[15] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13171__A (.DIODE(_08018_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13065__A2 (.DIODE(_05535_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13172__A (.DIODE(_07984_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13066__A2 (.DIODE(net101),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13180__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[52][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13068__B1 (.DIODE(_05530_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13184__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[7][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13071__A2 (.DIODE(_07927_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13184__B (.DIODE(_08030_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13083__A2 (.DIODE(_07927_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13184__C (.DIODE(_08031_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13083__C1 (.DIODE(_07941_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13190__C (.DIODE(_08037_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13108__A (.DIODE(_07964_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13198__C (.DIODE(_08045_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13109__A (.DIODE(_07965_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13199__A (.DIODE(_07999_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13121__A (.DIODE(_07977_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13202__C (.DIODE(_08049_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13123__A (.DIODE(_07979_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13205__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[36][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13129__B (.DIODE(_07985_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13206__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[32][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13131__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[7][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13208__A (.DIODE(_08055_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13131__A2 (.DIODE(_07972_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13210__A (.DIODE(_08057_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13131__A3 (.DIODE(_07978_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13215__A (.DIODE(_08060_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13131__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[38][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13216__A3 (.DIODE(_08059_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13145__A (.DIODE(_07985_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13216__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[61][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13147__A2 (.DIODE(_07993_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13219__A (.DIODE(_08066_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13147__A3 (.DIODE(_07999_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13227__B (.DIODE(_08074_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13147__B1 (.DIODE(_08003_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13228__A2 (.DIODE(_08067_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13155__A (.DIODE(_08011_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13228__A3 (.DIODE(_08072_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13156__C (.DIODE(_08012_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13240__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[62][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13160__A (.DIODE(_08016_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13240__B (.DIODE(_08085_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13161__B (.DIODE(_08015_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13241__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[8][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13161__C (.DIODE(_08017_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13241__A2 (.DIODE(_08080_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13165__A (.DIODE(_08021_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13241__A3 (.DIODE(_08084_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13167__A2 (.DIODE(_08007_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13243__A (.DIODE(_08090_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13173__B (.DIODE(_08029_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13247__A3 (.DIODE(_08084_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13175__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[16][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13247__B1 (.DIODE(_08094_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13175__B (.DIODE(_07965_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13251__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[10][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13178__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[4][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13251__A2 (.DIODE(_08080_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13181__A (.DIODE(_08029_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13251__A3 (.DIODE(_08096_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13182__C (.DIODE(_08038_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13254__A (.DIODE(_07984_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13184__A (.DIODE(_08011_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13256__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[63][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13186__A (.DIODE(_08042_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13256__A2 (.DIODE(_07987_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13190__A2 (.DIODE(_07972_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13256__A3 (.DIODE(_08101_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13190__A3 (.DIODE(_08043_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13256__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[48][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13190__B1 (.DIODE(_08046_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13258__A (.DIODE(_08017_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13193__B (.DIODE(_08049_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13264__A (.DIODE(_08057_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13198__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[9][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13265__A (.DIODE(_08112_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13198__A2 (.DIODE(_08051_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13266__A2 (.DIODE(_08107_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13198__B1 (.DIODE(_08054_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13266__A3 (.DIODE(_08110_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13198__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[25][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13266__B1 (.DIODE(_08113_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13205__A (.DIODE(_07979_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13267__A (.DIODE(_08060_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13206__B (.DIODE(_08049_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13273__A (.DIODE(_08060_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13208__A2 (.DIODE(_08058_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13273__B (.DIODE(_08120_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13208__B1 (.DIODE(_08064_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13274__A (.DIODE(_08121_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13208__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[41][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13275__A3 (.DIODE(_08118_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13213__B (.DIODE(_08029_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13275__B1 (.DIODE(_08122_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13214__A2 (.DIODE(_08066_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13282__B (.DIODE(_08066_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13214__A3 (.DIODE(_08068_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13282__C (.DIODE(_08129_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13219__B (.DIODE(_07964_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13286__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[50][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13221__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[31][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13291__A2 (.DIODE(_08059_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13221__B1 (.DIODE(_08077_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13291__A3 (.DIODE(_08084_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13227__A (.DIODE(_07979_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13291__B1 (.DIODE(_08138_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13228__B (.DIODE(_08016_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13291__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[9][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13230__A3 (.DIODE(_08083_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13293__A (.DIODE(_08140_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13230__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[35][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13298__A2 (.DIODE(_08059_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13233__A (.DIODE(_07979_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13298__A3 (.DIODE(_08142_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13239__A (.DIODE(_08095_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13298__B1 (.DIODE(_08145_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13240__A2 (.DIODE(_08089_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13299__B (.DIODE(_08140_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13240__B1 (.DIODE(_08096_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13301__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[14][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13248__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[8][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13301__B (.DIODE(_08148_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13250__A (.DIODE(_07985_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13303__C (.DIODE(_08037_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13251__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[6][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13305__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[15][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13251__C (.DIODE(_08107_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13313__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[16][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13253__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[12][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13314__B (.DIODE(_08030_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13253__C (.DIODE(_08109_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13315__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[53][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13257__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[40][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13315__C (.DIODE(_08055_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13257__B (.DIODE(_08113_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13318__A (.DIODE(_08165_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13261__A (.DIODE(_08016_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13319__B (.DIODE(_08120_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13264__A (.DIODE(_08120_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13321__A2 (.DIODE(_08166_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13265__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[19][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13321__B1 (.DIODE(_08168_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13265__A2 (.DIODE(_07993_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13323__A (.DIODE(_08120_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13265__B1 (.DIODE(_08121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13325__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[27][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13265__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[1][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13325__A2 (.DIODE(_08110_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13269__A (.DIODE(_08125_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13325__A3 (.DIODE(_08118_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13273__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[44][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13330__B (.DIODE(_08177_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13273__A3 (.DIODE(_08126_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13332__A2 (.DIODE(_08176_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13280__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[39][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13332__B1 (.DIODE(_08179_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13280__B (.DIODE(_07977_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13332__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[31][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13283__C (.DIODE(_08139_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13334__A (.DIODE(_08120_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13284__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[62][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13335__A (.DIODE(_08181_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13288__B (.DIODE(_08144_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13343__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[11][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13290__B (.DIODE(_08049_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13344__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[6][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13291__B1 (.DIODE(_08147_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13347__B (.DIODE(_08193_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13292__A (.DIODE(_08038_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13347__C (.DIODE(_08194_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13293__B (.DIODE(_08021_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13348__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[2][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13295__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[45][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13351__A (.DIODE(_08198_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13295__A3 (.DIODE(_08149_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13356__A (.DIODE(_08181_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13295__B1 (.DIODE(_08151_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13358__B (.DIODE(_08204_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13296__A (.DIODE(_07965_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13359__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[46][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13298__C (.DIODE(_08109_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13359__A3 (.DIODE(_08203_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13299__A (.DIODE(_08144_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13360__A (.DIODE(_08181_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13300__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[34][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13362__A (.DIODE(_08194_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13300__C (.DIODE(_08156_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13363__B (.DIODE(_08140_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13303__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[43][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13364__A (.DIODE(_08211_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13303__C (.DIODE(_08159_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13365__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[13][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13308__A (.DIODE(_08139_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13365__A2 (.DIODE(_08209_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13311__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[63][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13365__A3 (.DIODE(_08210_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13311__A2 (.DIODE(_08164_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13368__B (.DIODE(_08214_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13311__B1 (.DIODE(_08167_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13368__C (.DIODE(_08215_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13314__A (.DIODE(_08170_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13370__A (.DIODE(_08017_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13317__A (.DIODE(_08173_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13371__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[12][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13318__A3 (.DIODE(_08171_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13371__C (.DIODE(_08218_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13318__B1 (.DIODE(_08174_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13374__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[47][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13319__B (.DIODE(_08156_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13374__B (.DIODE(_08220_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13320__A (.DIODE(_07985_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13374__C (.DIODE(_08221_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13323__A (.DIODE(_08016_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13376__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[58][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13326__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[14][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13376__B (.DIODE(_08085_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13326__C (.DIODE(_08170_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13376__C (.DIODE(_08223_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13327__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[2][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13380__B1 (.DIODE(_08137_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13329__B (.DIODE(_08011_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13387__A1 (.DIODE(_08228_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13332__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[37][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13389__A2 (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13332__B1 (.DIODE(_08188_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13397__A2 (.DIODE(\u_usb_host.u_core.u_sie.utmi_rxactive_i ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13333__A (.DIODE(_08159_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13403__B2 (.DIODE(_07941_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13334__B (.DIODE(_08144_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13415__B (.DIODE(_08260_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13335__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[11][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13416__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[7][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13335__A3 (.DIODE(_08190_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13416__A3 (.DIODE(_07993_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13335__B1 (.DIODE(_08191_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13418__A (.DIODE(_08264_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13338__A (.DIODE(_08011_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13420__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[6][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13340__A (.DIODE(_08021_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13420__A2 (.DIODE(_08265_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13341__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[42][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13420__A3 (.DIODE(_08142_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13343__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[36][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13420__B1 (.DIODE(_08266_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13343__C (.DIODE(_08199_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13421__B (.DIODE(_08085_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13344__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[15][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13421__C (.DIODE(_08215_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13344__A2 (.DIODE(_08194_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13423__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[16][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13347__A2 (.DIODE(_07969_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13423__B (.DIODE(_07980_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13353__A1 (.DIODE(_08204_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13423__C (.DIODE(_08269_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13354__A1 (.DIODE(\u_usb_host.u_core.u_sie.token_q[15] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13425__B (.DIODE(_08066_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13355__A2 (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13425__C (.DIODE(_08271_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13363__A (.DIODE(_07941_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13426__A2 (.DIODE(_08094_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13367__B2 (.DIODE(_07927_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13432__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[52][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13375__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[3][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13432__A3 (.DIODE(_08277_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13376__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[47][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13432__B1 (.DIODE(_08278_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13378__A (.DIODE(_08107_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13432__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[30][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13380__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[6][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13433__A (.DIODE(_08007_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13380__A2 (.DIODE(_08233_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13436__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[53][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13380__A3 (.DIODE(_08235_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13436__A3 (.DIODE(_08281_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13380__B1 (.DIODE(_08095_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13436__B1 (.DIODE(_08282_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13380__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[17][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13437__B (.DIODE(_08030_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13381__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[4][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13438__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[24][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13382__C (.DIODE(_08109_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13438__B (.DIODE(_08037_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13383__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[39][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13439__C (.DIODE(_08194_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13386__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[46][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13442__B (.DIODE(_08177_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13389__C (.DIODE(_08012_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13443__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[37][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13390__B (.DIODE(_08107_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13443__A2 (.DIODE(_08008_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13392__A (.DIODE(_08144_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13443__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[15][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13393__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[34][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13445__A (.DIODE(_08291_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13394__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[37][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13446__A (.DIODE(_08218_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13396__A3 (.DIODE(_08251_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13447__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[12][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13400__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[12][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13447__A2 (.DIODE(_08292_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13400__A2 (.DIODE(_08233_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13447__A3 (.DIODE(_08293_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13400__A3 (.DIODE(_08254_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13447__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[2][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13400__B1 (.DIODE(_08255_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13448__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[31][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13403__A (.DIODE(_08029_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13449__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[8][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13406__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[13][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13449__B (.DIODE(_08264_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13406__A2 (.DIODE(_08258_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13449__C (.DIODE(_08271_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13406__A3 (.DIODE(_08260_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13450__A2 (.DIODE(_08067_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13409__C (.DIODE(_08264_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13450__A3 (.DIODE(_08293_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13410__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[7][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13452__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[5][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13410__A2 (.DIODE(_08258_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13452__A3 (.DIODE(_08281_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13410__A3 (.DIODE(_07978_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13452__B1 (.DIODE(_08138_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13414__A2 (.DIODE(_08268_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13452__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[9][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13414__A3 (.DIODE(_08269_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13454__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[10][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13414__B1 (.DIODE(_08077_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13454__A2 (.DIODE(_08209_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13417__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[36][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13454__A3 (.DIODE(_08072_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13418__A2 (.DIODE(_08089_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13456__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[36][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13418__A3 (.DIODE(_08272_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13456__B (.DIODE(_08302_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13420__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[9][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13459__B (.DIODE(_08304_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13420__A2 (.DIODE(_08051_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13459__C (.DIODE(_08305_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13420__B1 (.DIODE(_08191_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13461__B (.DIODE(_08220_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13422__A (.DIODE(_08021_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13462__A (.DIODE(_08017_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13425__A2 (.DIODE(_08277_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13463__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[28][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13425__A3 (.DIODE(_08279_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13463__B (.DIODE(_08309_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13425__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[14][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13470__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[58][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13427__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[44][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13471__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[46][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13427__A3 (.DIODE(_08126_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13472__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[47][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13429__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[5][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13473__A (.DIODE(_08057_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13429__C (.DIODE(_08042_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13475__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[27][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13430__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[16][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13478__B (.DIODE(_08198_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13430__A2 (.DIODE(_08089_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13480__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[13][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13430__A3 (.DIODE(_08284_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13480__B (.DIODE(_08326_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13433__B1 (.DIODE(_08147_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13482__A (.DIODE(_08140_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13435__A2 (.DIODE(_08277_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13483__B (.DIODE(_08328_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13435__A3 (.DIODE(_08290_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13486__C (.DIODE(_08332_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13435__B1 (.DIODE(_08120_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13490__A2 (.DIODE(_08335_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13436__A2 (.DIODE(_08066_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13490__B1 (.DIODE(_08336_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13436__B1 (.DIODE(_08194_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13493__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[14][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13436__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[15][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13493__B (.DIODE(_07968_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13437__B1 (.DIODE(_08173_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13494__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[62][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13442__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[38][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13494__A2 (.DIODE(_08339_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13443__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[62][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13497__C (.DIODE(_08223_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13443__B1 (.DIODE(_08188_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13498__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[63][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13443__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[49][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13498__A2 (.DIODE(_07987_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13445__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[11][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13500__A3 (.DIODE(_08346_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13445__A3 (.DIODE(_08190_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13500__B1 (.DIODE(_08121_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13447__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[8][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13502__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[51][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13447__A3 (.DIODE(_08251_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13502__B1 (.DIODE(_08348_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13447__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[31][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13502__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[34][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13448__A2 (.DIODE(_08007_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13505__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[50][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13448__B1 (.DIODE(_08046_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13506__A2 (.DIODE(_08350_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13452__C (.DIODE(_08307_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13506__A3 (.DIODE(_08351_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13453__A (.DIODE(_07964_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13509__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[26][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13454__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[48][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13509__A2 (.DIODE(_08176_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13456__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[43][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13509__B1 (.DIODE(_08355_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13456__A2 (.DIODE(_08305_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13510__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[25][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13458__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[45][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13511__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[55][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13458__A3 (.DIODE(_08149_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13513__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[11][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13458__B1 (.DIODE(_08151_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13513__B (.DIODE(_08260_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13460__A3 (.DIODE(_08315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13515__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[29][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13460__B1 (.DIODE(_08054_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13515__C (.DIODE(_08361_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13462__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[42][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13516__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[35][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13462__A2 (.DIODE(_08272_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13516__A2 (.DIODE(_08165_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13462__A3 (.DIODE(_08317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13519__B2 (.DIODE(_08365_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13462__B1 (.DIODE(_08064_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13521__A2 (.DIODE(_08366_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13465__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[10][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13526__A2 (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13465__A2 (.DIODE(_08319_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13537__A (.DIODE(_08218_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13465__A3 (.DIODE(_08320_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13540__A (.DIODE(_08385_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13465__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[35][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13541__B (.DIODE(_08110_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13468__A2 (.DIODE(_07969_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13543__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[39][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13470__A2 (.DIODE(_08324_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13543__A2 (.DIODE(_08388_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13472__A1 (.DIODE(\u_usb_host.u_core.u_sie.token_q[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13543__B1 (.DIODE(_08176_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13475__A2 (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13543__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[26][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13480__B1 (.DIODE(_08335_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13544__A2 (.DIODE(_08382_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13487__A (.DIODE(_08254_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13545__A3 (.DIODE(_08096_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13491__B (.DIODE(_08343_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13545__B1 (.DIODE(_08112_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13491__C (.DIODE(_08345_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13546__A3 (.DIODE(_08346_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13494__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[39][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13547__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[5][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13494__A2 (.DIODE(_08348_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13549__C (.DIODE(_08394_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13494__B1 (.DIODE(_08151_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13550__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[14][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13494__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[26][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13551__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[46][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13495__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[44][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13554__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[4][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13495__A2 (.DIODE(_08341_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13554__B (.DIODE(_08291_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13495__A3 (.DIODE(_08342_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13554__C (.DIODE(_08399_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13497__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[42][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13555__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[13][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13497__A3 (.DIODE(_08279_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13556__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[10][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13497__B1 (.DIODE(_08255_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13556__B (.DIODE(_08148_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13498__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[46][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13556__C (.DIODE(_08223_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13501__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[5][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13557__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[9][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13501__C (.DIODE(_08012_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13557__A2 (.DIODE(_08138_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13502__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[14][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13559__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[25][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13506__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[4][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13561__A (.DIODE(_08271_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13507__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[9][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13563__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[8][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13508__B1 (.DIODE(_08064_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13563__A3 (.DIODE(_08407_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13513__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[8][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13563__B1 (.DIODE(_08408_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13513__B1 (.DIODE(_08367_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13563__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[61][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13514__A2 (.DIODE(_08365_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13566__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[51][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13514__B1 (.DIODE(_08366_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13566__B (.DIODE(_08411_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13514__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[2][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13567__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[50][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13515__C (.DIODE(_08017_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13568__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[53][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13516__C (.DIODE(_08156_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13568__C (.DIODE(_08045_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13519__A (.DIODE(_07965_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13571__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[47][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13521__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[16][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13571__B (.DIODE(_08416_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13521__A3 (.DIODE(_07993_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13571__C (.DIODE(_08177_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13521__B1 (.DIODE(_08375_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13572__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[37][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13521__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[48][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13572__B (.DIODE(_08302_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13522__A2 (.DIODE(_08272_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13572__C (.DIODE(_08304_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13522__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[37][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13573__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[16][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13523__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[6][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13574__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[48][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13525__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[17][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13575__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[6][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13525__B (.DIODE(_08379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13575__A2 (.DIODE(_08080_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13525__C (.DIODE(_08307_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13575__A3 (.DIODE(_08385_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13526__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[12][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13576__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[12][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13526__C (.DIODE(_08125_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13576__A2 (.DIODE(_08265_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13531__A (.DIODE(_08385_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13576__A3 (.DIODE(_08293_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13534__A (.DIODE(_08269_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13576__B1 (.DIODE(_08266_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13535__B (.DIODE(_08066_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13579__A (.DIODE(_08411_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13536__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[63][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13583__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[63][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13537__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[31][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13583__A2 (.DIODE(_08426_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13537__A2 (.DIODE(_08386_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13584__A (.DIODE(_08399_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13537__B1 (.DIODE(_08387_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13586__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[52][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13538__A2 (.DIODE(_08147_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13586__A2 (.DIODE(_08339_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13538__B1 (.DIODE(_08173_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13586__A3 (.DIODE(_08431_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13539__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[11][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13586__B1 (.DIODE(_08179_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13541__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[7][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13586__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[31][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13541__B (.DIODE(_08015_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13589__A (.DIODE(_08434_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13541__C (.DIODE(_07977_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13590__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[27][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13542__A2 (.DIODE(_08120_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13590__A2 (.DIODE(_08435_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13543__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[3][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13590__A3 (.DIODE(_08118_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13543__C (.DIODE(_08017_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13591__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[11][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13545__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[45][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13591__B (.DIODE(_08326_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13545__B (.DIODE(_08113_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13591__C (.DIODE(_08332_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13546__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[49][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13593__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[7][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13546__A2 (.DIODE(_08188_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13593__B (.DIODE(_08291_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13547__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[35][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13594__C (.DIODE(_08332_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13549__A (.DIODE(_08305_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13595__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[1][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13554__B (.DIODE(_08407_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13596__B (.DIODE(_08416_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13554__C (.DIODE(_08408_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13598__B (.DIODE(_08214_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13555__A3 (.DIODE(_08406_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13598__C (.DIODE(_08443_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13556__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[43][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13600__B (.DIODE(_08445_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13556__B1 (.DIODE(_08405_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13601__A2 (.DIODE(_08121_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13556__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[15][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13602__B1 (.DIODE(_08165_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13557__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[36][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13603__B (.DIODE(_08441_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13558__A2 (.DIODE(_08089_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13604__A (.DIODE(_08336_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13559__C (.DIODE(_08012_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13609__A2 (.DIODE(_08431_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13560__C (.DIODE(_08109_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13609__A3 (.DIODE(_08452_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13561__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[34][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13610__A2 (.DIODE(_08450_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13562__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[55][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13610__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[15][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13562__A2 (.DIODE(_08007_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13611__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[36][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13563__A2 (.DIODE(_08284_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13611__A2 (.DIODE(_08067_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13563__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[62][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13611__A3 (.DIODE(_08277_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13564__C (.DIODE(_08264_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13611__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[32][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13567__D1 (.DIODE(_08077_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13612__C (.DIODE(_08031_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13570__A2 (.DIODE(_07969_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13613__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[28][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13571__B2 (.DIODE(_08425_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13613__B (.DIODE(_08309_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13572__A1 (.DIODE(\u_usb_host.u_core.u_sie.token_q[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13613__C (.DIODE(_08434_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13574__A2 (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13614__B (.DIODE(_08045_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13583__A (.DIODE(_08147_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13614__C (.DIODE(_08269_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13584__B1 (.DIODE(_08437_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13615__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[34][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13585__A2 (.DIODE(_08255_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13615__A2 (.DIODE(_08348_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13587__B (.DIODE(_08379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13617__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[62][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13590__A2 (.DIODE(_08095_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13618__A3 (.DIODE(_08351_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13592__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[48][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13619__B (.DIODE(_08220_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13593__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[4][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13619__C (.DIODE(_08215_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13593__B (.DIODE(_08015_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13620__B (.DIODE(_08269_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13595__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[10][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13621__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[30][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13596__A2 (.DIODE(_08054_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13621__A2 (.DIODE(_08278_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13598__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[11][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13625__B2 (.DIODE(_08470_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13599__A (.DIODE(_08038_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13628__A2 (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13600__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[45][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13629__A1 (.DIODE(\u_usb_host.u_core.u_sie.crc_out_w[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13600__A3 (.DIODE(_08453_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13637__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[11][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13600__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[35][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13637__A2 (.DIODE(_08209_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13603__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[47][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13640__B1 (.DIODE(_08145_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13603__A2 (.DIODE(_08456_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13640__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[30][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13603__A3 (.DIODE(_08269_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13643__B (.DIODE(_08204_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13603__B1 (.DIODE(_08194_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13644__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[12][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13603__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[15][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13644__A3 (.DIODE(_08107_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13604__A (.DIODE(_08379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13645__A2 (.DIODE(_08450_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13605__A2 (.DIODE(_08458_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13645__B1 (.DIODE(_08487_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13605__A3 (.DIODE(_08408_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13645__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[2][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13605__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[37][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13647__A3 (.DIODE(_08072_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13607__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[34][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13647__B1 (.DIODE(_08122_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13607__B1 (.DIODE(_08077_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13647__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[49][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13608__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[42][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13649__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[62][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13608__A3 (.DIODE(_08317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13649__A3 (.DIODE(_08203_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13610__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[19][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13649__B1 (.DIODE(_08493_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13610__A3 (.DIODE(_08315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13651__A (.DIODE(_08351_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13610__B1 (.DIODE(_08191_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13653__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[50][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13611__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[5][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13653__B (.DIODE(_08339_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13612__A2 (.DIODE(_08164_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13653__C (.DIODE(_08497_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13613__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[8][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13654__A3 (.DIODE(_08431_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13614__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[46][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13654__B1 (.DIODE(_08408_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13614__A3 (.DIODE(_08171_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13655__A2 (.DIODE(_08350_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13615__A2 (.DIODE(_08284_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13655__A3 (.DIODE(_08496_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13615__A3 (.DIODE(_08126_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13657__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[46][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13615__B1 (.DIODE(_08367_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13657__B (.DIODE(_08198_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13615__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[61][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13659__B (.DIODE(_08503_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13618__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[3][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13660__B (.DIODE(_08302_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13618__A2 (.DIODE(_08319_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13661__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[37][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13618__B1 (.DIODE(_08305_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13661__A2 (.DIODE(_08008_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13618__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[43][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13662__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[7][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13620__C (.DIODE(_08264_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13663__C (.DIODE(_08057_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13621__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[39][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13664__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[8][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13621__B (.DIODE(_07977_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13664__C (.DIODE(_08394_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13626__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[44][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13665__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[6][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13626__C (.DIODE(_08125_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13665__B (.DIODE(_08181_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13627__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[7][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13667__B (.DIODE(_08304_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13628__A2 (.DIODE(_08007_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13667__C (.DIODE(_08328_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13629__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[6][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13669__B (.DIODE(_08328_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13629__A2 (.DIODE(_08319_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13669__C (.DIODE(_08513_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13629__A3 (.DIODE(_08343_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13670__B (.DIODE(_08305_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13629__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[14][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13672__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[48][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13630__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[36][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13672__C (.DIODE(_08214_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13630__A3 (.DIODE(_07999_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13673__B (.DIODE(_08218_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13633__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[12][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13673__C (.DIODE(_08305_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13633__A3 (.DIODE(_08254_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13674__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[1][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13633__B1 (.DIODE(_08486_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13674__B (.DIODE(_08445_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13633__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[41][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13675__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[34][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13635__A2 (.DIODE(_07978_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13675__A2 (.DIODE(_08348_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13635__A3 (.DIODE(_08345_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13677__C (.DIODE(_08074_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13635__B1 (.DIODE(_08488_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13678__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[36][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13635__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[62][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13678__B (.DIODE(_08503_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13636__C (.DIODE(_08279_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13678__C (.DIODE(_08399_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13637__C (.DIODE(_08042_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13679__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[53][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13639__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[49][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13679__C (.DIODE(_08304_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13640__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[31][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13682__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[56][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13640__A2 (.DIODE(_08385_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13682__C (.DIODE(_08394_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13642__C (.DIODE(_08139_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13683__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[14][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13643__C (.DIODE(_08307_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13684__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[55][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13644__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[16][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13684__B (.DIODE(_08060_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13645__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[2][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13686__B (.DIODE(_08503_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13646__A3 (.DIODE(_08453_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13686__C (.DIODE(_08513_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13646__B1 (.DIODE(_08051_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13687__B (.DIODE(_08416_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13646__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[9][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13688__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[9][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13648__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[40][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13689__A2 (.DIODE(_08094_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13648__A2 (.DIODE(_08501_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13690__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[13][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13648__B1 (.DIODE(_08046_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13690__B (.DIODE(_08291_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13651__A2 (.DIODE(_07969_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13691__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[10][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13652__B1 (.DIODE(_08505_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13691__C (.DIODE(_08443_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13654__A1 (.DIODE(\u_usb_host.u_core.u_sie.token_q[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13692__C (.DIODE(_08332_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13655__A2 (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13693__A2 (.DIODE(_08266_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13656__A1 (.DIODE(\u_usb_host.u_core.u_sie.crc_out_w[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13696__B (.DIODE(_08292_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13658__B1 (.DIODE(_08335_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13697__A2 (.DIODE(_08112_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13660__A (.DIODE(_08272_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13698__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[15][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13661__A3 (.DIODE(_08171_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13698__C (.DIODE(_08221_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13661__B1 (.DIODE(_08486_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13699__C (.DIODE(_08129_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13662__A (.DIODE(_08188_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13700__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[16][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13663__A (.DIODE(_08191_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13700__C (.DIODE(_08049_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13664__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[49][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13702__A (.DIODE(_08540_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13664__A2 (.DIODE(_08515_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13704__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[52][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13665__C (.DIODE(_08456_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13704__B (.DIODE(_08548_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13666__B (.DIODE(_08277_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13705__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[47][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13666__C (.DIODE(_08083_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13706__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[35][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13667__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[8][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13706__C (.DIODE(_08193_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13667__B (.DIODE(_08258_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13708__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[63][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13667__C (.DIODE(_08251_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13708__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[51][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13668__A2 (.DIODE(_08367_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13709__A3 (.DIODE(_08107_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13670__C (.DIODE(_08199_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13709__B1 (.DIODE(_08179_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13672__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[36][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13709__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[31][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13672__C (.DIODE(_08199_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13713__B1 (.DIODE(_08557_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13673__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[6][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13716__A2 (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13674__C (.DIODE(_08407_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13717__A1 (.DIODE(\u_usb_host.u_core.u_sie.crc_out_w[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13676__A (.DIODE(_08268_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13721__A (.DIODE(_08008_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13678__B (.DIODE(_08235_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13724__A2 (.DIODE(_08382_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13678__C (.DIODE(_08284_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13724__A3 (.DIODE(_08566_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13679__A3 (.DIODE(_08530_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13724__B1 (.DIODE(_08567_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13680__A (.DIODE(_08345_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13725__B1 (.DIODE(_08166_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13681__A (.DIODE(_08126_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13726__A (.DIODE(_08018_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13682__A2 (.DIODE(_08533_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13728__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[14][4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13682__B1 (.DIODE(_08387_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13728__A3 (.DIODE(_08566_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13685__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[47][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13729__B1 (.DIODE(_08113_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13685__B1 (.DIODE(_08405_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13730__A (.DIODE(_08176_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13685__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[15][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13732__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[50][4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13686__A2 (.DIODE(_08488_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13732__A3 (.DIODE(_08497_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13686__B1 (.DIODE(_08174_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13732__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[15][4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13687__A (.DIODE(_08317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13733__A2 (.DIODE(_08450_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13689__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[42][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13736__A (.DIODE(_08138_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13690__A (.DIODE(_08068_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13737__A (.DIODE(_08211_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13691__A (.DIODE(_07999_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13738__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[9][4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13692__B (.DIODE(_07993_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13738__B1 (.DIODE(_08581_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13692__C (.DIODE(_08290_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13739__A2 (.DIODE(_08578_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13693__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[20][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13739__B1 (.DIODE(_08579_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13696__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[44][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13739__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[31][4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13696__B (.DIODE(_08501_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13740__A (.DIODE(_08569_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13696__C (.DIODE(_08254_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13741__A (.DIODE(_08080_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13697__A2 (.DIODE(_08533_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13742__A (.DIODE(_08407_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13698__A (.DIODE(_08255_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13743__B (.DIODE(_08265_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13700__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[37][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13744__A (.DIODE(_08193_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13702__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[3][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13745__B (.DIODE(_08260_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13702__B (.DIODE(_08233_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13747__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[8][4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13702__C (.DIODE(_08315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13748__C (.DIODE(_08059_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13703__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[45][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13749__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[62][4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13703__C (.DIODE(_08149_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13749__B1 (.DIODE(_08278_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13704__B (.DIODE(_08501_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13749__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[30][4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13704__C (.DIODE(_08251_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13750__A3 (.DIODE(_08350_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13705__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[35][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13751__B (.DIODE(_08067_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13709__A2 (.DIODE(_08365_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13751__C (.DIODE(_08361_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13709__B1 (.DIODE(_08561_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13752__A3 (.DIODE(_08107_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13709__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[14][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13752__B1 (.DIODE(_08168_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13710__A (.DIODE(_08258_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13753__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[40][4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13712__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[11][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13753__A2 (.DIODE(_08382_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13712__A3 (.DIODE(_08530_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13754__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[6][4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13712__B1 (.DIODE(_08564_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13754__C (.DIODE(_08142_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13713__A (.DIODE(_08066_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13755__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[52][4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13714__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[39][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13756__A2 (.DIODE(_08496_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13714__B1 (.DIODE(_08366_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13758__A2 (.DIODE(_08277_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13715__A (.DIODE(_08151_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13758__A3 (.DIODE(_08351_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13716__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[5][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13758__B1 (.DIODE(_08013_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13716__A3 (.DIODE(_08043_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13759__A2 (.DIODE(_08282_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13718__A3 (.DIODE(_08320_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13759__B1 (.DIODE(_08487_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13718__B1 (.DIODE(_08437_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13760__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[10][4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13721__A (.DIODE(_08051_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13760__B (.DIODE(_08260_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13722__A3 (.DIODE(_08406_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13761__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[36][4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13722__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[9][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13762__A2 (.DIODE(_08335_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13723__A (.DIODE(_08319_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13762__B1 (.DIODE(_08355_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13724__B (.DIODE(_08268_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13764__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[11][4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13724__C (.DIODE(_08315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13764__B (.DIODE(_08265_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13725__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[12][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13764__C (.DIODE(_08118_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13727__A (.DIODE(_08017_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13765__B (.DIODE(_08055_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13728__B (.DIODE(_08458_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13766__A3 (.DIODE(_08072_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13728__C (.DIODE(_08580_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13767__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[63][4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13729__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[34][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13767__A3 (.DIODE(_08607_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13730__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[58][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13768__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[41][4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13730__B (.DIODE(_08164_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13768__C (.DIODE(_08129_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13730__C (.DIODE(_08317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13771__A2 (.DIODE(_08614_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13731__A2 (.DIODE(_08167_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13771__B1 (.DIODE(_08388_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13733__B1 (.DIODE(_08096_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13771__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[39][4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13734__A (.DIODE(_08043_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13775__A (.DIODE(_07993_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13735__B1 (.DIODE(_08386_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13776__A3 (.DIODE(_08619_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13735__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[31][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13776__B1 (.DIODE(_08122_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13737__B (.DIODE(_08268_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13777__B (.DIODE(_08110_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13737__C (.DIODE(_08269_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13777__C (.DIODE(_08142_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13738__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[13][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13778__A (.DIODE(_08096_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13738__B (.DIODE(_08233_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13779__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[13][4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13738__C (.DIODE(_08149_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13779__B (.DIODE(_08204_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13739__B (.DIODE(_08235_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13779__C (.DIODE(_08361_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13739__C (.DIODE(_08164_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13780__A2 (.DIODE(_08339_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13740__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[43][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13781__A2 (.DIODE(_08496_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13741__A (.DIODE(_08046_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13782__A (.DIODE(_08540_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13742__B1 (.DIODE(_08375_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13784__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[53][4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13742__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[48][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13784__C (.DIODE(_08281_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13743__A (.DIODE(_08348_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13785__A2 (.DIODE(_08382_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13744__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[7][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13785__A3 (.DIODE(_08607_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13744__A3 (.DIODE(_08596_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13786__A (.DIODE(_08326_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13744__B1 (.DIODE(_08121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13787__A (.DIODE(_08630_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13749__A2 (.DIODE(_08601_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13788__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[12][4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13753__A2 (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13789__A3 (.DIODE(_08619_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13755__B1 (.DIODE(\u_usb_host.u_core.u_sie.crc_out_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13791__A (.DIODE(_08435_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13757__A1 (.DIODE(\u_usb_host.u_core.u_sie.utmi_data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13792__A (.DIODE(_08214_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13759__A1 (.DIODE(\u_usb_host.u_core.u_sie.utmi_data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13793__A (.DIODE(_08074_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13762__C (.DIODE(_08170_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13795__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[16][4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13764__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[35][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13796__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[38][4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13764__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[37][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13796__A2 (.DIODE(_08493_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13765__A2 (.DIODE(_08365_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13800__A2 (.DIODE(_08643_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13765__B1 (.DIODE(_08561_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13804__A2 (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13765__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[14][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13804__C1 (.DIODE(_08647_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13767__B1 (.DIODE(_08194_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13805__B (.DIODE(_08647_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13767__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[15][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13812__A1 (.DIODE(\u_usb_host.u_core.u_sie.utmi_data_i[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13768__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[43][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13814__A (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13769__A2 (.DIODE(_08385_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13815__A (.DIODE(_08302_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13769__B1 (.DIODE(_08437_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13817__A2 (.DIODE(_08659_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13770__A2 (.DIODE(_08003_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13817__A3 (.DIODE(_08203_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13770__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[9][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13817__B1 (.DIODE(_08567_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13772__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[3][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13818__B1 (.DIODE(_08166_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13772__B (.DIODE(_08015_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13819__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[14][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13773__B (.DIODE(_08379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13819__A3 (.DIODE(_08566_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13776__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[8][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13820__B1 (.DIODE(_08113_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13779__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[33][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13821__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[50][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13779__C (.DIODE(_08307_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13821__A3 (.DIODE(_08497_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13781__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[45][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13821__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[15][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13781__C (.DIODE(_08038_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13822__A2 (.DIODE(_08450_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13783__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[44][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13823__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[57][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13784__A2 (.DIODE(_08095_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13823__A2 (.DIODE(_08578_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13785__C (.DIODE(_08159_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13823__B1 (.DIODE(_08579_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13786__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[6][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13823__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[31][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13787__B (.DIODE(_08199_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13824__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[9][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13790__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[34][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13824__B1 (.DIODE(_08581_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13790__B (.DIODE(_08113_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13824__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[54][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13790__C (.DIODE(_08156_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13825__A (.DIODE(_08661_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13791__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[2][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13826__C (.DIODE(_08007_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13793__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[48][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13827__C (.DIODE(_08399_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13795__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[36][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13828__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[8][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13797__A2 (.DIODE(_08120_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13828__C (.DIODE(_08513_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13799__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[42][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13829__B (.DIODE(_08503_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13803__C (.DIODE(_08125_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13831__B (.DIODE(_08445_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13804__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[39][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13832__C (.DIODE(_08049_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13806__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[41][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13833__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[62][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13806__A2 (.DIODE(_08064_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13833__B (.DIODE(_08548_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13809__B1 (.DIODE(_08515_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13834__A2 (.DIODE(_08278_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13809__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[49][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13835__B (.DIODE(_08220_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13811__B (.DIODE(_08107_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13835__C (.DIODE(_08194_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13813__C (.DIODE(_08264_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13836__C (.DIODE(_08309_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13816__B1 (.DIODE(_08564_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13837__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[40][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13817__C (.DIODE(_08042_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13837__B (.DIODE(_08066_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13820__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[7][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13837__C (.DIODE(_08271_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13820__A3 (.DIODE(_08348_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13838__A2 (.DIODE(_08266_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13821__C (.DIODE(_08159_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13839__B (.DIODE(_08037_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13822__A3 (.DIODE(_08345_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13840__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[52][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13827__A2 (.DIODE(_08677_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13841__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[6][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13828__A2 (.DIODE(_08613_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13841__B (.DIODE(_08264_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13829__A1 (.DIODE(\u_usb_host.u_core.u_sie.crc_out_w[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13842__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[61][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13830__A1 (.DIODE(_08612_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13844__C (.DIODE(_08434_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13831__B1 (.DIODE(_08335_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13845__B (.DIODE(_08148_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13831__B2 (.DIODE(\u_usb_host.u_core.u_sie.utmi_data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13846__B (.DIODE(_07980_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13833__A (.DIODE(_08068_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13846__C (.DIODE(_08548_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13836__B1 (.DIODE(_08387_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13847__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[34][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13837__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[8][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13847__A2 (.DIODE(_08348_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13837__B1 (.DIODE(_08174_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13848__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[10][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13838__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[45][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13848__B (.DIODE(_08445_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13838__B (.DIODE(_08341_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13848__C (.DIODE(_08443_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13838__C (.DIODE(_08453_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13849__C (.DIODE(_08090_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13839__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[11][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13850__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[36][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13839__A3 (.DIODE(_08530_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13852__B (.DIODE(_08007_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13840__A2 (.DIODE(_08367_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13853__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[11][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13840__B1 (.DIODE(_08167_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13853__B (.DIODE(_08326_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13842__A (.DIODE(_08113_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13853__C (.DIODE(_08074_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13845__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[36][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13854__B (.DIODE(_08198_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13845__B1 (.DIODE(_08003_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13855__B (.DIODE(_08411_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13846__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[12][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13855__C (.DIODE(_08177_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13846__A3 (.DIODE(_08342_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13857__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[41][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13846__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[43][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13857__C (.DIODE(_08129_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13847__B1 (.DIODE(_08365_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13860__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[39][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13848__A (.DIODE(_08058_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13860__A2 (.DIODE(_08094_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13850__B (.DIODE(_08343_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13862__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[56][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13854__B (.DIODE(_08458_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13862__C (.DIODE(_08084_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13854__C (.DIODE(_08580_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13863__A3 (.DIODE(_07993_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13856__A (.DIODE(_07972_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13863__B1 (.DIODE(_08121_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13857__C (.DIODE(_08170_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13864__B (.DIODE(_08305_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13858__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[10][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13864__C (.DIODE(_08513_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13860__A (.DIODE(_08580_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13865__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[58][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13861__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[6][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13865__B (.DIODE(_08411_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13861__B (.DIODE(_07972_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13865__C (.DIODE(_08443_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13861__C (.DIODE(_08235_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13866__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[13][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13864__A2 (.DIODE(_08486_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13867__B (.DIODE(_08434_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13864__B1 (.DIODE(_08375_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13869__A (.DIODE(_08540_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13865__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[16][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13870__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[53][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13866__A2 (.DIODE(_08533_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13870__B (.DIODE(_08085_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13868__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[44][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13870__C (.DIODE(_08045_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13868__A3 (.DIODE(_08342_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13871__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[47][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13872__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[4][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13871__B (.DIODE(_08090_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13873__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[37][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13871__C (.DIODE(_08221_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13875__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[7][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13872__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[7][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13875__C (.DIODE(_08596_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13873__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[12][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13876__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[34][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13875__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[38][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13876__B (.DIODE(_08501_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13875__A2 (.DIODE(_08493_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13877__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[40][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13877__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[16][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13877__A2 (.DIODE(_08341_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13882__A2 (.DIODE(_08723_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13878__A3 (.DIODE(_08725_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13883__A2 (.DIODE(_08657_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13880__C (.DIODE(_08190_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13888__B (.DIODE(_08264_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13881__A3 (.DIODE(_08341_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13889__B (.DIODE(_08193_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13882__A2 (.DIODE(_08596_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13889__C (.DIODE(_08101_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13882__A3 (.DIODE(_08533_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13890__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[14][6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13884__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[9][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13890__B (.DIODE(_07968_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13884__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[35][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13891__A2 (.DIODE(_08165_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13885__A2 (.DIODE(_08121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13892__B1 (.DIODE(_08581_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13887__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[42][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13893__B (.DIODE(_08630_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13887__C (.DIODE(_08320_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13894__A3 (.DIODE(_08614_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13888__A3 (.DIODE(_08406_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13896__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[46][6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13889__A2 (.DIODE(_08096_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13896__A3 (.DIODE(_08203_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13889__B1 (.DIODE(_08437_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13896__B1 (.DIODE(_08408_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13890__B1 (.DIODE(_08386_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13897__A2 (.DIODE(_08122_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13891__A2 (.DIODE(_08488_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13897__B1 (.DIODE(_08168_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13891__B1 (.DIODE(_08561_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13898__B (.DIODE(_08055_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13893__A3 (.DIODE(_08725_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13900__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[36][6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13893__B1 (.DIODE(_08564_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13901__A2 (.DIODE(_08335_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13894__A3 (.DIODE(_08342_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13903__A3 (.DIODE(_08210_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13895__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[49][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13903__B1 (.DIODE(_08112_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13895__A2 (.DIODE(_08515_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13904__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[41][6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13895__B1 (.DIODE(_08405_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13904__A3 (.DIODE(_08346_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13895__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[15][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13904__B1 (.DIODE(_08388_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13896__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[13][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13904__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[39][6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13896__A3 (.DIODE(_08453_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13905__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[31][6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13896__B1 (.DIODE(_08366_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13905__A2 (.DIODE(_08179_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13900__B (.DIODE(_08750_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13906__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[9][6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13903__A2 (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13908__A2 (.DIODE(_08426_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13904__B1 (.DIODE(\u_usb_host.u_core.u_sie.crc_sum_q[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13911__A (.DIODE(_08394_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13905__A1 (.DIODE(_08683_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13912__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[40][6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13907__B1 (.DIODE(\u_usb_host.u_core.u_sie.utmi_data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13914__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[34][6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13909__C (.DIODE(_08139_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13914__A2 (.DIODE(_08013_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13910__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[20][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13917__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[52][6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13910__B (.DIODE(_08407_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13918__A2 (.DIODE(_08350_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13910__C (.DIODE(_08408_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13918__A3 (.DIODE(_08452_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13912__C (.DIODE(_08408_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13919__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[6][6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13913__A2 (.DIODE(_08375_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13919__B1 (.DIODE(_08567_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13914__A3 (.DIODE(_08406_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13919__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[51][6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13914__B1 (.DIODE(_08096_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13920__B (.DIODE(_08031_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13915__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[19][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13920__C (.DIODE(_08049_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13917__A2 (.DIODE(_08054_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13921__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[7][6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13917__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[37][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13921__B (.DIODE(_08148_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13918__C (.DIODE(_08580_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13921__C (.DIODE(_08031_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13920__C (.DIODE(_08083_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13922__B (.DIODE(_08090_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13921__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[8][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13922__C (.DIODE(_08309_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13922__B1 (.DIODE(_08167_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13923__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[8][6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13924__C (.DIODE(_08407_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13926__A2 (.DIODE(_08452_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13925__A2 (.DIODE(_08348_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13926__B1 (.DIODE(_08355_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13926__B (.DIODE(_08058_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13927__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[13][6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13926__C (.DIODE(_08290_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13927__A3 (.DIODE(_08210_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13927__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[11][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13927__B1 (.DIODE(_08578_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13927__A3 (.DIODE(_08190_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13927__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[57][6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13928__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[31][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13928__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[62][6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13928__A2 (.DIODE(_08385_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13930__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[50][6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13929__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[18][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13931__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[38][6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13929__A2 (.DIODE(_08564_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13931__A2 (.DIODE(_08493_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13929__B1 (.DIODE(_08366_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13933__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[12][6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13931__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[44][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13933__B (.DIODE(_08630_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13932__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[16][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13934__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[16][6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13932__C (.DIODE(_08068_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13934__A3 (.DIODE(_08452_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13933__B (.DIODE(_08277_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13935__A2 (.DIODE(_08426_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13933__C (.DIODE(_08260_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13935__A3 (.DIODE(_08407_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13934__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[35][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13935__B1 (.DIODE(_08282_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13935__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[12][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13936__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[10][6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13935__B1 (.DIODE(_08515_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13936__B (.DIODE(_08030_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13936__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[36][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13936__C (.DIODE(_08223_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13936__A3 (.DIODE(_07999_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13937__B (.DIODE(_08269_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13939__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[13][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13937__C (.DIODE(_08215_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13939__C (.DIODE(_08260_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13938__B (.DIODE(_08548_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13940__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[42][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13938__C (.DIODE(_08221_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13940__C (.DIODE(_08279_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13941__B (.DIODE(_08777_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13941__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[40][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13942__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[11][6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13941__C (.DIODE(_08083_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13942__A2 (.DIODE(_08209_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13942__A2 (.DIODE(_08121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13943__B1 (.DIODE(_08145_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13943__B (.DIODE(_07978_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13944__A2 (.DIODE(_08336_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13943__C (.DIODE(_08458_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13944__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[15][6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13944__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[7][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13945__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[53][6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13945__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[10][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13945__A2 (.DIODE(_08426_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13947__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[9][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13945__A3 (.DIODE(_08281_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13948__A3 (.DIODE(_08171_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13945__B1 (.DIODE(_08487_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13948__B1 (.DIODE(_08174_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13949__A2 (.DIODE(_08790_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13949__C (.DIODE(_08290_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13952__A2 (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13950__B (.DIODE(_08058_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13956__B1 (.DIODE(\u_usb_host.u_core.u_sie.utmi_data_i[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13950__C (.DIODE(_08049_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13959__A3 (.DIODE(_08614_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13951__C (.DIODE(_08456_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13960__A2 (.DIODE(_08659_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13952__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[14][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13960__A3 (.DIODE(_08210_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13952__A2 (.DIODE(_08561_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13961__A (.DIODE(_08416_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13954__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[45][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13962__B (.DIODE(_08802_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13954__C (.DIODE(_08260_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13963__B (.DIODE(_08802_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13956__A3 (.DIODE(_08043_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13963__C (.DIODE(_08101_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13956__B1 (.DIODE(_08405_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13964__A (.DIODE(_08328_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13956__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[15][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13965__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[16][7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13957__B1 (.DIODE(_08488_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13965__B (.DIODE(_07980_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13958__B1 (.DIODE(_08305_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13968__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[13][7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13958__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[43][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13968__B (.DIODE(_08630_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13960__A2 (.DIODE(_08003_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13968__C (.DIODE(_08361_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13961__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[6][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13970__C (.DIODE(_08096_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13961__A3 (.DIODE(_08343_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13971__A2 (.DIODE(_08581_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13961__B1 (.DIODE(_08387_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13972__A2 (.DIODE(_08659_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13962__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[34][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13972__B1 (.DIODE(_08113_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13962__B (.DIODE(_08456_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13975__B (.DIODE(_08802_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13963__A3 (.DIODE(_08530_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13976__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[7][7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13964__A3 (.DIODE(_08320_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13976__A3 (.DIODE(_08614_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13964__B1 (.DIODE(_08486_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13977__B (.DIODE(_08802_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13964__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[41][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13977__C (.DIODE(_08346_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13968__A2 (.DIODE(_08817_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13979__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[31][7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13973__A2 (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13979__A2 (.DIODE(_08579_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13976__A1 (.DIODE(\u_usb_host.u_core.u_sie.crc_sum_q[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13979__B1 (.DIODE(_08540_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13981__A (.DIODE(_07941_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13980__A2 (.DIODE(_08335_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__13994__A (.DIODE(_07941_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13982__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[6][7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14013__B (.DIODE(_06845_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13982__B1 (.DIODE(_08013_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14020__B (.DIODE(_08856_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13982__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[34][7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14024__A (.DIODE(_08860_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13983__A2 (.DIODE(_08145_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14031__B (.DIODE(_08867_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13983__B1 (.DIODE(_08168_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14032__A (.DIODE(_08868_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13984__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[36][7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14033__A (.DIODE(_08869_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13984__C (.DIODE(_08277_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14044__B (.DIODE(_08880_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13985__C (.DIODE(_08435_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14046__A (.DIODE(_08882_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13986__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[50][7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14050__A (.DIODE(_08886_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13986__C (.DIODE(_08497_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14054__B (.DIODE(_08890_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13987__A2 (.DIODE(_08578_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14056__A (.DIODE(_08892_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13990__B (.DIODE(_08292_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14063__A (.DIODE(_08860_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13993__A2 (.DIODE(_08336_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14063__B (.DIODE(_08899_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13994__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[39][7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14065__A (.DIODE(_08901_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13994__A2 (.DIODE(_08388_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14073__A (.DIODE(_08909_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13994__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[15][7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14078__A (.DIODE(_08914_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13995__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[8][7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14081__B (.DIODE(_08917_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13995__A3 (.DIODE(_08407_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14083__A (.DIODE(_08919_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13995__B1 (.DIODE(_08567_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14084__A2 (.DIODE(_08915_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13995__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[51][7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14084__B1 (.DIODE(_08920_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13997__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[12][7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14085__A2 (.DIODE(_08902_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13997__B (.DIODE(_08292_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14085__B1 (.DIODE(_08910_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13997__C (.DIODE(_08293_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14090__A (.DIODE(_08926_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__13999__C (.DIODE(_08385_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14092__A (.DIODE(_08928_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14000__A2 (.DIODE(_08487_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14094__A (.DIODE(_08930_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14001__B (.DIODE(_08435_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14098__A (.DIODE(_08934_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14001__C (.DIODE(_08385_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14099__A2 (.DIODE(_08931_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14002__A2 (.DIODE(_08431_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14099__B1 (.DIODE(_08935_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14003__A2 (.DIODE(_08408_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14100__B (.DIODE(_08867_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14003__B1 (.DIODE(_08355_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14102__A (.DIODE(_08938_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14003__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[1][7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14103__A2 (.DIODE(_08927_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14005__B (.DIODE(_07968_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14103__C1 (.DIODE(_08939_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14006__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[63][7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14106__A (.DIODE(_08928_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14006__C (.DIODE(_08101_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14106__B (.DIODE(_08942_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14007__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[14][7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14108__A (.DIODE(_08944_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14007__B (.DIODE(_08204_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14110__B (.DIODE(_08946_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14010__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[62][7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14112__A (.DIODE(_08948_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14010__B (.DIODE(_07987_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14113__B (.DIODE(_08899_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14011__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[10][7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14115__A (.DIODE(_08951_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14012__A2 (.DIODE(_08659_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14117__A (.DIODE(_08890_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14012__A3 (.DIODE(_08566_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14117__B (.DIODE(_08953_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14012__B1 (.DIODE(_08166_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14119__A (.DIODE(_08955_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14014__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[11][7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14120__A2 (.DIODE(_08952_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14017__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[52][7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14120__B1 (.DIODE(_08956_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14019__A2 (.DIODE(_08282_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14121__A1 (.DIODE(\u_usb_host.u_core.u_fifo_rx.ram[13][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14019__B2 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[9][7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14121__A2 (.DIODE(_08945_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14020__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[53][7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14121__B1 (.DIODE(_08949_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14021__A2 (.DIODE(_08619_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14123__A (.DIODE(_08959_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14021__A3 (.DIODE(_08496_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14124__B (.DIODE(_08946_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14025__B (.DIODE(_08865_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14129__A (.DIODE(_08965_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14030__A2 (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14133__B (.DIODE(_08969_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14067__B1 (.DIODE(_05457_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14138__A (.DIODE(_08974_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14069__B1 (.DIODE(_05459_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14139__A1 (.DIODE(\u_usb_host.u_core.u_fifo_rx.ram[43][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14071__B (.DIODE(_06834_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14139__A2 (.DIODE(_08971_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14079__A (.DIODE(_08903_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14139__B1 (.DIODE(_08975_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14079__B (.DIODE(_08906_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14140__A2 (.DIODE(_08962_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14107__A (.DIODE(_08934_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14140__B1 (.DIODE(_08966_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14111__A (.DIODE(_08938_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14143__B (.DIODE(_08979_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14113__B (.DIODE(_08940_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14145__A (.DIODE(_08981_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14115__A (.DIODE(_08942_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14147__B (.DIODE(_08983_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14116__A2 (.DIODE(_08939_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14149__A (.DIODE(_08985_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14116__B1 (.DIODE(_08943_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14150__A (.DIODE(_08928_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14119__A (.DIODE(_08945_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14150__B (.DIODE(_08953_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14119__B (.DIODE(_08946_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14154__A (.DIODE(_08990_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14121__A (.DIODE(_08948_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14157__A (.DIODE(_08993_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14128__B (.DIODE(_08955_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14158__A2 (.DIODE(_08991_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14130__A (.DIODE(_08957_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14158__B1 (.DIODE(_08994_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14131__B (.DIODE(_08955_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14159__A2 (.DIODE(_08986_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14133__A (.DIODE(_08945_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14159__B1 (.DIODE(_08988_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14135__B (.DIODE(_08962_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14162__B (.DIODE(_08998_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14137__A (.DIODE(_08964_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14163__A (.DIODE(_08999_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14138__A2 (.DIODE(_08960_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14166__A (.DIODE(_09002_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14138__B1 (.DIODE(_08965_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14169__B (.DIODE(_08899_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14139__A2 (.DIODE(_08949_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14171__A2 (.DIODE(_09005_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14139__B1 (.DIODE(_08958_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14171__B1 (.DIODE(_09007_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14144__B (.DIODE(_08971_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14172__A2 (.DIODE(_09000_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14148__A (.DIODE(_08975_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14172__B1 (.DIODE(_09003_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14149__A (.DIODE(_08934_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14173__A (.DIODE(_08979_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14152__B (.DIODE(_08979_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14173__B (.DIODE(_08867_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14154__A (.DIODE(_08981_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14175__B (.DIODE(_08953_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14155__B (.DIODE(_08962_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14176__A (.DIODE(_09012_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14157__A2 (.DIODE(_08982_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14177__A (.DIODE(_09013_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14157__B1 (.DIODE(_08984_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14179__A (.DIODE(_09015_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14158__A1 (.DIODE(\u_usb_host.u_core.u_fifo_rx.ram[43][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14179__B (.DIODE(_08983_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14158__A2 (.DIODE(_08973_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14181__A (.DIODE(_09017_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14158__B1 (.DIODE(_08976_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14182__B (.DIODE(_08953_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14163__B (.DIODE(_08990_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14184__A (.DIODE(_09020_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14166__B (.DIODE(_08993_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14185__A2 (.DIODE(_09018_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14168__A (.DIODE(_08995_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14185__B1 (.DIODE(_09021_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14169__B (.DIODE(_08946_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14186__A2 (.DIODE(_09011_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14171__A (.DIODE(_08998_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14186__B1 (.DIODE(_09014_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14174__B (.DIODE(_09001_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14187__A2 (.DIODE(_08982_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14176__A (.DIODE(_09003_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14188__A (.DIODE(_08959_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14177__A2 (.DIODE(_08999_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14188__B (.DIODE(_08942_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14177__B1 (.DIODE(_09004_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14190__A (.DIODE(_09026_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14178__A2 (.DIODE(_08992_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14194__A (.DIODE(_09030_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14178__B1 (.DIODE(_08996_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14195__B (.DIODE(_08899_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14179__A (.DIODE(_08940_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14197__A (.DIODE(_09033_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14181__B (.DIODE(_09008_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14198__A (.DIODE(_08959_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14183__A (.DIODE(_08945_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14198__B (.DIODE(_08917_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14186__A (.DIODE(_09013_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14200__A (.DIODE(_09036_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14187__A (.DIODE(_08945_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14201__A2 (.DIODE(_09034_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14187__B (.DIODE(_09001_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14201__B1 (.DIODE(_09037_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14189__A (.DIODE(_09016_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14202__A2 (.DIODE(_09027_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14191__B (.DIODE(_09018_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14202__B1 (.DIODE(_09031_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14193__A (.DIODE(_09020_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14205__A (.DIODE(_09041_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14194__A2 (.DIODE(_09017_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14207__B (.DIODE(_08969_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14194__B1 (.DIODE(_09021_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14209__A (.DIODE(_09045_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14195__A2 (.DIODE(_09010_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14212__A (.DIODE(_09048_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14195__B1 (.DIODE(_09014_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14213__A (.DIODE(_08890_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14200__A (.DIODE(_09027_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14215__A2 (.DIODE(_09049_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14201__A (.DIODE(_08934_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14215__B1 (.DIODE(_09051_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14202__B (.DIODE(_08962_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14216__A2 (.DIODE(_09042_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14205__B (.DIODE(_09018_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14216__B1 (.DIODE(_09046_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14207__A (.DIODE(_09034_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14217__A (.DIODE(_08979_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14209__B (.DIODE(_09036_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14217__B (.DIODE(_08942_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14212__B (.DIODE(_09039_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14218__A (.DIODE(_09054_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14214__A2 (.DIODE(_09038_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14221__A (.DIODE(_09057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14214__B1 (.DIODE(_09041_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14222__A (.DIODE(_09015_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14215__A2 (.DIODE(_09031_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14222__B (.DIODE(_08946_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14215__B1 (.DIODE(_09035_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14227__A (.DIODE(_09063_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14219__B (.DIODE(_09046_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14228__A2 (.DIODE(_09060_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14220__A (.DIODE(_09047_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14228__B1 (.DIODE(_09064_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14221__B (.DIODE(_09036_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14229__A2 (.DIODE(_09055_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14223__A (.DIODE(_09050_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14229__B1 (.DIODE(_09058_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14227__B (.DIODE(_09054_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14230__A (.DIODE(_09015_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14229__A2 (.DIODE(_09053_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14232__A (.DIODE(_09068_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14229__B1 (.DIODE(_09056_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14233__A (.DIODE(_08959_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14230__A2 (.DIODE(_09048_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14235__A (.DIODE(_09071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14230__B1 (.DIODE(_09051_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14238__A (.DIODE(_09074_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14231__B (.DIODE(_08979_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14241__A (.DIODE(_09077_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14233__A (.DIODE(_08955_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14242__A2 (.DIODE(_09075_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14235__A (.DIODE(_09062_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14242__B1 (.DIODE(_09078_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14236__B (.DIODE(_09018_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14243__A2 (.DIODE(_09069_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14238__A (.DIODE(_08940_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14243__B1 (.DIODE(_09072_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14238__B (.DIODE(_09039_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14245__B (.DIODE(_08867_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14240__A (.DIODE(_09067_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14246__A (.DIODE(_09082_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14241__A2 (.DIODE(_09065_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14247__A (.DIODE(_08928_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14241__B1 (.DIODE(_09068_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14247__B (.DIODE(_08917_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14242__A2 (.DIODE(_09060_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14249__B (.DIODE(_08998_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14242__B1 (.DIODE(_09063_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14250__A (.DIODE(_08979_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14243__A2 (.DIODE(_09028_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14250__B (.DIODE(_08998_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14244__B (.DIODE(_08993_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14252__A2 (.DIODE(_09086_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14246__B (.DIODE(_09054_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14252__B1 (.DIODE(_09088_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14248__B (.DIODE(_09039_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14253__A2 (.DIODE(_09083_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14250__B (.DIODE(_09054_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14253__B1 (.DIODE(_09085_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14252__A (.DIODE(_09079_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14254__B (.DIODE(_08998_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14253__A2 (.DIODE(_09077_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14255__A (.DIODE(_09091_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14253__B1 (.DIODE(_09080_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14256__B (.DIODE(_08969_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14254__A2 (.DIODE(_09073_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14258__A (.DIODE(_09094_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14254__B1 (.DIODE(_09075_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14261__A (.DIODE(_09097_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14255__B (.DIODE(_08955_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14262__A (.DIODE(_08860_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14257__A (.DIODE(_09084_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14262__B (.DIODE(_08983_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14258__B (.DIODE(_09008_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14264__A (.DIODE(_09100_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14261__B (.DIODE(_09054_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14265__A2 (.DIODE(_09098_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14263__B (.DIODE(_08990_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14265__B1 (.DIODE(_09101_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14265__A (.DIODE(_09092_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14266__A2 (.DIODE(_09092_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14266__A2 (.DIODE(_09090_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14266__B1 (.DIODE(_09095_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14266__B1 (.DIODE(_09093_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14267__A (.DIODE(_08860_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14267__A2 (.DIODE(_09085_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14267__B (.DIODE(_08946_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14267__B1 (.DIODE(_09088_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14269__A (.DIODE(_09105_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14268__B (.DIODE(_09001_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14270__B (.DIODE(_08917_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14270__B (.DIODE(_08971_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14272__A (.DIODE(_09108_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14272__A (.DIODE(_09099_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14273__A (.DIODE(_08890_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14273__B (.DIODE(_08979_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14275__A (.DIODE(_09111_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14274__B (.DIODE(_08979_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14276__B (.DIODE(_08969_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14276__A2 (.DIODE(_09101_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14278__A (.DIODE(_09114_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14276__B1 (.DIODE(_09103_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14279__A2 (.DIODE(_09112_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14277__A2 (.DIODE(_09097_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14279__B1 (.DIODE(_09115_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14277__B1 (.DIODE(_09100_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14280__A2 (.DIODE(_09106_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14278__B (.DIODE(_09036_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14280__B1 (.DIODE(_09109_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14280__B (.DIODE(_09008_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14281__B (.DIODE(_08983_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14282__A (.DIODE(_09109_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14283__A (.DIODE(_09119_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14283__B (.DIODE(_09001_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14286__A (.DIODE(_09122_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14285__A (.DIODE(_08934_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14287__A (.DIODE(_09015_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14287__A (.DIODE(_09114_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14287__B (.DIODE(_08942_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14288__A2 (.DIODE(_09112_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14289__A (.DIODE(_09125_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14288__B1 (.DIODE(_09115_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14292__A2 (.DIODE(_09126_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14289__A2 (.DIODE(_09107_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14292__B1 (.DIODE(_09128_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14289__B1 (.DIODE(_09110_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14293__A2 (.DIODE(_09120_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14291__B (.DIODE(_09046_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14293__B1 (.DIODE(_09123_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14292__A (.DIODE(_09119_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14296__A2 (.DIODE(_08883_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14293__B (.DIODE(_08946_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14296__C1 (.DIODE(_08978_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14295__A (.DIODE(_09122_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14297__C1 (.DIODE(_05661_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14296__B (.DIODE(_09046_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14300__A1 (.DIODE(\u_usb_host.u_core.usb_ctrl_enable_sof_out_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14297__B (.DIODE(_08971_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14311__A2 (.DIODE(_09145_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14299__A2 (.DIODE(_09124_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14313__A (.DIODE(_09134_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14299__B1 (.DIODE(_09126_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14314__B2 (.DIODE(_09150_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14300__A2 (.DIODE(_09120_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14317__A2 (.DIODE(_09145_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14300__B1 (.DIODE(_09123_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14319__A2 (.DIODE(_08883_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14301__B (.DIODE(_08962_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14321__A2 (.DIODE(_08927_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14302__A (.DIODE(_09129_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14321__B1 (.DIODE(_09156_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14303__B (.DIODE(_09036_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14322__A2 (.DIODE(_08975_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14305__B (.DIODE(_08946_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14322__B1 (.DIODE(_09007_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14307__B (.DIODE(_09008_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14323__A (.DIODE(_09055_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14309__A2 (.DIODE(_09134_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14325__A2 (.DIODE(_09160_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14309__B1 (.DIODE(_09136_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14325__B1 (.DIODE(_09068_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14310__A2 (.DIODE(_09130_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14326__A2 (.DIODE(_09159_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14310__B1 (.DIODE(_09132_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14326__C1 (.DIODE(_08939_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14310__B2 (.DIODE(\u_usb_host.u_core.u_fifo_rx.ram[35][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14327__A (.DIODE(_09128_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14311__B (.DIODE(_08993_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14328__A (.DIODE(_09051_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14313__B (.DIODE(_08993_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14329__A2 (.DIODE(_09164_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14315__B (.DIODE(_08990_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14329__B1 (.DIODE(_09126_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14317__B (.DIODE(_08990_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14330__A2 (.DIODE(_08945_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14319__A2 (.DIODE(_09144_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14330__B1 (.DIODE(_09163_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14319__B1 (.DIODE(_09146_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14331__A2 (.DIODE(_09005_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14320__A2 (.DIODE(_09140_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14331__B1 (.DIODE(_09109_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14320__B1 (.DIODE(_09142_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14332__A2 (.DIODE(_08915_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14321__B (.DIODE(_09046_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14332__B1 (.DIODE(_09120_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14323__B (.DIODE(_08971_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14332__C1 (.DIODE(_09167_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14325__A (.DIODE(_08940_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14333__A (.DIODE(_09158_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14325__B (.DIODE(_09018_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14334__A2 (.DIODE(_09074_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14327__A (.DIODE(_09154_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14334__B1 (.DIODE(_09082_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14328__B (.DIODE(_09039_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14335__A2 (.DIODE(_09042_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14330__A (.DIODE(_09157_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14335__B1 (.DIODE(_09064_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14331__A2 (.DIODE(_09155_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14337__A2 (.DIODE(_09172_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14331__B1 (.DIODE(_09158_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14337__B1 (.DIODE(_09098_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14332__A2 (.DIODE(_09150_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14338__A2 (.DIODE(_08935_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14332__B1 (.DIODE(_09152_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14338__B1 (.DIODE(_08994_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14335__A2 (.DIODE(_08932_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14339__A2 (.DIODE(_08930_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14336__C1 (.DIODE(_05679_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14339__B1 (.DIODE(_09036_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14351__A (.DIODE(_09164_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14340__A2 (.DIODE(_09034_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14352__B2 (.DIODE(_09179_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14340__B1 (.DIODE(_09072_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14359__B1 (.DIODE(_09185_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14341__A2 (.DIODE(_08981_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14361__A2 (.DIODE(_08939_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14344__A2 (.DIODE(_09178_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14361__B1 (.DIODE(_08943_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14344__B1 (.DIODE(_09179_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14363__A1 (.DIODE(\u_usb_host.u_core.u_fifo_rx.ram[26][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14345__A2 (.DIODE(_08902_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14363__A2 (.DIODE(_09189_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14345__B1 (.DIODE(_09021_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14363__B1 (.DIODE(_09060_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14346__A2 (.DIODE(_08920_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14364__A2 (.DIODE(_08982_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14346__B1 (.DIODE(_08955_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14364__B1 (.DIODE(_09097_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14347__A2 (.DIODE(_08949_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14365__A2 (.DIODE(_09004_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14347__B1 (.DIODE(_08986_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14365__B1 (.DIODE(_09053_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14348__A2 (.DIODE(_08990_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14366__A2 (.DIODE(_09056_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14348__B1 (.DIODE(_09026_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14366__C1 (.DIODE(_08976_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14349__A2 (.DIODE(_09058_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14368__A2 (.DIODE(_08949_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14349__B1 (.DIODE(_09088_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14368__B1 (.DIODE(_08996_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14350__A2 (.DIODE(_09003_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14369__A2 (.DIODE(_09194_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14350__B1 (.DIODE(_09012_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14369__B1 (.DIODE(_09132_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14351__A2 (.DIODE(_09011_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14371__A2 (.DIODE(_09031_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14351__B1 (.DIODE(_09106_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14371__B1 (.DIODE(_09197_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14353__A2 (.DIODE(_09048_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14372__A2 (.DIODE(_09080_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14353__B1 (.DIODE(_09077_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14372__B1 (.DIODE(_09110_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14354__A1 (.DIODE(\u_usb_host.u_core.u_fifo_rx.ram[19][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14374__A2 (.DIODE(_08932_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14354__A2 (.DIODE(_08966_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14376__A2 (.DIODE(_09068_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14354__B1 (.DIODE(_09091_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14376__B1 (.DIODE(_09202_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14355__A2 (.DIODE(_09094_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14377__A2 (.DIODE(_09051_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14355__B1 (.DIODE(_09123_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14377__B1 (.DIODE(_09150_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14356__A2 (.DIODE(_08999_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14378__A2 (.DIODE(_08992_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14356__B1 (.DIODE(_09046_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14378__B1 (.DIODE(_09075_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14357__A2 (.DIODE(_08909_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14379__A2 (.DIODE(_09014_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14357__B1 (.DIODE(_08952_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14379__B1 (.DIODE(_09063_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14358__A1 (.DIODE(\u_usb_host.u_core.u_fifo_rx.ram[21][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14381__A2 (.DIODE(_09021_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14358__A2 (.DIODE(_09017_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14381__B1 (.DIODE(_09207_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14358__B1 (.DIODE(_09031_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14382__A2 (.DIODE(_09041_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14359__A (.DIODE(_09086_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14382__B1 (.DIODE(_09035_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14360__A2 (.DIODE(_09101_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14383__A2 (.DIODE(_09027_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14360__B1 (.DIODE(_09114_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14385__A1 (.DIODE(\u_usb_host.u_core.u_fifo_rx.ram[43][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14361__A2 (.DIODE(_09195_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14385__A2 (.DIODE(_09211_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14361__B1 (.DIODE(_09112_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14385__B1 (.DIODE(_09129_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14365__C1 (.DIODE(_05660_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14386__A2 (.DIODE(_09093_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14366__C1 (.DIODE(_09201_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14386__B1 (.DIODE(_09088_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14368__B2 (.DIODE(_09203_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14387__A (.DIODE(_09101_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14369__A2 (.DIODE(_08883_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14389__A2 (.DIODE(_09038_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14370__A2 (.DIODE(_08910_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14389__B1 (.DIODE(_09112_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14370__B1 (.DIODE(_09060_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14390__A2 (.DIODE(_09214_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14371__A2 (.DIODE(_08962_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14390__B1 (.DIODE(_09215_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14371__B1 (.DIODE(_09058_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14391__A2 (.DIODE(_09123_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14372__A2 (.DIODE(_09046_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14391__B1 (.DIODE(_09140_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14372__B1 (.DIODE(_09112_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14392__A2 (.DIODE(_09010_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14373__A2 (.DIODE(_08927_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14392__B1 (.DIODE(_09085_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14373__C1 (.DIODE(_08939_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14393__A2 (.DIODE(_09115_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14374__A (.DIODE(_09083_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14393__B1 (.DIODE(_09142_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14375__A2 (.DIODE(_09209_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14394__A2 (.DIODE(_08999_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14375__B1 (.DIODE(_09085_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14394__B1 (.DIODE(_09144_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14376__A2 (.DIODE(_08966_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14396__A2 (.DIODE(_09073_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14376__B1 (.DIODE(_08975_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14396__B1 (.DIODE(_09119_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14377__A2 (.DIODE(_09064_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14397__A2 (.DIODE(_09047_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14377__B1 (.DIODE(_09078_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14397__B1 (.DIODE(_09126_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14378__A2 (.DIODE(_09049_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14398__A2 (.DIODE(_08960_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14378__B1 (.DIODE(_09123_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14398__B1 (.DIODE(_09017_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14378__B2 (.DIODE(\u_usb_host.u_core.u_fifo_rx.ram[42][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14399__A2 (.DIODE(_08965_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14380__A2 (.DIODE(_08949_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14399__B1 (.DIODE(_09136_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14380__B1 (.DIODE(_09013_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14400__A2 (.DIODE(_08958_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14381__A2 (.DIODE(_08935_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14400__B1 (.DIODE(_08984_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14381__B1 (.DIODE(_09018_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14401__A2 (.DIODE(_09100_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14382__A2 (.DIODE(_08956_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14401__B1 (.DIODE(_09146_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14382__B1 (.DIODE(_09098_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14402__A (.DIODE(_09124_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14383__A2 (.DIODE(_08902_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14403__A2 (.DIODE(_09155_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14383__B1 (.DIODE(_09007_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14403__B1 (.DIODE(_09152_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14384__A2 (.DIODE(_09095_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14404__A2 (.DIODE(_09229_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14384__B1 (.DIODE(_09115_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14404__B1 (.DIODE(_09158_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14385__A2 (.DIODE(_09031_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14407__C1 (.DIODE(_05678_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14385__B1 (.DIODE(_09195_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14408__C1 (.DIODE(_09234_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14386__A2 (.DIODE(_08982_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14409__A2 (.DIODE(_09182_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14387__A2 (.DIODE(_09036_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14410__B2 (.DIODE(_09236_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14387__B1 (.DIODE(_09108_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14411__A2 (.DIODE(_08939_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14388__A2 (.DIODE(_09011_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14411__B1 (.DIODE(_08943_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14388__B1 (.DIODE(_09027_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14412__A2 (.DIODE(_08973_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14389__A2 (.DIODE(_09003_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14412__B1 (.DIODE(_08982_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14389__B1 (.DIODE(_09101_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14413__A2 (.DIODE(_09085_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14390__A2 (.DIODE(_09164_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14413__B1 (.DIODE(_09126_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14390__B1 (.DIODE(_09075_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14414__A2 (.DIODE(_09021_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14391__A2 (.DIODE(_08991_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14414__B1 (.DIODE(_09123_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14391__B1 (.DIODE(_09128_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14415__A2 (.DIODE(_09142_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14392__A2 (.DIODE(_08915_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14415__C1 (.DIODE(_08976_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14392__B1 (.DIODE(_09120_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14416__A2 (.DIODE(_09048_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14393__A2 (.DIODE(_08994_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14416__B1 (.DIODE(_09207_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14393__B1 (.DIODE(_08988_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14417__A2 (.DIODE(_09080_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14394__A2 (.DIODE(_08971_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14417__B1 (.DIODE(_09110_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14394__B1 (.DIODE(_09072_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14418__A (.DIODE(_09214_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14398__A2 (.DIODE(_08930_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14419__A2 (.DIODE(_08999_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14398__B1 (.DIODE(_09034_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14419__B1 (.DIODE(_09144_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14399__A2 (.DIODE(_09231_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14420__A2 (.DIODE(_09244_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14399__B1 (.DIODE(_09232_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14420__B1 (.DIODE(_09107_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14400__A2 (.DIODE(_08986_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14422__A2 (.DIODE(_08932_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14400__B1 (.DIODE(_09068_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14423__A2 (.DIODE(_08996_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14401__A2 (.DIODE(_08952_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14423__B1 (.DIODE(_09146_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14401__B1 (.DIODE(_09106_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14424__A2 (.DIODE(_09035_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14402__A2 (.DIODE(_08920_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14424__B1 (.DIODE(_09150_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14402__B1 (.DIODE(_09126_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14425__A2 (.DIODE(_08965_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14403__A2 (.DIODE(_09000_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14425__B1 (.DIODE(_08984_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14403__B1 (.DIODE(_09042_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14426__A2 (.DIODE(_08960_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14404__A2 (.DIODE(_08944_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14426__B1 (.DIODE(_09014_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14404__B1 (.DIODE(_09054_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14427__A (.DIODE(_09120_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14405__A2 (.DIODE(_09021_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14428__A2 (.DIODE(_09056_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14405__B1 (.DIODE(_09092_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14428__B1 (.DIODE(_09140_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14409__C1 (.DIODE(_05661_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14429__A2 (.DIODE(_09088_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14411__A2 (.DIODE(_09145_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14429__B1 (.DIODE(_09253_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14413__A (.DIODE(_09244_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14430__A2 (.DIODE(_09028_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14414__B2 (.DIODE(_09248_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14431__A2 (.DIODE(_09031_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14417__A (.DIODE(_09000_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14431__B1 (.DIODE(_09152_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14418__A (.DIODE(_09092_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14432__A2 (.DIODE(_09073_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14419__A (.DIODE(_09195_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14432__B1 (.DIODE(_09112_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14421__A2 (.DIODE(_09254_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14433__A2 (.DIODE(_09197_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14421__B1 (.DIODE(_09085_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14433__B1 (.DIODE(_09130_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14422__A2 (.DIODE(_08971_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14434__A2 (.DIODE(_09038_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14422__B1 (.DIODE(_08981_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14434__B1 (.DIODE(_09093_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14423__A2 (.DIODE(_08988_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14435__A2 (.DIODE(_08958_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14423__B1 (.DIODE(_09060_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14435__B1 (.DIODE(_09060_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14424__A2 (.DIODE(_08962_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14436__A2 (.DIODE(_09004_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14424__B1 (.DIODE(_09014_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14436__B1 (.DIODE(_09132_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14426__A2 (.DIODE(_08934_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14437__A2 (.DIODE(_09065_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14426__B1 (.DIODE(_08948_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14437__B1 (.DIODE(_09215_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14427__A2 (.DIODE(_09259_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14438__A2 (.DIODE(_09017_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14427__C1 (.DIODE(_08938_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14438__B1 (.DIODE(_09075_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14428__A2 (.DIODE(_08882_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14440__A2 (.DIODE(_09053_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14428__B1 (.DIODE(_09232_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14440__B1 (.DIODE(_09063_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14429__A2 (.DIODE(_09253_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14441__A2 (.DIODE(_09051_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14430__A2 (.DIODE(_09251_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14441__B1 (.DIODE(_09229_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14430__B1 (.DIODE(_09252_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14442__A2 (.DIODE(_08949_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14430__B2 (.DIODE(\u_usb_host.u_core.u_fifo_rx.ram[23][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14442__B1 (.DIODE(_09115_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14431__A2 (.DIODE(_08931_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14443__A2 (.DIODE(_08992_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14431__B1 (.DIODE(_09069_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14443__B1 (.DIODE(_09068_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14431__B2 (.DIODE(\u_usb_host.u_core.u_fifo_rx.ram[26][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14444__A2 (.DIODE(_09194_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14432__A2 (.DIODE(_09159_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14444__B1 (.DIODE(_09100_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14432__B1 (.DIODE(_09209_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14445__A2 (.DIODE(_09010_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14433__A2 (.DIODE(_08945_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14445__B1 (.DIODE(_09097_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14433__B1 (.DIODE(_09027_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14446__A2 (.DIODE(_09155_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14434__A2 (.DIODE(_08991_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14446__B1 (.DIODE(_09158_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14434__B1 (.DIODE(_09125_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14447__A2 (.DIODE(_09041_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14435__A2 (.DIODE(_09030_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14447__B1 (.DIODE(_09136_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14435__B1 (.DIODE(_09163_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14450__B2 (.DIODE(_09275_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14436__A2 (.DIODE(_08993_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14450__C1 (.DIODE(_05679_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14436__B1 (.DIODE(_08985_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14455__A2 (.DIODE(_09279_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14437__A2 (.DIODE(_09018_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14455__B1 (.DIODE(_09185_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14437__B1 (.DIODE(_09071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14456__A (.DIODE(_09276_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14438__A2 (.DIODE(_09231_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14457__B2 (.DIODE(_09282_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14439__A2 (.DIODE(_08901_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14460__A (.DIODE(_09048_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14439__B1 (.DIODE(_09105_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14461__A (.DIODE(_09229_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14440__A2 (.DIODE(_08914_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14463__A2 (.DIODE(_09287_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14440__B1 (.DIODE(_08910_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14463__B1 (.DIODE(_09013_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14441__A2 (.DIODE(_09033_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14464__A2 (.DIODE(_08973_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14441__B1 (.DIODE(_09119_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14464__B1 (.DIODE(_09027_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14442__A2 (.DIODE(_09049_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14466__A2 (.DIODE(_08998_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14442__B1 (.DIODE(_09111_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14466__B1 (.DIODE(_09290_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14443__A2 (.DIODE(_09097_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14467__A2 (.DIODE(_09207_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14443__B1 (.DIODE(_09122_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14467__B1 (.DIODE(_09215_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14444__A2 (.DIODE(_09041_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14470__A2 (.DIODE(_08948_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14444__B1 (.DIODE(_09075_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14470__B1 (.DIODE(_08995_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14445__A2 (.DIODE(_09045_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14471__A2 (.DIODE(_09294_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14445__B1 (.DIODE(_09114_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14471__B1 (.DIODE(_08975_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14445__B2 (.DIODE(\u_usb_host.u_core.u_fifo_rx.ram[27][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14472__A2 (.DIODE(_08938_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14446__A1 (.DIODE(\u_usb_host.u_core.u_fifo_rx.ram[25][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14472__B1 (.DIODE(_09293_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14446__A2 (.DIODE(_08926_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14473__A2 (.DIODE(_09253_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14446__B1 (.DIODE(_09078_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14476__A2 (.DIODE(_09065_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14448__A2 (.DIODE(_08951_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14476__B1 (.DIODE(_09107_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14448__B1 (.DIODE(_09002_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14477__A2 (.DIODE(_09300_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14449__A2 (.DIODE(_08974_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14477__B1 (.DIODE(_09244_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14449__B1 (.DIODE(_09095_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14478__A (.DIODE(_09130_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14450__A2 (.DIODE(_09020_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14480__A2 (.DIODE(_09304_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14450__B1 (.DIODE(_09100_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14480__B1 (.DIODE(_09197_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14451__A2 (.DIODE(_08956_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14482__A2 (.DIODE(_08981_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14451__B1 (.DIODE(_09063_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14482__B1 (.DIODE(_09067_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14452__A2 (.DIODE(_08886_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14483__A2 (.DIODE(_09306_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14452__B1 (.DIODE(_08919_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14483__B1 (.DIODE(_09194_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14453__A2 (.DIODE(_08892_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14488__A2 (.DIODE(_09311_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14453__B1 (.DIODE(_09164_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14488__B1 (.DIODE(_09312_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14454__A2 (.DIODE(_08965_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14489__A2 (.DIODE(_09309_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14454__B1 (.DIODE(_09057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14489__B1 (.DIODE(_09310_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14455__A2 (.DIODE(_09037_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14490__A2 (.DIODE(_09303_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14455__B1 (.DIODE(_09109_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14493__A2 (.DIODE(_09084_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14458__A2 (.DIODE(_08869_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14493__B1 (.DIODE(_09122_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14458__C1 (.DIODE(_05659_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14494__A2 (.DIODE(_09316_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14459__B1 (.DIODE(_09250_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14494__B1 (.DIODE(_09317_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14459__C1 (.DIODE(_09292_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14497__A2 (.DIODE(_09016_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14461__B (.DIODE(_06845_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14497__B1 (.DIODE(_09062_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14462__A (.DIODE(_09295_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14498__A2 (.DIODE(_09320_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14464__A1 (.DIODE(_09294_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14498__B1 (.DIODE(_09321_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14468__A2 (.DIODE(_09037_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14501__A2 (.DIODE(_09020_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14468__B1 (.DIODE(_09164_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14501__B1 (.DIODE(_09114_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14468__B2 (.DIODE(\u_usb_host.u_core.u_fifo_rx.ram[46][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14502__A2 (.DIODE(_09324_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14469__A2 (.DIODE(_08966_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14502__B1 (.DIODE(_09325_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14469__B1 (.DIODE(_09034_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14504__A2 (.DIODE(_09154_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14470__A2 (.DIODE(_08935_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14504__B1 (.DIODE(_09157_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14470__B1 (.DIODE(_09085_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14505__A2 (.DIODE(_09099_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14471__A2 (.DIODE(_09209_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14505__B1 (.DIODE(_09328_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14471__C1 (.DIODE(_08939_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14509__A2 (.DIODE(_08964_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14472__A2 (.DIODE(_08920_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14509__B1 (.DIODE(_08957_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14472__B1 (.DIODE(_08949_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14510__A2 (.DIODE(_09332_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14473__A2 (.DIODE(_09098_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14510__B1 (.DIODE(_09333_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14473__B1 (.DIODE(_09109_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14512__A2 (.DIODE(_09003_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14474__A2 (.DIODE(_08902_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14512__B1 (.DIODE(_09079_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14474__B1 (.DIODE(_09231_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14513__A2 (.DIODE(_09034_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14475__A2 (.DIODE(_08945_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14513__B1 (.DIODE(_09336_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14475__B1 (.DIODE(_09027_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14514__A2 (.DIODE(_08942_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14475__C1 (.DIODE(_09307_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14514__B1 (.DIODE(_09092_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14477__A2 (.DIODE(_09046_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14518__A2 (.DIODE(_09050_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14477__B1 (.DIODE(_09163_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14518__B1 (.DIODE(_09109_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14478__A2 (.DIODE(_09251_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14519__A2 (.DIODE(_09341_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14478__B1 (.DIODE(_09159_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14519__B1 (.DIODE(_09342_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14479__A2 (.DIODE(_09095_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14522__B1 (.DIODE(_09299_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14479__B1 (.DIODE(_09106_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14522__C1 (.DIODE(_05678_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14480__A2 (.DIODE(_08931_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14523__C1 (.DIODE(_09347_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14480__B1 (.DIODE(_09123_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14525__B (.DIODE(_06834_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14481__A2 (.DIODE(_08915_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14526__A (.DIODE(_09350_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14481__B1 (.DIODE(_09011_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14531__A2 (.DIODE(_08932_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14482__A2 (.DIODE(_09007_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14531__B1 (.DIODE(_08943_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14482__B1 (.DIODE(_09042_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14532__A2 (.DIODE(_08960_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14483__A2 (.DIODE(_08982_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14532__B1 (.DIODE(_09126_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14484__A2 (.DIODE(_09021_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14533__A2 (.DIODE(_09107_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14484__B1 (.DIODE(_09064_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14533__B1 (.DIODE(_09136_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14485__A2 (.DIODE(_09101_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14534__A2 (.DIODE(_08949_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14485__B1 (.DIODE(_09115_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14534__B1 (.DIODE(_09140_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14486__A2 (.DIODE(_08991_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14535__A2 (.DIODE(_09100_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14486__B1 (.DIODE(_09069_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14535__C1 (.DIODE(_08976_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14487__A2 (.DIODE(_09003_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14536__A2 (.DIODE(_08996_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14487__B1 (.DIODE(_09126_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14536__B1 (.DIODE(_09073_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14488__A2 (.DIODE(_09075_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14537__A2 (.DIODE(_09056_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14488__B1 (.DIODE(_09092_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14537__B1 (.DIODE(_09068_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14489__A2 (.DIODE(_09018_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14538__A2 (.DIODE(_08958_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14489__B1 (.DIODE(_09232_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14538__B1 (.DIODE(_09155_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14490__A1 (.DIODE(\u_usb_host.u_core.u_fifo_rx.ram[14][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14539__A2 (.DIODE(_09115_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14490__A2 (.DIODE(_09031_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14539__B1 (.DIODE(_09146_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14490__B1 (.DIODE(_09195_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14541__A2 (.DIODE(_09088_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14491__A2 (.DIODE(_08952_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14541__B1 (.DIODE(_09197_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14491__B1 (.DIODE(_09072_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14542__A2 (.DIODE(_09132_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14493__A2 (.DIODE(_09014_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14542__B1 (.DIODE(_09150_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14493__B1 (.DIODE(_09058_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14543__A2 (.DIODE(_08965_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14494__A2 (.DIODE(_08910_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14543__B1 (.DIODE(_09158_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14494__B1 (.DIODE(_09078_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14544__A2 (.DIODE(_09085_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14495__A2 (.DIODE(_08986_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14544__B1 (.DIODE(_09229_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14495__B1 (.DIODE(_09120_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14544__B2 (.DIODE(\u_usb_host.u_core.u_fifo_rx.ram[23][4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14496__A2 (.DIODE(_08975_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14545__A1 (.DIODE(\u_usb_host.u_core.u_fifo_rx.ram[26][4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14496__B1 (.DIODE(_08988_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14545__A2 (.DIODE(_09065_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14497__A2 (.DIODE(_08971_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14545__B1 (.DIODE(_09060_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14497__B1 (.DIODE(_09060_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14546__A2 (.DIODE(_08982_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14498__A2 (.DIODE(_08994_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14546__B1 (.DIODE(_09035_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14498__B1 (.DIODE(_09049_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14547__A2 (.DIODE(_09028_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14499__A2 (.DIODE(_08956_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14548__A2 (.DIODE(_09021_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14499__B1 (.DIODE(_08962_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14548__B1 (.DIODE(_09215_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14500__A2 (.DIODE(_08927_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14549__A2 (.DIODE(_09093_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14500__B1 (.DIODE(_09112_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14549__B1 (.DIODE(_09142_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14503__A2 (.DIODE(_08883_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14550__A2 (.DIODE(_09051_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14503__D1 (.DIODE(_09335_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14550__B1 (.DIODE(_09123_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14504__C1 (.DIODE(_05661_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14551__A2 (.DIODE(_09031_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14507__B1 (.DIODE(_09337_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14551__B1 (.DIODE(_09244_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14510__A2 (.DIODE(_09254_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14552__A2 (.DIODE(_09017_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14510__B1 (.DIODE(_09179_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14552__B1 (.DIODE(_09112_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14511__A2 (.DIODE(_09160_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14553__A2 (.DIODE(_09207_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14511__B1 (.DIODE(_08981_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14553__B1 (.DIODE(_09253_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14512__A2 (.DIODE(_09172_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14554__A2 (.DIODE(_09041_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14512__B1 (.DIODE(_09178_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14554__B1 (.DIODE(_09110_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14513__A2 (.DIODE(_09156_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14555__A2 (.DIODE(_09075_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14513__B1 (.DIODE(_09014_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14555__B1 (.DIODE(_09152_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14514__A2 (.DIODE(_08934_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14557__A2 (.DIODE(_08992_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14514__B1 (.DIODE(_08948_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14557__B1 (.DIODE(_09144_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14515__A2 (.DIODE(_09259_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14558__A2 (.DIODE(_08984_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14515__C1 (.DIODE(_08938_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14558__B1 (.DIODE(_08999_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14516__A2 (.DIODE(_08882_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14559__A2 (.DIODE(_09063_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14516__B1 (.DIODE(_09232_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14559__B1 (.DIODE(_09038_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14516__B2 (.DIODE(\u_usb_host.u_core.u_fifo_rx.ram[55][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14560__A2 (.DIODE(_08973_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14517__A2 (.DIODE(_09253_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14560__B1 (.DIODE(_09014_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14518__A2 (.DIODE(_09251_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14561__A1 (.DIODE(\u_usb_host.u_core.u_fifo_rx.ram[32][4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14518__B1 (.DIODE(_09252_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14561__A2 (.DIODE(_09053_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14519__A2 (.DIODE(_08931_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14561__B1 (.DIODE(_09303_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14519__B1 (.DIODE(_09069_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14562__A2 (.DIODE(_09010_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14520__A2 (.DIODE(_09159_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14562__B1 (.DIODE(_09194_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14520__B1 (.DIODE(_09209_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14563__A2 (.DIODE(_09080_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14521__A2 (.DIODE(_08944_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14563__B1 (.DIODE(_09097_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14521__B1 (.DIODE(_09026_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14564__A2 (.DIODE(_09004_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14522__A2 (.DIODE(_08990_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14564__B1 (.DIODE(_09048_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14522__B1 (.DIODE(_09125_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14567__A2 (.DIODE(_08939_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14523__A2 (.DIODE(_09030_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14568__B1 (.DIODE(_09391_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14523__B1 (.DIODE(_09163_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14568__C1 (.DIODE(_05679_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14523__B2 (.DIODE(\u_usb_host.u_core.u_fifo_rx.ram[57][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14569__A2 (.DIODE(_09279_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14524__A2 (.DIODE(_08993_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14571__B1 (.DIODE(_09392_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14524__B1 (.DIODE(_08985_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14573__A (.DIODE(_09395_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14525__A2 (.DIODE(_09017_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14574__A2 (.DIODE(_09287_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14525__B1 (.DIODE(_09071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14574__B1 (.DIODE(_09013_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14526__A2 (.DIODE(_09231_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14575__A2 (.DIODE(_09211_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14527__A2 (.DIODE(_08901_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14575__B1 (.DIODE(_09027_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14527__B1 (.DIODE(_09105_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14576__A2 (.DIODE(_08998_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14528__A2 (.DIODE(_08914_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14576__B1 (.DIODE(_09290_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14528__B1 (.DIODE(_08909_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14577__A2 (.DIODE(_09090_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14529__A2 (.DIODE(_09033_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14577__B1 (.DIODE(_09134_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14529__B1 (.DIODE(_09119_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14578__A2 (.DIODE(_08948_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14530__A2 (.DIODE(_09048_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14578__B1 (.DIODE(_08995_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14530__B1 (.DIODE(_09111_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14579__A2 (.DIODE(_09294_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14531__A2 (.DIODE(_09097_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14579__B1 (.DIODE(_08975_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14531__B1 (.DIODE(_09122_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14580__A2 (.DIODE(_08938_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14532__A2 (.DIODE(_09041_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14580__B1 (.DIODE(_09293_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14532__B1 (.DIODE(_09074_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14581__A2 (.DIODE(_09253_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14533__A2 (.DIODE(_08926_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14581__D1 (.DIODE(_09403_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14533__B1 (.DIODE(_09077_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14583__A2 (.DIODE(_09189_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14534__A2 (.DIODE(_09045_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14583__B1 (.DIODE(_09202_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14534__B1 (.DIODE(_09115_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14584__A2 (.DIODE(_09300_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14536__A2 (.DIODE(_08951_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14584__B1 (.DIODE(_09244_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14536__B1 (.DIODE(_09002_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14585__A2 (.DIODE(_09304_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14536__B2 (.DIODE(\u_usb_host.u_core.u_fifo_rx.ram[49][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14585__B1 (.DIODE(_09103_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14537__A2 (.DIODE(_08974_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14586__A2 (.DIODE(_08981_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14537__B1 (.DIODE(_09094_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14586__B1 (.DIODE(_09067_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14538__A2 (.DIODE(_09020_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14587__A2 (.DIODE(_09306_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14538__B1 (.DIODE(_09100_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14587__B1 (.DIODE(_09077_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14539__A2 (.DIODE(_08955_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14588__A2 (.DIODE(_09311_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14539__B1 (.DIODE(_09063_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14588__B1 (.DIODE(_09312_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14540__A2 (.DIODE(_08886_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14589__A2 (.DIODE(_09309_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14540__B1 (.DIODE(_08919_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14589__B1 (.DIODE(_09310_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14541__A2 (.DIODE(_08892_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14590__A2 (.DIODE(_09303_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14541__B1 (.DIODE(_09051_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14590__D1 (.DIODE(_09412_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14542__A2 (.DIODE(_08965_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14591__A2 (.DIODE(_09084_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14542__B1 (.DIODE(_09057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14591__B1 (.DIODE(_09122_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14543__A2 (.DIODE(_09037_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14592__A2 (.DIODE(_09316_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14543__B1 (.DIODE(_09108_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14592__B1 (.DIODE(_09317_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14544__B (.DIODE(_09371_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14593__A2 (.DIODE(_09016_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14544__C (.DIODE(_09373_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14593__B1 (.DIODE(_09062_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14545__C (.DIODE(_09367_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14594__A2 (.DIODE(_09320_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14546__A2 (.DIODE(_08868_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14594__B1 (.DIODE(_09321_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14546__C1 (.DIODE(_05659_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14595__A2 (.DIODE(_09020_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14547__B1 (.DIODE(_09250_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14595__B1 (.DIODE(_09114_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14547__C1 (.DIODE(_09378_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14596__A2 (.DIODE(_09324_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14548__B2 (.DIODE(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14596__B1 (.DIODE(_09325_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14551__A2 (.DIODE(_09251_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14597__A2 (.DIODE(_09154_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14551__B1 (.DIODE(_09252_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14597__B1 (.DIODE(_09157_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14552__A2 (.DIODE(_09254_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14598__A2 (.DIODE(_09099_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14552__B1 (.DIODE(_09179_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14598__B1 (.DIODE(_09328_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14553__A2 (.DIODE(_09160_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14600__A2 (.DIODE(_08964_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14554__A2 (.DIODE(_09172_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14600__B1 (.DIODE(_08957_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14554__B1 (.DIODE(_09178_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14601__A2 (.DIODE(_09332_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14555__A2 (.DIODE(_09156_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14601__B1 (.DIODE(_09333_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14555__B1 (.DIODE(_09013_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14602__A2 (.DIODE(_09003_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14556__A2 (.DIODE(_08934_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14602__B1 (.DIODE(_09079_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14556__B1 (.DIODE(_08948_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14603__A2 (.DIODE(_09034_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14557__A2 (.DIODE(_09259_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14603__B1 (.DIODE(_09336_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14557__C1 (.DIODE(_08938_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14604__A2 (.DIODE(_08942_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14558__A2 (.DIODE(_08882_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14604__B1 (.DIODE(_09092_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14558__B1 (.DIODE(_09088_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14606__A2 (.DIODE(_09050_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14558__B2 (.DIODE(\u_usb_host.u_core.u_fifo_rx.ram[55][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14606__B1 (.DIODE(_09109_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14559__A2 (.DIODE(_09253_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14607__A2 (.DIODE(_09341_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14560__A2 (.DIODE(_08930_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14607__B1 (.DIODE(_09342_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14560__B1 (.DIODE(_09068_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14608__C (.DIODE(_09428_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14561__A2 (.DIODE(_09055_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14610__B1 (.DIODE(_09405_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14561__B1 (.DIODE(_09083_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14610__C1 (.DIODE(_05678_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14562__A2 (.DIODE(_08944_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14611__C1 (.DIODE(_09433_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14562__B1 (.DIODE(_09026_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14612__B2 (.DIODE(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14564__A2 (.DIODE(_08990_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14615__A2 (.DIODE(_09287_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14564__B1 (.DIODE(_09125_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14615__B1 (.DIODE(_09013_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14565__A2 (.DIODE(_08993_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14616__A2 (.DIODE(_09211_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14565__B1 (.DIODE(_08985_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14617__A2 (.DIODE(_08998_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14566__A2 (.DIODE(_09017_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14617__B1 (.DIODE(_09290_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14566__B1 (.DIODE(_09071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14618__A2 (.DIODE(_09090_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14567__A2 (.DIODE(_09005_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14618__B1 (.DIODE(_09134_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14568__A2 (.DIODE(_08901_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14619__A2 (.DIODE(_08948_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14568__B1 (.DIODE(_09105_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14619__B1 (.DIODE(_08995_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14569__A2 (.DIODE(_08914_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14620__A2 (.DIODE(_09294_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14569__B1 (.DIODE(_08909_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14620__B1 (.DIODE(_08975_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14570__A2 (.DIODE(_09033_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14621__A2 (.DIODE(_08938_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14570__B1 (.DIODE(_09119_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14621__B1 (.DIODE(_09293_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14571__A2 (.DIODE(_09048_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14622__A2 (.DIODE(_09120_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14571__B1 (.DIODE(_09111_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14622__D1 (.DIODE(_09443_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14572__A2 (.DIODE(_09097_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14624__A2 (.DIODE(_09189_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14572__B1 (.DIODE(_09122_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14624__B1 (.DIODE(_09202_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14573__A2 (.DIODE(_09041_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14625__A2 (.DIODE(_09300_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14573__B1 (.DIODE(_09074_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14625__B1 (.DIODE(_09214_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14574__A2 (.DIODE(_08926_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14626__A2 (.DIODE(_09304_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14574__B1 (.DIODE(_09077_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14626__B1 (.DIODE(_09103_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14575__A2 (.DIODE(_09045_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14627__A2 (.DIODE(_08981_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14575__B1 (.DIODE(_09114_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14627__B1 (.DIODE(_09067_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14577__A2 (.DIODE(_08951_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14628__A2 (.DIODE(_09306_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14577__B1 (.DIODE(_09002_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14628__B1 (.DIODE(_09077_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14578__A2 (.DIODE(_08974_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14629__A2 (.DIODE(_09311_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14578__B1 (.DIODE(_09094_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14629__B1 (.DIODE(_09312_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14579__A2 (.DIODE(_09020_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14630__A2 (.DIODE(_09309_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14579__B1 (.DIODE(_09100_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14630__B1 (.DIODE(_09310_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14580__A2 (.DIODE(_08955_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14631__A2 (.DIODE(_09303_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14580__B1 (.DIODE(_09063_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14631__D1 (.DIODE(_09452_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14581__A2 (.DIODE(_08886_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14632__A2 (.DIODE(_09084_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14581__B1 (.DIODE(_08919_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14632__B1 (.DIODE(_09122_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14582__A2 (.DIODE(_08892_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14633__A2 (.DIODE(_09316_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14582__B1 (.DIODE(_09051_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14633__B1 (.DIODE(_09317_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14583__A2 (.DIODE(_08965_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14634__A2 (.DIODE(_09016_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14583__B1 (.DIODE(_09057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14634__B1 (.DIODE(_09062_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14584__A2 (.DIODE(_09036_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14635__A2 (.DIODE(_09320_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14584__B1 (.DIODE(_09108_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14635__B1 (.DIODE(_09321_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14588__A2 (.DIODE(_08869_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14636__A2 (.DIODE(_09020_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14588__B1 (.DIODE(_09418_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14636__B1 (.DIODE(_09114_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14588__C1 (.DIODE(_05660_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14637__A2 (.DIODE(_09324_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14589__C1 (.DIODE(_09419_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14637__B1 (.DIODE(_09325_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14590__B2 (.DIODE(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14638__A2 (.DIODE(_09154_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14593__A2 (.DIODE(_09000_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14638__B1 (.DIODE(_09157_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14593__B1 (.DIODE(_09252_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14639__A2 (.DIODE(_09099_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14594__A2 (.DIODE(_09254_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14639__B1 (.DIODE(_09328_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14594__B1 (.DIODE(_09179_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14641__A2 (.DIODE(_08964_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14595__A2 (.DIODE(_09160_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14641__B1 (.DIODE(_08957_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14596__A2 (.DIODE(_09172_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14642__A2 (.DIODE(_09332_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14596__B1 (.DIODE(_09178_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14642__B1 (.DIODE(_09333_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14597__A2 (.DIODE(_09156_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14643__A2 (.DIODE(_09003_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14597__B1 (.DIODE(_09013_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14643__B1 (.DIODE(_09079_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14599__A2 (.DIODE(_09259_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14644__A2 (.DIODE(_09034_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14600__B1 (.DIODE(_09088_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14644__B1 (.DIODE(_09336_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14601__A2 (.DIODE(_09253_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14645__A2 (.DIODE(_08942_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14603__A2 (.DIODE(_09055_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14645__B1 (.DIODE(_09092_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14603__B1 (.DIODE(_09083_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14647__A2 (.DIODE(_09050_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14606__A2 (.DIODE(_09030_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14647__B1 (.DIODE(_09109_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14606__B1 (.DIODE(_09128_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14648__A2 (.DIODE(_09341_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14609__A2 (.DIODE(_09005_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14648__B1 (.DIODE(_09342_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14630__A2 (.DIODE(_08869_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14649__C (.DIODE(_09468_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14630__B1 (.DIODE(_09459_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14651__B1 (.DIODE(_09445_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14630__C1 (.DIODE(_05660_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14651__B2 (.DIODE(_09472_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14631__C1 (.DIODE(_09460_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14651__C1 (.DIODE(_05677_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14632__B2 (.DIODE(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14652__C1 (.DIODE(_09473_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14637__B2 (.DIODE(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14653__B2 (.DIODE(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14642__A1 (.DIODE(\u_usb_host.reg_rdata[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14656__A2 (.DIODE(_09287_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14643__A (.DIODE(_09250_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14657__A2 (.DIODE(_09211_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14645__B2 (.DIODE(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14658__B1 (.DIODE(_09290_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14647__A1 (.DIODE(\u_usb_host.reg_rdata[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14659__A2 (.DIODE(_09090_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14652__A1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14659__B1 (.DIODE(_09134_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14655__A1 (.DIODE(\u_usb_host.reg_rdata[10] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14661__A2 (.DIODE(_09294_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14656__B2 (.DIODE(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14662__B1 (.DIODE(_09293_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14658__A1 (.DIODE(\u_usb_host.reg_rdata[11] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14663__A2 (.DIODE(_09120_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14661__A1 (.DIODE(\u_usb_host.reg_rdata[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14665__A2 (.DIODE(_09189_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14665__A1 (.DIODE(\u_usb_host.reg_rdata[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14665__B1 (.DIODE(_09202_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14672__A1 (.DIODE(\u_usb_host.reg_rdata[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14666__A2 (.DIODE(_09300_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14676__A1 (.DIODE(\u_usb_host.reg_rdata[15] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14666__B1 (.DIODE(_09214_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14678__A (.DIODE(_09145_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14667__A2 (.DIODE(_09304_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14680__B2 (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14667__B1 (.DIODE(_09103_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14681__A (.DIODE(_09250_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14669__A2 (.DIODE(_09306_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14683__A1 (.DIODE(\u_usb_host.u_core.status_response_w[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14669__B1 (.DIODE(_09077_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14684__A1 (.DIODE(\u_usb_host.reg_rdata[16] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14670__A2 (.DIODE(_09311_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14685__A (.DIODE(_09295_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14670__B1 (.DIODE(_09312_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14689__A1 (.DIODE(\u_usb_host.u_core.status_response_w[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14671__A2 (.DIODE(_09309_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14689__B2 (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14671__B1 (.DIODE(_09310_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14692__A1 (.DIODE(\u_usb_host.u_core.status_response_w[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14672__A2 (.DIODE(_09130_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14692__B2 (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14672__D1 (.DIODE(_09492_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14695__A1 (.DIODE(\u_usb_host.reg_rdata[18] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14674__A2 (.DIODE(_09316_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14697__A1 (.DIODE(\u_usb_host.u_core.status_response_w[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14674__B1 (.DIODE(_09317_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14697__B2 (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14676__A2 (.DIODE(_09320_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14699__A1 (.DIODE(\u_usb_host.reg_rdata[19] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14676__B1 (.DIODE(_09321_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14700__A1 (.DIODE(\u_usb_host.u_core.status_response_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14678__A2 (.DIODE(_09324_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14701__B2 (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14678__B1 (.DIODE(_09325_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14702__A1 (.DIODE(\u_usb_host.reg_rdata[20] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14680__B1 (.DIODE(_09328_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14704__A1 (.DIODE(\u_usb_host.u_core.status_response_w[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14683__A2 (.DIODE(_09332_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14707__A1 (.DIODE(\u_usb_host.reg_rdata[21] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14683__B1 (.DIODE(_09333_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14709__B2 (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14685__B1 (.DIODE(_09336_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14710__A1 (.DIODE(\u_usb_host.u_core.status_response_w[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14689__A2 (.DIODE(_09341_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14711__A1 (.DIODE(\u_usb_host.reg_rdata[22] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14689__B1 (.DIODE(_09342_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14712__B2 (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14690__C (.DIODE(_09508_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14713__A1 (.DIODE(\u_usb_host.u_core.status_response_w[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14692__B1 (.DIODE(_09485_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14714__A1 (.DIODE(\u_usb_host.reg_rdata[23] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14692__B2 (.DIODE(_09512_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14722__B2 (.DIODE(\u_usb_host.u_core.u_sie.data_idx_i ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14692__C1 (.DIODE(_05677_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14723__A1 (.DIODE(_07896_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14693__C1 (.DIODE(_09513_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14724__A1 (.DIODE(\u_usb_host.reg_rdata[28] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14694__B2 (.DIODE(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14725__B2 (.DIODE(\u_usb_host.u_core.usb_xfer_token_ack_out_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14695__A1 (.DIODE(_09515_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14726__A1 (.DIODE(\u_usb_host.u_core.status_timeout_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14697__A (.DIODE(_09395_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14727__A1 (.DIODE(\u_usb_host.reg_rdata[29] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14699__A2 (.DIODE(_09182_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14729__A1 (.DIODE(\u_usb_host.u_core.status_crc_err_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14699__B2 (.DIODE(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14729__B2 (.DIODE(\u_usb_host.u_core.usb_xfer_token_in_out_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14703__A1 (.DIODE(\u_usb_host.reg_rdata[8] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14733__A1 (.DIODE(\u_usb_host.u_core.usb_rx_stat_start_pend_in_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14704__A (.DIODE(_09279_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14734__A (.DIODE(_07377_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14710__B2 (.DIODE(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14736__A1 (.DIODE(_07854_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14711__A1 (.DIODE(\u_usb_host.reg_rdata[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14738__A1 (.DIODE(_07858_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14715__B2 (.DIODE(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14740__A1 (.DIODE(_07860_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14717__A1 (.DIODE(\u_usb_host.reg_rdata[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14743__A1 (.DIODE(_09544_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14718__B2 (.DIODE(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14746__A1 (.DIODE(_07811_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14720__A1 (.DIODE(\u_usb_host.reg_rdata[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14748__A1 (.DIODE(_07848_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14721__A2 (.DIODE(_09182_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14750__A1 (.DIODE(_07850_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14723__A1 (.DIODE(\u_usb_host.reg_rdata[12] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14752__A1 (.DIODE(_07852_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14727__A1 (.DIODE(\u_usb_host.reg_rdata[13] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14760__B1 (.DIODE(\u_usb_host.u_core.status_tx_done_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14734__A1 (.DIODE(\u_usb_host.reg_rdata[14] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14760__C1 (.DIODE(\u_usb_host.u_core.status_rx_done_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14735__A (.DIODE(_09279_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14769__A (.DIODE(_05372_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14738__A1 (.DIODE(\u_usb_host.reg_rdata[15] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14795__B (.DIODE(_05371_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14740__A (.DIODE(_09350_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14826__A (.DIODE(\u_usb_host.u_core.send_sof_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14740__B (.DIODE(_09185_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14832__A (.DIODE(\u_usb_host.u_core.send_sof_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14745__A1 (.DIODE(\u_usb_host.u_core.status_response_w[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14838__A (.DIODE(\u_usb_host.u_core.fifo_flush_q ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14745__B2 (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14841__A1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_in_out_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14748__A1 (.DIODE(\u_usb_host.u_core.status_response_w[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14842__A2 (.DIODE(\u_usb_host.u_core.send_sof_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14748__B2 (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14843__A1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_ack_out_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14749__A1 (.DIODE(\u_usb_host.reg_rdata[17] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14848__A (.DIODE(_05656_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14751__A1 (.DIODE(\u_usb_host.u_core.status_response_w[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14849__A1 (.DIODE(_09606_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14751__B2 (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14854__A1 (.DIODE(_06098_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14752__A (.DIODE(_09185_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14859__A1 (.DIODE(_06102_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14755__A1 (.DIODE(\u_usb_host.reg_rdata[18] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14864__A1 (.DIODE(_06106_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14756__A1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14867__A (.DIODE(_09621_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14757__A1 (.DIODE(\u_usb_host.u_core.status_response_w[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14870__A (.DIODE(_06087_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14757__A2 (.DIODE(_09182_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14871__S0 (.DIODE(_06111_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14758__A1 (.DIODE(\u_usb_host.reg_rdata[19] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14872__B (.DIODE(_09626_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14760__A1 (.DIODE(\u_usb_host.u_core.status_response_w[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14873__A (.DIODE(_05656_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14760__B2 (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14874__A1 (.DIODE(_09627_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14762__A1 (.DIODE(\u_usb_host.reg_rdata[20] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14876__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14764__A1 (.DIODE(\u_usb_host.u_core.status_response_w[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14877__A (.DIODE(_09630_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14764__B2 (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14881__B (.DIODE(_02302_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14767__A1 (.DIODE(\u_usb_host.reg_rdata[21] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14882__A1 (.DIODE(_02303_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14768__B2 (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14884__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14769__A1 (.DIODE(\u_usb_host.u_core.status_response_w[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14885__A (.DIODE(_02305_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14770__A1 (.DIODE(\u_usb_host.reg_rdata[22] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14888__B (.DIODE(_02308_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14771__A1 (.DIODE(\u_usb_host.u_core.status_response_w[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14889__A1 (.DIODE(_02309_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14771__B2 (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14891__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14778__A2 (.DIODE(_08903_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14892__A (.DIODE(_02311_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14780__A2 (.DIODE(_08903_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14895__B (.DIODE(_02314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14782__A2 (.DIODE(_08903_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14896__A1 (.DIODE(_02315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14784__A1 (.DIODE(_07911_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14899__A (.DIODE(_02317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14785__A1 (.DIODE(\u_usb_host.u_core.u_sie.data_idx_i ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14901__A0 (.DIODE(_02303_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14786__A1 (.DIODE(\u_usb_host.reg_rdata[28] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14901__A1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14788__A1 (.DIODE(\u_usb_host.u_core.status_timeout_w ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14903__A0 (.DIODE(_02309_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14788__B2 (.DIODE(\u_usb_host.u_core.usb_xfer_token_ack_out_w ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14903__A1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14791__B2 (.DIODE(\u_usb_host.u_core.usb_xfer_token_in_out_w ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14905__A0 (.DIODE(_02315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14792__A1 (.DIODE(\u_usb_host.u_core.status_crc_err_w ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14905__A1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14794__A1 (.DIODE(\u_usb_host.reg_rdata[31] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14907__A (.DIODE(_02317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14795__A1 (.DIODE(\u_usb_host.u_core.usb_rx_stat_start_pend_in_w ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14909__A1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14796__A (.DIODE(_07366_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14913__A (.DIODE(_06087_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14798__A0 (.DIODE(_06441_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14914__S0 (.DIODE(_02327_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14800__A0 (.DIODE(_06446_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14915__B (.DIODE(_02329_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14802__A0 (.DIODE(_06448_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14916__A1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14829__A (.DIODE(_05394_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14920__B (.DIODE(_02333_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14855__B (.DIODE(_05393_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14921__A1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14882__B1 (.DIODE(_05394_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14924__B (.DIODE(_02336_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14892__A (.DIODE(\u_usb_host.u_core.send_sof_w ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14925__A1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14898__B1 (.DIODE(\u_usb_host.u_core.send_sof_w ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14928__B (.DIODE(_02339_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14900__A (.DIODE(\u_usb_host.u_core.fifo_flush_q ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14930__A0 (.DIODE(_02340_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14903__A1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_in_out_w ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14933__B (.DIODE(_02343_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14904__A2 (.DIODE(\u_usb_host.u_core.send_sof_w ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14934__A0 (.DIODE(_02344_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14905__A1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_ack_out_w ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14936__S0 (.DIODE(_06111_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14907__A (.DIODE(_02304_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14937__B (.DIODE(_02346_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14910__A (.DIODE(_05674_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14938__A0 (.DIODE(_02347_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14911__A1 (.DIODE(_02307_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14940__S0 (.DIODE(_06111_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14914__A (.DIODE(_02310_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14941__B (.DIODE(_02349_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14916__A1 (.DIODE(_06063_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14942__A0 (.DIODE(_02350_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14919__A (.DIODE(_02314_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14944__A (.DIODE(_02327_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14921__A1 (.DIODE(_06067_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14946__A (.DIODE(_02353_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14924__A (.DIODE(_02318_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14949__A0 (.DIODE(_02355_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14926__A1 (.DIODE(_06071_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14949__A1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14929__A (.DIODE(_02322_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14951__A (.DIODE(_02353_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14933__S0 (.DIODE(_06076_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14953__A0 (.DIODE(_02359_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14934__B (.DIODE(_02327_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14953__A1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14935__A (.DIODE(_05674_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14956__A0 (.DIODE(_02361_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14936__A1 (.DIODE(_02328_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14956__A1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14939__A (.DIODE(_02331_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14959__S0 (.DIODE(_02363_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14943__B (.DIODE(_02335_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14960__A0 (.DIODE(_02364_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14944__A1 (.DIODE(_02336_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14960__A1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14947__A (.DIODE(_02338_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14962__S0 (.DIODE(_02363_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14950__B (.DIODE(_02341_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14964__A0 (.DIODE(_02366_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14951__A1 (.DIODE(_02342_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14964__A1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14954__A (.DIODE(_02344_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14966__A (.DIODE(_02353_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14957__B (.DIODE(_02347_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14967__S0 (.DIODE(_02363_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14958__A1 (.DIODE(_02348_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14968__A0 (.DIODE(_02370_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14960__B (.DIODE(_09395_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14970__S0 (.DIODE(_02363_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14962__A (.DIODE(_02351_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14971__A0 (.DIODE(_02372_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14963__A0 (.DIODE(_02336_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14971__A1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14963__A1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14975__A0 (.DIODE(_02375_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14965__A0 (.DIODE(_02342_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14975__A1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14965__A1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14978__A0 (.DIODE(_02377_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14967__A0 (.DIODE(_02348_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14978__A1 (.DIODE(\u_usb_host.u_core.u_sie.data_idx_i ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14967__A1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14980__A (.DIODE(_02353_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14971__A1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14982__A0 (.DIODE(_02380_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14976__S1 (.DIODE(_02361_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14982__A1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_ack_out_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14978__A1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14985__A0 (.DIODE(_02382_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14983__B (.DIODE(_02367_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14985__A1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_in_out_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14984__A1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14987__S0 (.DIODE(_02327_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14988__A1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14988__A (.DIODE(_05657_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14991__B (.DIODE(_02373_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14988__C (.DIODE(_02384_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14992__A (.DIODE(_02351_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14989__A1 (.DIODE(\u_usb_host.u_core.usb_rx_stat_start_pend_in_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14993__A0 (.DIODE(_02374_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14991__A (.DIODE(_02386_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14996__B (.DIODE(_02377_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__14992__A0 (.DIODE(_09606_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14997__A0 (.DIODE(_02378_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15000__A (.DIODE(_02386_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__14999__S0 (.DIODE(_06076_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15001__A0 (.DIODE(_09627_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15001__A0 (.DIODE(_02381_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15003__A0 (.DIODE(_02303_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15003__S0 (.DIODE(_06076_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15005__A0 (.DIODE(_02309_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15005__A0 (.DIODE(_02384_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15007__A0 (.DIODE(_02315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15008__A (.DIODE(_02361_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15009__A (.DIODE(_02386_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15010__A (.DIODE(_02351_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15018__A (.DIODE(_02386_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15011__A0 (.DIODE(_02388_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15019__A0 (.DIODE(_02340_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15011__A1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15021__A0 (.DIODE(_02344_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15014__A0 (.DIODE(_02391_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15023__A0 (.DIODE(_02347_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15014__A1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15025__A0 (.DIODE(_02350_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15017__S0 (.DIODE(_02393_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15027__A (.DIODE(_05656_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15018__A0 (.DIODE(_02394_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15028__A0 (.DIODE(_09606_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15018__A1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15036__A0 (.DIODE(_09606_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15020__S0 (.DIODE(_02393_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15036__A1 (.DIODE(\u_usb_host.u_core.usb_ctrl_enable_sof_out_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15021__A0 (.DIODE(_02396_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15045__A0 (.DIODE(_09627_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15021__A1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15047__A0 (.DIODE(_02303_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15023__A (.DIODE(_02361_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15049__A0 (.DIODE(_02309_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15024__S0 (.DIODE(_02393_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15051__A0 (.DIODE(_02315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15025__A (.DIODE(_02351_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15055__A1 (.DIODE(_05447_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15026__A1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15056__A1 (.DIODE(_07037_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15028__S0 (.DIODE(_02393_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15056__B2 (.DIODE(\u_i2cm.cr[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15029__A1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15057__A1 (.DIODE(_07040_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15031__A (.DIODE(_06060_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15033__A1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[6] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15036__A1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[7] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15040__A1 (.DIODE(\u_usb_host.u_core.u_sie.data_idx_i ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15043__A1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_ack_out_w ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15046__A1 (.DIODE(\u_usb_host.u_core.usb_xfer_token_in_out_w ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15049__A (.DIODE(_05675_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15049__C (.DIODE(_02416_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15050__A1 (.DIODE(\u_usb_host.u_core.usb_rx_stat_start_pend_in_w ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15051__A (.DIODE(_05641_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15052__A (.DIODE(_02418_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15053__A0 (.DIODE(_02307_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15061__A (.DIODE(_02418_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15062__A0 (.DIODE(_02328_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15064__A0 (.DIODE(_02336_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15066__A0 (.DIODE(_02342_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15057__B2 (.DIODE(_05498_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15068__A0 (.DIODE(_02348_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15058__A1 (.DIODE(_07042_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15070__A (.DIODE(_02418_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15058__B2 (.DIODE(\u_i2cm.cr[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15079__A (.DIODE(_02418_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15059__A1 (.DIODE(_07044_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15080__A0 (.DIODE(_02374_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15059__B2 (.DIODE(_05443_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15082__A0 (.DIODE(_02378_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15062__A0 (.DIODE(\u_i2cm.prer[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15084__A0 (.DIODE(_02381_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15062__A1 (.DIODE(_07854_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15086__A0 (.DIODE(_02384_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15064__A0 (.DIODE(\u_i2cm.prer[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15088__A (.DIODE(_05674_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15064__A1 (.DIODE(_07858_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15089__A0 (.DIODE(_02307_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15066__A0 (.DIODE(\u_i2cm.prer[10] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15097__A0 (.DIODE(_02307_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15066__A1 (.DIODE(_07860_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15106__A0 (.DIODE(_02328_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15068__A0 (.DIODE(\u_i2cm.prer[11] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15108__A0 (.DIODE(_02336_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15068__A1 (.DIODE(_09544_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15110__A0 (.DIODE(_02342_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15072__A0 (.DIODE(\u_i2cm.prer[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15112__A0 (.DIODE(_02348_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15072__A1 (.DIODE(_02430_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15116__A1 (.DIODE(_05466_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15074__A0 (.DIODE(\u_i2cm.prer[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15117__B2 (.DIODE(\u_i2cm.cr[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15074__A1 (.DIODE(_07848_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15118__A1 (.DIODE(_07030_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15076__A0 (.DIODE(\u_i2cm.prer[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15118__B2 (.DIODE(_05517_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15076__A1 (.DIODE(_07850_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15119__A1 (.DIODE(_07032_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15078__A1 (.DIODE(_07852_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15119__B2 (.DIODE(\u_i2cm.cr[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15081__A1 (.DIODE(_07026_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15120__A1 (.DIODE(_07034_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15082__A1 (.DIODE(_07030_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15120__B2 (.DIODE(_05462_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15083__A1 (.DIODE(_07032_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15122__A1 (.DIODE(_07014_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15088__B1 (.DIODE(_02440_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15123__A1 (.DIODE(_07019_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15089__B (.DIODE(_02440_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15124__A1 (.DIODE(_07021_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15091__B1 (.DIODE(_02440_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15143__A (.DIODE(_02469_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15133__A (.DIODE(_02471_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15144__C (.DIODE(_02469_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15135__B (.DIODE(_02471_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15157__A (.DIODE(_02469_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15146__A (.DIODE(_02484_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15168__C (.DIODE(_02469_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15147__B1 (.DIODE(_02485_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15174__A (.DIODE(_05330_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15149__A (.DIODE(_02487_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15179__A (.DIODE(_02496_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15151__B (.DIODE(_02471_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15185__A (.DIODE(_02502_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15152__A (.DIODE(_02489_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15187__B1 (.DIODE(_02504_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15188__B1 (.DIODE(_02489_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15191__A (.DIODE(_05330_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15190__A (.DIODE(_02487_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15193__B (.DIODE(_02496_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15207__A (.DIODE(_02489_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15194__B (.DIODE(_02496_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15208__A2 (.DIODE(_02485_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15210__A (.DIODE(_02496_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15215__A (.DIODE(_02471_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15212__A (.DIODE(_02502_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15216__A (.DIODE(_02489_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15249__A2 (.DIODE(_02504_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15226__A (.DIODE(_02487_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15303__B (.DIODE(_02502_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15239__B1 (.DIODE(_02484_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15318__B (.DIODE(_02504_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15258__A (.DIODE(_02487_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15319__B (.DIODE(_02504_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15271__B (.DIODE(_02484_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15331__A1 (.DIODE(\u_usb_host.u_core.u_sie.data_idx_i ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15277__B (.DIODE(_02485_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15339__A1 (.DIODE(\u_usb_host.u_core.u_sie.wait_resp_q ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15278__B (.DIODE(_02485_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15342__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15290__A1 (.DIODE(\u_usb_host.u_core.u_sie.data_idx_i ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15344__B (.DIODE(_02640_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15300__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15345__A1 (.DIODE(\u_usb_host.u_core.status_response_w[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15301__A (.DIODE(_02618_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15346__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15303__A (.DIODE(\u_usb_host.u_core.status_response_w[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15349__B (.DIODE(_02643_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15304__A2 (.DIODE(_02619_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15350__A1 (.DIODE(\u_usb_host.u_core.status_response_w[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15305__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15351__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15307__B (.DIODE(_02623_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15353__B (.DIODE(_02647_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15308__A1 (.DIODE(\u_usb_host.u_core.status_response_w[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15354__A1 (.DIODE(\u_usb_host.u_core.status_response_w[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15309__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15357__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15310__A (.DIODE(_02625_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15359__B (.DIODE(_02652_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15312__B (.DIODE(_02626_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15360__A1 (.DIODE(\u_usb_host.u_core.status_response_w[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15313__A1 (.DIODE(\u_usb_host.u_core.status_response_w[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15361__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15314__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15363__B (.DIODE(_02655_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15315__A (.DIODE(_02629_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15364__A1 (.DIODE(\u_usb_host.u_core.status_response_w[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15316__B (.DIODE(_02630_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15365__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15317__A1 (.DIODE(\u_usb_host.u_core.status_response_w[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15367__B (.DIODE(_02658_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15320__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15368__A1 (.DIODE(\u_usb_host.u_core.status_response_w[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15321__A (.DIODE(_02634_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15369__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15322__B (.DIODE(_02635_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15371__B (.DIODE(_02661_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15323__A1 (.DIODE(\u_usb_host.u_core.status_response_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15372__A1 (.DIODE(\u_usb_host.u_core.status_response_w[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15324__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15373__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15325__A (.DIODE(_02637_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15374__A (.DIODE(_02663_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15326__B (.DIODE(_02638_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15375__A1 (.DIODE(\u_usb_host.u_core.status_response_w[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15327__A1 (.DIODE(\u_usb_host.u_core.status_response_w[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15376__A2 (.DIODE(_05339_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15328__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15376__B1 (.DIODE(\u_usb_host.u_core.status_timeout_w ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15329__A (.DIODE(_02640_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15378__A2 (.DIODE(_08647_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15330__B (.DIODE(_02641_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15379__A2 (.DIODE(_05377_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15331__A1 (.DIODE(\u_usb_host.u_core.status_response_w[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15381__A1 (.DIODE(_08647_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15332__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15382__A (.DIODE(_02640_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15333__A (.DIODE(_02643_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15385__A (.DIODE(_02643_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15334__A1 (.DIODE(\u_usb_host.u_core.status_response_w[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15388__A (.DIODE(_02647_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15335__B1 (.DIODE(\u_usb_host.u_core.status_timeout_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15391__A (.DIODE(_02652_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15338__A (.DIODE(_02646_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15395__A (.DIODE(_02655_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15340__B1 (.DIODE(\u_usb_host.u_core.status_rx_done_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15398__A (.DIODE(_02658_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15341__A2 (.DIODE(_02648_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15401__A (.DIODE(_02661_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15343__B2 (.DIODE(\u_usb_host.u_core.status_tx_done_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15404__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15344__A0 (.DIODE(_02619_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15406__A (.DIODE(_02684_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15346__A (.DIODE(_02623_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15408__A0 (.DIODE(_02685_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15349__A (.DIODE(_02626_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15447__A1 (.DIODE(_07941_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15352__A (.DIODE(_02630_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15460__A1 (.DIODE(\u_usb_host.u_core.u_sie.utmi_data_i[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15356__A (.DIODE(_02635_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15474__A (.DIODE(_05330_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15359__A (.DIODE(_02638_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15475__A2 (.DIODE(_05377_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15362__A (.DIODE(_02641_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15480__A0 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15365__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15480__A1 (.DIODE(_08557_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15367__A (.DIODE(_02666_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15481__A0 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15369__A0 (.DIODE(_02667_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15481__A1 (.DIODE(_08470_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15408__A1 (.DIODE(_07927_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15483__A0 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15419__A1 (.DIODE(\u_usb_host.u_core.u_sie.utmi_data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15483__A1 (.DIODE(_08228_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15421__A1 (.DIODE(\u_usb_host.u_core.u_sie.utmi_data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15484__A0 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15436__A2 (.DIODE(_02648_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15484__A1 (.DIODE(_08366_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15440__A (.DIODE(_02709_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15487__A0 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15441__A (.DIODE(_02646_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15487__A1 (.DIODE(_08643_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15442__A0 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15487__S (.DIODE(_05377_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15442__A1 (.DIODE(_08204_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15491__A0 (.DIODE(_02663_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15443__A0 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15491__A1 (.DIODE(_08865_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15443__A1 (.DIODE(_08324_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15492__A0 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15445__A0 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15492__A1 (.DIODE(_08790_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15445__A1 (.DIODE(_08505_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15495__A0 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15446__A0 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15495__A1 (.DIODE(_08723_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15446__A1 (.DIODE(_08425_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15510__B2 (.DIODE(\u_usb_host.u_core.u_sie.crc_out_w[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15450__A0 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15511__B2 (.DIODE(\u_usb_host.u_core.u_sie.crc_out_w[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15450__A1 (.DIODE(_08601_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15539__A1 (.DIODE(\u_usb_host.u_core.u_sie.crc_out_w[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15455__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15543__A1 (.DIODE(\u_usb_host.u_core.u_sie.crc_out_w[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15455__B (.DIODE(_02648_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15563__A1 (.DIODE(\u_usb_host.u_core.status_crc_err_w ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15456__A1 (.DIODE(_02648_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15563__B2 (.DIODE(_05369_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15456__A2 (.DIODE(_08750_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15564__A (.DIODE(_02304_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15457__A0 (.DIODE(_02643_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15565__A (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15457__A1 (.DIODE(_08817_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15573__B (.DIODE(_02804_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15458__A (.DIODE(\u_usb_host.u_core.u_sie.crc_sum_q[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15575__A (.DIODE(_02806_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15461__A0 (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15582__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[63][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15461__A1 (.DIODE(_08677_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15584__A (.DIODE(_02310_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15470__A (.DIODE(_02709_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15585__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[63][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15471__B2 (.DIODE(\u_usb_host.u_core.u_sie.crc_out_w[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15587__A (.DIODE(_02314_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15473__B2 (.DIODE(\u_usb_host.u_core.u_sie.crc_out_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15588__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[63][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15474__A1 (.DIODE(_08612_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15590__A (.DIODE(_02318_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15474__B2 (.DIODE(\u_usb_host.u_core.u_sie.crc_out_w[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15591__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[63][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15475__B (.DIODE(\u_usb_host.u_core.u_sie.crc_sum_q[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15593__A (.DIODE(_02322_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15477__A1 (.DIODE(_08683_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15595__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[63][4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15480__A (.DIODE(_02709_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15597__A (.DIODE(_02331_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15482__A1 (.DIODE(\u_usb_host.u_core.u_sie.crc_sum_q[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15600__A (.DIODE(_02338_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15503__A (.DIODE(_02709_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15603__A (.DIODE(_02344_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15505__A1 (.DIODE(\u_usb_host.u_core.u_sie.crc_out_w[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15604__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[63][7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15507__A (.DIODE(_08612_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15608__A (.DIODE(_02831_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15508__A1 (.DIODE(_08612_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15609__A1 (.DIODE(_07842_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15509__A1 (.DIODE(\u_usb_host.u_core.u_sie.crc_out_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15611__A1 (.DIODE(_07846_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15510__A (.DIODE(_08683_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15613__A1 (.DIODE(_07848_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15511__A1 (.DIODE(_08683_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15615__A (.DIODE(_02831_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15512__A1 (.DIODE(\u_usb_host.u_core.u_sie.crc_out_w[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15616__A1 (.DIODE(_07862_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15518__A1 (.DIODE(\u_usb_host.u_core.u_sie.crc_sum_q[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15618__A1 (.DIODE(_07875_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15523__A1 (.DIODE(\u_usb_host.u_core.status_crc_err_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15620__A (.DIODE(net42),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15526__A (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15623__A (.DIODE(net43),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15534__B (.DIODE(_02786_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15626__A (.DIODE(net44),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15541__B (.DIODE(_02793_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15627__A (.DIODE(_02831_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15543__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[63][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15636__A (.DIODE(_02831_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15549__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[63][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15674__A1 (.DIODE(net39),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15554__A (.DIODE(_09621_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15676__A1 (.DIODE(_07546_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15556__S (.DIODE(_02804_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15678__A1 (.DIODE(net2),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15558__A (.DIODE(_09630_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15680__A1 (.DIODE(net3),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15559__S (.DIODE(_02804_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15683__A1 (.DIODE(_07552_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15561__A (.DIODE(_02305_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15685__A1 (.DIODE(_07554_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15562__S (.DIODE(_02804_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15687__A1 (.DIODE(_07556_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15564__A (.DIODE(_02311_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15689__A1 (.DIODE(_07558_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15565__S (.DIODE(_02804_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15697__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[62][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15570__A1 (.DIODE(_07854_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15699__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[62][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15572__A1 (.DIODE(_07858_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15701__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[62][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15574__A1 (.DIODE(_07860_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15703__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[62][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15577__A0 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.mem[3][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15706__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[62][4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15577__A1 (.DIODE(_09544_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15708__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[62][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15579__A1 (.DIODE(_02430_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15710__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[62][6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15581__A (.DIODE(net42),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15712__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[62][7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15582__A1 (.DIODE(_02821_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15714__A (.DIODE(_02304_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15584__A (.DIODE(net43),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15715__A (.DIODE(_02895_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15585__A1 (.DIODE(_02823_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15719__B (.DIODE(_02899_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15587__A (.DIODE(net44),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15720__A (.DIODE(_02900_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15589__A1 (.DIODE(_02825_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15721__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[61][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15607__A (.DIODE(_02836_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15723__A (.DIODE(_02310_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15608__S (.DIODE(_02837_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15727__A (.DIODE(_02314_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15610__S (.DIODE(_02837_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15728__A (.DIODE(_02906_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15612__S (.DIODE(_02837_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15729__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[61][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15614__S (.DIODE(_02837_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15731__A (.DIODE(_02318_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15616__A (.DIODE(_02836_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15732__A (.DIODE(_02909_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15625__A (.DIODE(_02836_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15735__A (.DIODE(_02322_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15634__A (.DIODE(_02836_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15736__A (.DIODE(_02912_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15635__A1 (.DIODE(net39),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15737__A (.DIODE(_02900_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15639__A1 (.DIODE(net2),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15740__A (.DIODE(_02331_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15641__A1 (.DIODE(net3),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15741__A (.DIODE(_02916_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15644__A1 (.DIODE(_07553_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15742__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[61][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15646__A1 (.DIODE(_07555_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15744__A (.DIODE(_02338_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15650__A1 (.DIODE(_07559_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15745__A (.DIODE(_02919_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15656__B (.DIODE(_02865_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15748__A (.DIODE(_02344_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15658__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[62][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15749__A (.DIODE(_02922_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15660__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[62][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15756__A (.DIODE(_02928_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15662__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[62][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15765__A (.DIODE(_02928_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15664__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[62][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15774__A (.DIODE(_02806_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15667__S (.DIODE(_02872_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15780__B (.DIODE(_02944_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15669__S (.DIODE(_02872_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15781__A (.DIODE(_02945_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15671__S (.DIODE(_02872_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15790__A (.DIODE(_02945_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15673__S (.DIODE(_02872_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15800__B (.DIODE(_02956_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15680__B (.DIODE(_02881_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15801__A (.DIODE(_02957_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15689__A (.DIODE(_02888_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15802__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[58][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15694__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[61][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15804__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[58][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15696__A (.DIODE(_09621_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15810__A (.DIODE(_02957_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15701__A (.DIODE(_09630_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15813__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[58][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15705__A (.DIODE(_02305_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15819__A (.DIODE(_02895_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15709__A (.DIODE(_02311_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15821__B (.DIODE(_02969_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15716__B (.DIODE(_02909_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15822__A (.DIODE(_02970_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15740__B (.DIODE(_02925_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15828__A (.DIODE(_02906_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15760__B (.DIODE(_02937_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15831__A (.DIODE(_02909_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15771__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[58][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15834__A (.DIODE(_02912_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15771__S (.DIODE(_02944_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15835__A (.DIODE(_02970_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15773__S (.DIODE(_02944_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15838__A (.DIODE(_02916_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15775__S (.DIODE(_02944_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15839__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[57][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15777__S (.DIODE(_02944_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15841__A (.DIODE(_02919_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15781__B (.DIODE(_02950_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15842__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[57][6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15782__A (.DIODE(_02951_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15844__A (.DIODE(_02922_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15788__A (.DIODE(_02888_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15849__B (.DIODE(_02989_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15795__A (.DIODE(_02951_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15850__A (.DIODE(_02990_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15808__B (.DIODE(_02969_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15857__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[56][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15809__A (.DIODE(_02970_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15859__A (.DIODE(_02990_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15818__A (.DIODE(_02970_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15862__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[56][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15831__B (.DIODE(_02984_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15868__A (.DIODE(_02806_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15832__A (.DIODE(_02985_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15872__A (.DIODE(_03001_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15837__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[55][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15872__B (.DIODE(_03004_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15841__A (.DIODE(_02985_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15873__A (.DIODE(_03005_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15853__B (.DIODE(_02998_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15876__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[55][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15854__A (.DIODE(_02999_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15880__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[55][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15863__A (.DIODE(_02999_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15882__A (.DIODE(_03005_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15874__B (.DIODE(_03011_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15893__A (.DIODE(_03001_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15881__A (.DIODE(_02888_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15893__B (.DIODE(_03017_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15889__S (.DIODE(_03022_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15894__A (.DIODE(_03018_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15892__S (.DIODE(_03022_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15903__A (.DIODE(_03018_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15895__S (.DIODE(_03022_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15906__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[54][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15898__S (.DIODE(_03022_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15912__A (.DIODE(_02895_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15901__B (.DIODE(_03030_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15914__A (.DIODE(_03001_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15912__S (.DIODE(_03037_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15914__B (.DIODE(_03030_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15914__S (.DIODE(_03037_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15916__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[53][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15916__S (.DIODE(_03037_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15919__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[53][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15918__S (.DIODE(_03037_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15921__A (.DIODE(_02906_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15924__A (.DIODE(_03042_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15922__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[53][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15924__B (.DIODE(_03045_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15924__A (.DIODE(_02909_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15925__A (.DIODE(_03046_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15925__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[53][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15934__A (.DIODE(_03046_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15927__A (.DIODE(_02912_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15935__S (.DIODE(_03052_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15929__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[53][4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15937__S (.DIODE(_03052_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15931__A (.DIODE(_02916_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15939__S (.DIODE(_03052_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15932__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[53][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15941__S (.DIODE(_03052_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15934__A (.DIODE(_02919_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15944__A (.DIODE(_03042_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15935__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[53][6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15944__B (.DIODE(_03057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15937__A (.DIODE(_02922_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15964__A (.DIODE(_03069_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15938__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[53][7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15966__A (.DIODE(_03042_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15941__A (.DIODE(_03001_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15966__B (.DIODE(_03071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15941__B (.DIODE(_03049_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15971__A (.DIODE(_03075_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15943__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[52][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15972__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[49][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15945__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[52][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15975__A (.DIODE(_03078_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15947__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[52][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15976__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[49][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15949__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[52][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15979__A (.DIODE(_03081_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15952__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[52][4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15980__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[49][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15954__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[52][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15982__A (.DIODE(_09621_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15956__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[52][6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15985__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[49][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15958__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[52][7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15987__A (.DIODE(_09630_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15960__A (.DIODE(_02806_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15989__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[49][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15964__B (.DIODE(_03064_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15991__A (.DIODE(_02305_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15966__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[51][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15993__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[49][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15968__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[51][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__15995__A (.DIODE(_02311_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15970__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[51][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16000__A (.DIODE(_03042_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15972__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[51][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16000__B (.DIODE(_03097_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15979__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[51][6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16004__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[48][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15981__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[51][7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16006__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[48][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15984__B (.DIODE(_03076_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16008__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[48][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15986__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[50][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16011__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[48][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15988__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[50][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16013__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[48][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15990__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[50][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16020__C_N (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15992__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[50][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16021__A (.DIODE(_03110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15995__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[50][4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16022__A (.DIODE(_02793_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15997__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[50][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16026__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[47][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__15999__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[50][6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16030__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[47][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16001__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[50][7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16033__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[47][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16003__A (.DIODE(_02304_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16041__A (.DIODE(_02865_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16004__A (.DIODE(_03088_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16045__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[46][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16006__B (.DIODE(_03090_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16047__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[46][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16008__S (.DIODE(_03092_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16049__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[46][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16010__A (.DIODE(_02310_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16060__A (.DIODE(_03069_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16011__A (.DIODE(_03094_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16061__A (.DIODE(_02881_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16012__S (.DIODE(_03092_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16063__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[45][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16014__A (.DIODE(_02314_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16065__A (.DIODE(_03075_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16015__A (.DIODE(_03097_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16066__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[45][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16016__S (.DIODE(_03092_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16068__A (.DIODE(_03078_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16018__A (.DIODE(_02318_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16069__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[45][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16019__A (.DIODE(_03100_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16071__A (.DIODE(_03081_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16020__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[49][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16072__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[45][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16020__S (.DIODE(_03092_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16076__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[45][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16022__A (.DIODE(_02322_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16079__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[45][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16023__A (.DIODE(_03103_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16082__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[45][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16027__A (.DIODE(_02331_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16085__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[45][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16028__A (.DIODE(_03107_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16087__A (.DIODE(_02909_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16031__A (.DIODE(_02338_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16089__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[44][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16032__A (.DIODE(_03110_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16091__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[44][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16035__A (.DIODE(_02344_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16093__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[44][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16036__A (.DIODE(_03113_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16095__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[44][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16040__B (.DIODE(_03116_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16098__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[44][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16042__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[48][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16100__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[44][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16042__S (.DIODE(_03118_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16102__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[44][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16044__S (.DIODE(_03118_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16104__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[44][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16046__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[48][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16106__A (.DIODE(_03110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16046__S (.DIODE(_03118_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16107__A (.DIODE(_02925_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16048__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[48][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16109__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[43][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16048__S (.DIODE(_03118_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16111__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[43][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16060__C_N (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16113__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[43][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16061__A (.DIODE(_03129_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16115__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[43][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16063__A (.DIODE(_03131_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16118__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[43][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16064__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[47][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16120__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[43][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16064__S (.DIODE(_03132_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16122__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[43][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16066__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[47][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16124__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[43][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16066__S (.DIODE(_03132_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16126__A (.DIODE(_02937_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16068__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[47][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16128__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[42][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16068__S (.DIODE(_03132_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16130__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[42][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16070__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[47][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16132__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[42][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16070__S (.DIODE(_03132_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16134__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[42][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16072__A (.DIODE(_03131_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16137__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[42][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16075__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[47][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16139__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[42][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16082__A (.DIODE(_03142_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16141__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[42][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16083__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[46][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16143__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[42][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16085__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[46][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16145__A (.DIODE(_03069_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16087__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[46][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16146__A (.DIODE(_02950_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16089__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[46][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16148__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[41][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16091__A (.DIODE(_03142_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16150__A (.DIODE(_03075_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16096__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[46][6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16153__A (.DIODE(_03078_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16100__A (.DIODE(_03088_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16156__A (.DIODE(_03081_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16101__A (.DIODE(_02899_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16157__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[41][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16105__A (.DIODE(_03094_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16164__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[41][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16108__A (.DIODE(_03097_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16170__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[41][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16109__A1 (.DIODE(_03159_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16172__A (.DIODE(_02969_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16111__A (.DIODE(_03100_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16174__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[40][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16112__A1 (.DIODE(_03161_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16180__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[40][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16114__A (.DIODE(_03103_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16187__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[40][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16116__S (.DIODE(_03164_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16189__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[40][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16118__A (.DIODE(_03107_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16191__A (.DIODE(_03110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16119__A1 (.DIODE(_03166_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16192__A (.DIODE(_02984_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16119__S (.DIODE(_03164_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16194__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[39][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16121__A (.DIODE(_03110_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16196__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[39][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16122__S (.DIODE(_03164_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16198__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[39][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16124__A (.DIODE(_03113_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16200__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[39][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16125__S (.DIODE(_03164_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16203__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[39][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16133__A1 (.DIODE(_03159_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16205__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[39][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16135__A1 (.DIODE(_03161_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16211__A (.DIODE(_02998_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16138__S (.DIODE(_03178_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16213__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[38][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16140__A1 (.DIODE(_03166_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16215__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[38][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16140__S (.DIODE(_03178_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16230__A (.DIODE(_03069_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16142__S (.DIODE(_03178_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16231__A (.DIODE(_03011_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16144__S (.DIODE(_03178_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16233__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[37][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16146__A (.DIODE(_03129_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16235__A (.DIODE(_03075_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16147__A (.DIODE(_02944_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16236__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[37][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16149__S (.DIODE(_03185_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16238__A (.DIODE(_03078_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16151__S (.DIODE(_03185_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16239__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[37][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16153__A1 (.DIODE(_03159_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16241__A (.DIODE(_03081_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16153__S (.DIODE(_03185_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16242__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[37][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16155__A1 (.DIODE(_03161_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16246__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[37][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16155__S (.DIODE(_03185_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16249__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[37][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16160__A1 (.DIODE(_03166_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16252__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[37][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16166__A (.DIODE(_02956_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16255__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[37][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16168__S (.DIODE(_03196_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16257__A (.DIODE(_03030_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16170__S (.DIODE(_03196_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16259__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[36][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16172__A1 (.DIODE(_03159_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16261__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[36][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16172__S (.DIODE(_03196_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16263__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[36][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16174__A1 (.DIODE(_03161_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16265__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[36][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16174__S (.DIODE(_03196_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16268__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[36][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16177__S (.DIODE(_03201_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16270__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[36][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16179__A1 (.DIODE(_03166_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16272__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[36][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16179__S (.DIODE(_03201_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16274__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[36][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16181__S (.DIODE(_03201_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16276__A (.DIODE(_03110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16183__S (.DIODE(_03201_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16277__A (.DIODE(_03045_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16185__A (.DIODE(_03088_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16279__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[35][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16186__A (.DIODE(_02969_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16281__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[35][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16187__A (.DIODE(_03207_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16283__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[35][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16190__A (.DIODE(_03094_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16285__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[35][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16193__A (.DIODE(_03097_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16288__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[35][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16196__A (.DIODE(_03100_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16290__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[35][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16199__A (.DIODE(_03103_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16292__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[35][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16200__A (.DIODE(_03207_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16294__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[35][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16201__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[41][4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16296__A (.DIODE(_03057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16203__A (.DIODE(_03107_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16298__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[34][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16204__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[41][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16300__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[34][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16206__A (.DIODE(_03110_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16302__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[34][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16207__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[41][6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16304__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[34][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16209__A (.DIODE(_03113_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16307__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[34][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16212__A (.DIODE(_02989_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16309__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[34][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16213__A (.DIODE(_03225_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16311__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[34][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16222__A (.DIODE(_03225_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16313__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[34][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16223__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[40][4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16316__A (.DIODE(_03293_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16225__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[40][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16317__A (.DIODE(_03071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16227__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[40][6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16322__A (.DIODE(_03298_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16231__A (.DIODE(_03129_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16326__A (.DIODE(_03301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16232__A (.DIODE(_03004_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16330__A (.DIODE(_03304_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16232__B (.DIODE(_03236_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16334__A (.DIODE(_03307_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16233__A (.DIODE(_03237_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16338__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16238__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[39][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16340__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[33][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16242__A (.DIODE(_03237_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16342__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16243__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[39][4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16346__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16245__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[39][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16350__A (.DIODE(_03097_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16247__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[39][6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16370__C (.DIODE(_03331_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16249__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[39][7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16371__A (.DIODE(_03332_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16251__A (.DIODE(_03017_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16372__A (.DIODE(_02793_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16251__B (.DIODE(_03236_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16372__B (.DIODE(_03333_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16252__A (.DIODE(_03248_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16374__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[31][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16261__A (.DIODE(_03248_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16376__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[31][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16262__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[38][4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16378__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[31][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16264__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[38][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16380__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[31][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16266__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[38][6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16383__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[31][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16270__A (.DIODE(_03088_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16389__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[31][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16271__A (.DIODE(_03030_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16391__A (.DIODE(_03332_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16271__B (.DIODE(_03236_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16392__A (.DIODE(_02865_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16273__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[37][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16411__A (.DIODE(_02881_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16275__A (.DIODE(_03094_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16430__A (.DIODE(_03293_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16276__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[37][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16431__A (.DIODE(_02909_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16278__A (.DIODE(_03097_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16433__S (.DIODE(_03370_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16279__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[37][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16435__A (.DIODE(_03298_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16281__A (.DIODE(_03100_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16436__S (.DIODE(_03370_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16282__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[37][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16438__A (.DIODE(_03301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16284__A (.DIODE(_03103_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16439__S (.DIODE(_03370_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16288__A (.DIODE(_03107_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16441__A (.DIODE(_03304_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16291__A (.DIODE(_03110_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16442__S (.DIODE(_03370_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16294__A (.DIODE(_03113_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16444__A (.DIODE(_03307_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16297__A (.DIODE(_03049_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16446__S (.DIODE(_03379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16297__B (.DIODE(_03236_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16449__S (.DIODE(_03379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16299__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[36][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16452__S (.DIODE(_03379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16301__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[36][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16455__S (.DIODE(_03379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16303__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[36][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16457__A (.DIODE(_02925_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16305__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[36][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16468__S (.DIODE(_03393_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16308__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[36][4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16470__S (.DIODE(_03393_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16310__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[36][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16472__S (.DIODE(_03393_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16312__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[36][6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16474__S (.DIODE(_03393_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16314__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[36][7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16476__A (.DIODE(_03332_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16316__A (.DIODE(_03129_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16477__A (.DIODE(_02937_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16317__A (.DIODE(_03064_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16483__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[26][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16317__B (.DIODE(_03289_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16488__S (.DIODE(_03405_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16321__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[35][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16490__S (.DIODE(_03405_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16325__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[35][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16492__S (.DIODE(_03405_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16336__A (.DIODE(_03076_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16494__S (.DIODE(_03405_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16336__B (.DIODE(_03289_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16496__A (.DIODE(_02950_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16338__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[34][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16497__A (.DIODE(_03410_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16340__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[34][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16498__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[25][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16342__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[34][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16506__A (.DIODE(_03410_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16344__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[34][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16515__A (.DIODE(_03293_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16349__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[34][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16516__A (.DIODE(_02969_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16351__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[34][6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16520__A (.DIODE(_03298_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16353__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[34][7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16523__A (.DIODE(_03301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16356__A (.DIODE(_03312_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16526__A (.DIODE(_03304_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16357__A (.DIODE(_03090_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16529__A (.DIODE(_03307_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16357__B (.DIODE(_03289_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16542__A (.DIODE(_02984_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16362__A (.DIODE(_03317_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16561__A (.DIODE(_03332_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16366__A (.DIODE(_03320_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16562__A (.DIODE(_02998_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16370__A (.DIODE(_03323_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16581__A (.DIODE(_03011_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16374__A (.DIODE(_03326_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16592__S (.DIODE(_03469_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16379__A (.DIODE(_03330_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16594__S (.DIODE(_03469_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16387__A (.DIODE(_03336_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16596__S (.DIODE(_03469_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16390__A (.DIODE(_03116_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16598__S (.DIODE(_03469_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16390__B (.DIODE(_03289_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16600__A (.DIODE(_03293_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16392__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[32][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16601__A (.DIODE(_03030_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16396__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[32][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16605__A (.DIODE(_03298_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16410__C (.DIODE(_03350_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16608__A (.DIODE(_03301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16412__B (.DIODE(_03352_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16611__A (.DIODE(_03304_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16414__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[31][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16614__A (.DIODE(_03307_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16416__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[31][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16616__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[20][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16418__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[31][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16616__S (.DIODE(_03485_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16420__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[31][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16619__S (.DIODE(_03485_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16423__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[31][4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16622__S (.DIODE(_03485_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16425__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[31][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16625__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[20][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16427__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[31][6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16625__S (.DIODE(_03485_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16429__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[31][7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16627__A (.DIODE(_03045_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16436__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[30][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16629__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[19][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16438__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[30][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16629__S (.DIODE(_03494_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16440__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[30][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16631__S (.DIODE(_03494_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16443__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[30][4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16633__S (.DIODE(_03494_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16443__S (.DIODE(_03371_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16635__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[19][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16445__S (.DIODE(_03371_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16635__S (.DIODE(_03494_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16447__S (.DIODE(_03371_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16638__S (.DIODE(_03499_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16449__S (.DIODE(_03371_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16640__S (.DIODE(_03499_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16451__A (.DIODE(_02899_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16642__S (.DIODE(_03499_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16455__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[29][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16644__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[19][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16470__A (.DIODE(_03312_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16644__S (.DIODE(_03499_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16473__S (.DIODE(_03389_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16646__A (.DIODE(_03057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16475__A (.DIODE(_03317_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16646__B (.DIODE(_03333_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16476__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[28][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16648__S (.DIODE(_03505_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16476__S (.DIODE(_03389_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16650__S (.DIODE(_03505_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16478__A (.DIODE(_03320_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16652__S (.DIODE(_03505_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16479__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[28][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16654__S (.DIODE(_03505_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16479__S (.DIODE(_03389_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16657__S (.DIODE(_03510_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16481__A (.DIODE(_03323_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16659__S (.DIODE(_03510_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16482__S (.DIODE(_03389_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16661__S (.DIODE(_03510_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16484__A (.DIODE(_03326_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16663__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[18][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16488__A (.DIODE(_03330_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16663__S (.DIODE(_03510_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16494__A (.DIODE(_03336_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16665__A (.DIODE(_03071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16497__A (.DIODE(_02944_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16665__B (.DIODE(_03333_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16499__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[27][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16667__S (.DIODE(_03516_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16499__S (.DIODE(_03407_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16669__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[17][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16501__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[27][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16669__S (.DIODE(_03516_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16501__S (.DIODE(_03407_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16671__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[17][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16503__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[27][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16671__S (.DIODE(_03516_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16503__S (.DIODE(_03407_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16673__S (.DIODE(_03516_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16505__S (.DIODE(_03407_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16684__A (.DIODE(_03097_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16517__A (.DIODE(_02956_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16684__B (.DIODE(_03333_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16519__S (.DIODE(_03419_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16686__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[16][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16521__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[26][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16688__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[16][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16521__S (.DIODE(_03419_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16690__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[16][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16523__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[26][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16692__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[16][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16523__S (.DIODE(_03419_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16699__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[16][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16525__S (.DIODE(_03419_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16701__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[16][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16536__A (.DIODE(_02969_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16703__C (.DIODE(_03331_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16537__A (.DIODE(_03429_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16703__D_N (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16540__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[25][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16705__A (.DIODE(_02793_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16542__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[25][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16707__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[15][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16546__A (.DIODE(_03429_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16709__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[15][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16555__A (.DIODE(_03312_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16711__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[15][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16556__A (.DIODE(_02989_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16713__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[15][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16560__A (.DIODE(_03317_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16716__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[15][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16561__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[24][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16718__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[15][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16563__A (.DIODE(_03320_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16720__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[15][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16566__A (.DIODE(_03323_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16722__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[15][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16569__A (.DIODE(_03326_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16724__A (.DIODE(_02865_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16571__S (.DIODE(_03451_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16725__A (.DIODE(_03550_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16573__A (.DIODE(_03330_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16726__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[14][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16574__S (.DIODE(_03451_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16728__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[14][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16577__S (.DIODE(_03451_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16730__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[14][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16579__A (.DIODE(_03336_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16732__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[14][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16580__S (.DIODE(_03451_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16734__A (.DIODE(_03550_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16582__A (.DIODE(_03004_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16735__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[14][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16583__A (.DIODE(_03459_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16737__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[14][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16592__A (.DIODE(_03459_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16741__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[14][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16593__S (.DIODE(_03465_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16744__A (.DIODE(_02881_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16595__S (.DIODE(_03465_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16749__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[13][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16597__S (.DIODE(_03465_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16759__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[13][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16599__S (.DIODE(_03465_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16765__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[13][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16602__A (.DIODE(_03017_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16768__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[13][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16602__B (.DIODE(_03470_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16770__A (.DIODE(_02909_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16603__A (.DIODE(_03471_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16772__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[12][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16612__A (.DIODE(_03471_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16774__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[12][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16613__S (.DIODE(_03477_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16776__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[12][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16615__S (.DIODE(_03477_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16778__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[12][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16617__S (.DIODE(_03477_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16781__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[12][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16619__S (.DIODE(_03477_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16785__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[12][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16621__A (.DIODE(_03030_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16787__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[12][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16621__B (.DIODE(_03470_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16790__A (.DIODE(_02925_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16622__A (.DIODE(_03482_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16792__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[11][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16631__A (.DIODE(_03482_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16794__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[11][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16632__S (.DIODE(_03488_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16796__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[11][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16634__S (.DIODE(_03488_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16798__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[11][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16636__S (.DIODE(_03488_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16801__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[11][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16638__S (.DIODE(_03488_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16801__S (.DIODE(_03598_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16640__A (.DIODE(_03312_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16803__S (.DIODE(_03598_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16641__A (.DIODE(_03049_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16805__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[11][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16641__B (.DIODE(_03470_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16805__S (.DIODE(_03598_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16645__A (.DIODE(_03317_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16807__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[11][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16646__A1 (.DIODE(_03497_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16807__S (.DIODE(_03598_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16648__A (.DIODE(_03320_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16809__A (.DIODE(_02937_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16649__A1 (.DIODE(_03499_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16813__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[10][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16651__A (.DIODE(_03323_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16817__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[10][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16652__A1 (.DIODE(_03501_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16824__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[10][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16654__A (.DIODE(_03326_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16826__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[10][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16658__A (.DIODE(_03330_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16829__A (.DIODE(_02950_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16662__A1 (.DIODE(_03508_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16831__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[9][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16664__A (.DIODE(_03336_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16834__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[9][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16667__A (.DIODE(_03064_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16837__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[9][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16667__B (.DIODE(_03470_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16840__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[9][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16671__A1 (.DIODE(_03497_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16844__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[9][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16673__A1 (.DIODE(_03499_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16847__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[9][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16675__A1 (.DIODE(_03501_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16850__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[9][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16682__A1 (.DIODE(_03508_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16853__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[9][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16686__A (.DIODE(_03076_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16855__A (.DIODE(_02969_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16686__B (.DIODE(_03352_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16857__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[8][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16690__A1 (.DIODE(_03497_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16859__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[8][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16692__A1 (.DIODE(_03499_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16861__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[8][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16694__A1 (.DIODE(_03501_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16863__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[8][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16701__A1 (.DIODE(_03508_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16866__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[8][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16705__A (.DIODE(_03090_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16868__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[8][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16705__B (.DIODE(_03352_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16870__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[8][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16707__S (.DIODE(_03535_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16872__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[8][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16709__A1 (.DIODE(_03497_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16877__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[7][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16709__S (.DIODE(_03535_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16879__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[7][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16711__A1 (.DIODE(_03499_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16881__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[7][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16711__S (.DIODE(_03535_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16883__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[7][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16713__A1 (.DIODE(_03501_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16886__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[7][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16713__S (.DIODE(_03535_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16888__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[7][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16720__A1 (.DIODE(_03508_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16890__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[7][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16724__A (.DIODE(_03116_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16892__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[7][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16724__B (.DIODE(_03352_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16894__A (.DIODE(_02998_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16726__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[16][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16896__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[6][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16728__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[16][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16898__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[6][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16730__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[16][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16900__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[6][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16732__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[16][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16902__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[6][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16735__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[16][4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16905__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[6][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16737__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[16][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16907__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[6][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16739__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[16][6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16909__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[6][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16741__A0 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[16][7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16911__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[6][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16743__C (.DIODE(_03350_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16914__A (.DIODE(_03011_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16743__D_N (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16916__S (.DIODE(_03669_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16747__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[15][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16919__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[5][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16749__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[15][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16919__S (.DIODE(_03669_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16751__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[15][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16921__A (.DIODE(_02888_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16753__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[15][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16922__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[5][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16756__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[15][4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16922__S (.DIODE(_03669_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16758__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[15][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16925__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[5][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16760__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[15][6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16925__S (.DIODE(_03669_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16762__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[15][7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16929__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[5][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16766__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[14][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16929__S (.DIODE(_03678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16768__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[14][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16932__S (.DIODE(_03678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16770__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[14][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16935__S (.DIODE(_03678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16772__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[14][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16938__S (.DIODE(_03678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16775__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[14][4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16940__A (.DIODE(_03030_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16777__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[14][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16942__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[4][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16779__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[14][6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16942__S (.DIODE(_03687_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16781__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[14][7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16944__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[4][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16784__A (.DIODE(_02899_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16944__S (.DIODE(_03687_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16786__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[13][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16946__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[4][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16789__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[13][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16946__S (.DIODE(_03687_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16792__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[13][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16948__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[4][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16795__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[13][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16948__S (.DIODE(_03687_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16799__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[13][4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16955__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[4][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16802__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[13][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16960__A (.DIODE(_03045_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16805__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[13][6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16961__A (.DIODE(_03698_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16808__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[13][7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16964__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[3][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16812__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[12][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16966__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[3][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16814__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[12][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16968__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[3][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16816__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[12][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16970__A (.DIODE(_03698_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16818__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[12][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16971__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[3][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16821__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[12][4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16973__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[3][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16823__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[12][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16979__A (.DIODE(_03057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16825__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[12][6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16981__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[2][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16827__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[12][7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16985__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[2][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16830__A (.DIODE(_02944_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16987__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[2][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16832__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[11][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16992__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[2][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16834__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[11][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__16998__A (.DIODE(_03071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16836__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[11][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17000__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[1][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16838__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[11][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17009__S (.DIODE(_03726_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16841__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[11][4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17011__S (.DIODE(_03726_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16843__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[11][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17013__S (.DIODE(_03726_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16845__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[11][6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17015__S (.DIODE(_03726_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16847__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[11][7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17017__A (.DIODE(_03097_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16849__A (.DIODE(_02956_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17028__S (.DIODE(_03737_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16851__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[10][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17030__S (.DIODE(_03737_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16853__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[10][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17032__S (.DIODE(_03737_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16855__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[10][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17034__S (.DIODE(_03737_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16857__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[10][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17036__A (.DIODE(_03331_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16860__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[10][4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17037__B1 (.DIODE(_02484_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16862__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[10][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17038__A (.DIODE(_03742_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16864__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[10][6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17039__A (.DIODE(_03742_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16866__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[10][7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17041__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.flush_i ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16869__A (.DIODE(_02969_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17057__A (.DIODE(_03742_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16871__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[9][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17057__B (.DIODE(_03760_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16874__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[9][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17084__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.flush_i ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16877__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[9][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17086__A0 (.DIODE(_03760_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16880__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[9][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17086__A1 (.DIODE(_03783_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16884__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[9][4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17091__A (.DIODE(_03760_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16887__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[9][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17092__A (.DIODE(_03783_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16890__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[9][6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17097__B1 (.DIODE(_08596_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16893__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[9][7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17099__B (.DIODE(_08725_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16895__A (.DIODE(_02989_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17100__B (.DIODE(_08725_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16897__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[8][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17101__A1 (.DIODE(_03760_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16899__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[8][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17101__B1 (.DIODE(_03783_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16901__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[8][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17102__B1 (.DIODE(_08386_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16903__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[8][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17104__A (.DIODE(_02786_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16906__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[8][4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17106__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.flush_i ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16908__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[8][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17115__A1_N (.DIODE(_03742_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16910__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[8][6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17115__A2_N (.DIODE(_02984_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16912__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[8][7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17122__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16915__B (.DIODE(_03663_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17130__B1 (.DIODE(_03816_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16917__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[7][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17131__A (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16917__S (.DIODE(_03665_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17141__S (.DIODE(_03827_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16919__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[7][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17144__A1 (.DIODE(_03829_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16919__S (.DIODE(_03665_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17144__S (.DIODE(_03827_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16921__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[7][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17146__A (.DIODE(_02625_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16921__S (.DIODE(_03665_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17147__S (.DIODE(_03827_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16923__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[7][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17149__A (.DIODE(_02629_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16923__S (.DIODE(_03665_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17150__S (.DIODE(_03827_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16928__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[7][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17152__A (.DIODE(_02634_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16930__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[7][6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17154__S (.DIODE(_03836_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16932__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[7][7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17156__A (.DIODE(_02637_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16934__A (.DIODE(_03017_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17157__A1 (.DIODE(_03838_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16934__B (.DIODE(_03663_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17157__S (.DIODE(_03836_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16936__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[6][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17159__A (.DIODE(_02640_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16938__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[6][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17160__S (.DIODE(_03836_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16940__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[6][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17163__S (.DIODE(_03836_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16942__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[6][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17167__S (.DIODE(_03845_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16945__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[6][4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17169__S (.DIODE(_03845_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16947__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[6][5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17171__S (.DIODE(_03845_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16949__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[6][6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17173__S (.DIODE(_03845_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16951__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[6][7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17176__A1 (.DIODE(_06291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16953__A (.DIODE(_02895_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17178__A1 (.DIODE(_06294_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16954__A (.DIODE(_03030_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17180__A1 (.DIODE(_06296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16954__B (.DIODE(_03663_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17188__B (.DIODE(_03858_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16959__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[5][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17190__S (.DIODE(_03860_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16961__A (.DIODE(_02906_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17192__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16962__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[5][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17194__S (.DIODE(_03860_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16964__A (.DIODE(_02909_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17196__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16967__A (.DIODE(_02912_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17198__S (.DIODE(_03860_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16971__A (.DIODE(_02916_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17200__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16974__A (.DIODE(_02919_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17202__S (.DIODE(_03860_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16977__A (.DIODE(_02922_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17204__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16980__A (.DIODE(_03049_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17207__S (.DIODE(_03873_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16980__B (.DIODE(_03663_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17209__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__16986__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[4][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17211__A1 (.DIODE(_03876_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17000__A (.DIODE(_03064_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17211__S (.DIODE(_03873_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17000__B (.DIODE(_03716_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17213__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17019__A (.DIODE(_03076_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17215__S (.DIODE(_03873_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17019__B (.DIODE(_03716_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17219__S (.DIODE(_03873_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17021__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[2][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17225__S (.DIODE(_03887_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17023__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[2][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17227__S (.DIODE(_03887_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17027__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[2][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17229__S (.DIODE(_03887_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17038__A (.DIODE(_03090_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17231__S (.DIODE(_03887_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17038__B (.DIODE(_03716_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17234__S (.DIODE(_03892_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17044__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[1][2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17236__A1 (.DIODE(_03876_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17046__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[1][3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17236__S (.DIODE(_03892_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17055__A1 (.DIODE(\u_usb_host.u_core.u_fifo_tx.ram[1][7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17238__S (.DIODE(_03892_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17057__A (.DIODE(_03116_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17240__S (.DIODE(_03892_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17057__B (.DIODE(_03716_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17247__S (.DIODE(_03901_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17076__A (.DIODE(_03350_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17249__S (.DIODE(_03901_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17077__B1 (.DIODE(_02502_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17251__S (.DIODE(_03901_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17078__A (.DIODE(_03761_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17253__S (.DIODE(_03901_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17079__A (.DIODE(_03761_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17256__S (.DIODE(_03906_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17081__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.flush_i ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17258__A1 (.DIODE(_03876_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17097__A (.DIODE(_03761_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17258__S (.DIODE(_03906_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17097__B (.DIODE(_03779_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17260__S (.DIODE(_03906_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17124__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.flush_i ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17262__S (.DIODE(_03906_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17126__A0 (.DIODE(_03779_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17271__S (.DIODE(_03917_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17126__A1 (.DIODE(_03802_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17273__S (.DIODE(_03917_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17131__A (.DIODE(_03779_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17275__S (.DIODE(_03917_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17132__A (.DIODE(_03802_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17277__S (.DIODE(_03917_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17137__B1 (.DIODE(_08619_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17280__S (.DIODE(_03922_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17139__B (.DIODE(_08607_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17282__A1 (.DIODE(_03876_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17140__B (.DIODE(_08607_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17282__S (.DIODE(_03922_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17141__A1 (.DIODE(_03779_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17284__S (.DIODE(_03922_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17141__B1 (.DIODE(_03802_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17286__S (.DIODE(_03922_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17142__B1 (.DIODE(_08579_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17291__S (.DIODE(_03929_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17144__A (.DIODE(_02804_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17294__S (.DIODE(_03929_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17146__A (.DIODE(\u_usb_host.u_core.u_fifo_tx.flush_i ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17297__S (.DIODE(_03929_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17155__A1_N (.DIODE(_03761_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17300__S (.DIODE(_03929_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17155__A2_N (.DIODE(_03004_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17304__S (.DIODE(_03938_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17171__B1 (.DIODE(_03836_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17307__S (.DIODE(_03938_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17172__A (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17310__S (.DIODE(_03938_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17179__A (.DIODE(_03844_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17313__A (.DIODE(_03944_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17182__S (.DIODE(_03847_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17314__S (.DIODE(_03938_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17185__S (.DIODE(_03847_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17328__S (.DIODE(_03954_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17188__A1 (.DIODE(_03851_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17330__A0 (.DIODE(\u_usb_host.u_core.u_fifo_rx.ram[57][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17188__S (.DIODE(_03847_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17330__S (.DIODE(_03954_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17191__A1 (.DIODE(_03853_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17332__S (.DIODE(_03954_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17191__S (.DIODE(_03847_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17334__S (.DIODE(_03954_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17198__A1 (.DIODE(_03858_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17337__B (.DIODE(_03959_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17201__A1 (.DIODE(_03860_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17339__S (.DIODE(_03961_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17204__A1 (.DIODE(_03862_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17341__S (.DIODE(_03961_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17208__S (.DIODE(_03865_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17343__S (.DIODE(_03961_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17210__S (.DIODE(_03865_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17345__S (.DIODE(_03961_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17212__S (.DIODE(_03865_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17348__S (.DIODE(_03966_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17214__S (.DIODE(_03865_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17350__S (.DIODE(_03966_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17223__A1 (.DIODE(_06266_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17352__S (.DIODE(_03966_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17225__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17354__S (.DIODE(_03966_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17231__B (.DIODE(_03880_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17361__B (.DIODE(_03975_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17235__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17363__A1 (.DIODE(_03971_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17236__A (.DIODE(_03884_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17372__S (.DIODE(_03982_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17239__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17374__A0 (.DIODE(\u_usb_host.u_core.u_fifo_rx.ram[55][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17240__A (.DIODE(_03887_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17374__S (.DIODE(_03982_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17243__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17376__A0 (.DIODE(\u_usb_host.u_core.u_fifo_rx.ram[55][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17247__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17376__S (.DIODE(_03982_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17252__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17378__S (.DIODE(_03982_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17253__A (.DIODE(_03897_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17382__B (.DIODE(_03988_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17256__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17384__A1 (.DIODE(_03971_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17257__A (.DIODE(_03900_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17384__S (.DIODE(_03990_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17261__A (.DIODE(_03903_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17387__S (.DIODE(_03990_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17266__B (.DIODE(_03907_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17390__A1 (.DIODE(_03994_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17268__S (.DIODE(_03909_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17390__S (.DIODE(_03990_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17270__S (.DIODE(_03909_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17393__S (.DIODE(_03990_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17272__S (.DIODE(_03909_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17397__S (.DIODE(_03999_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17274__S (.DIODE(_03909_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17400__S (.DIODE(_03999_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17277__S (.DIODE(_03914_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17403__S (.DIODE(_03999_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17279__S (.DIODE(_03914_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17405__A (.DIODE(_03944_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17281__S (.DIODE(_03914_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17406__S (.DIODE(_03999_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17283__S (.DIODE(_03914_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17409__B (.DIODE(_04007_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17290__S (.DIODE(_03923_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17411__A1 (.DIODE(_03971_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17292__S (.DIODE(_03923_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17411__S (.DIODE(_04009_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17294__S (.DIODE(_03923_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17413__S (.DIODE(_04009_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17296__S (.DIODE(_03923_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17415__A1 (.DIODE(_03994_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17299__S (.DIODE(_03928_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17415__S (.DIODE(_04009_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17301__S (.DIODE(_03928_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17417__S (.DIODE(_04009_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17303__S (.DIODE(_03928_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17420__S (.DIODE(_04014_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17305__S (.DIODE(_03928_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17422__S (.DIODE(_04014_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17311__B (.DIODE(_03936_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17424__S (.DIODE(_04014_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17313__S (.DIODE(_03938_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17426__S (.DIODE(_04014_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17315__S (.DIODE(_03938_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17429__B (.DIODE(_04019_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17317__S (.DIODE(_03938_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17431__A1 (.DIODE(_03971_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17319__S (.DIODE(_03938_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17431__S (.DIODE(_04021_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17322__S (.DIODE(_03943_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17433__S (.DIODE(_04021_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17324__S (.DIODE(_03943_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17435__A1 (.DIODE(_03994_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17326__S (.DIODE(_03943_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17435__S (.DIODE(_04021_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17328__S (.DIODE(_03943_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17437__S (.DIODE(_04021_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17332__B (.DIODE(_03949_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17440__S (.DIODE(_04026_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17334__S (.DIODE(_03951_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17442__S (.DIODE(_04026_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17336__A (.DIODE(_03884_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17444__S (.DIODE(_04026_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17337__S (.DIODE(_03951_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17446__S (.DIODE(_04026_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17339__A (.DIODE(_03887_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17451__A (.DIODE(_04032_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17340__S (.DIODE(_03951_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17451__B (.DIODE(_04033_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17343__S (.DIODE(_03951_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17453__S (.DIODE(_04035_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17347__S (.DIODE(_03960_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17455__S (.DIODE(_04035_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17349__A (.DIODE(_03897_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17457__A1 (.DIODE(_03994_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17350__S (.DIODE(_03960_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17457__S (.DIODE(_04035_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17352__A (.DIODE(_03900_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17459__S (.DIODE(_04035_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17353__S (.DIODE(_03960_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17462__S (.DIODE(_04040_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17356__A (.DIODE(_03966_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17464__S (.DIODE(_04040_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17357__S (.DIODE(_03960_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17466__S (.DIODE(_04040_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17360__B (.DIODE(_03969_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17468__S (.DIODE(_04040_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17362__S (.DIODE(_03971_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17472__A (.DIODE(_04032_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17364__S (.DIODE(_03971_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17472__B (.DIODE(_04046_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17366__S (.DIODE(_03971_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17474__S (.DIODE(_04048_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17368__S (.DIODE(_03971_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17477__S (.DIODE(_04048_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17371__S (.DIODE(_03976_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17480__S (.DIODE(_04048_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17373__S (.DIODE(_03976_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17483__S (.DIODE(_04048_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17375__S (.DIODE(_03976_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17487__A1 (.DIODE(_04056_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17377__S (.DIODE(_03976_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17487__S (.DIODE(_04057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17380__B (.DIODE(_03981_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17490__S (.DIODE(_04057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17382__S (.DIODE(_03983_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17493__S (.DIODE(_04057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17384__S (.DIODE(_03983_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17495__A (.DIODE(_03944_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17386__S (.DIODE(_03983_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17496__S (.DIODE(_04057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17388__S (.DIODE(_03983_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17499__A (.DIODE(_04032_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17391__S (.DIODE(_03988_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17499__B (.DIODE(_04065_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17393__S (.DIODE(_03988_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17501__S (.DIODE(_04067_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17395__S (.DIODE(_03988_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17503__S (.DIODE(_04067_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17397__S (.DIODE(_03988_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17505__S (.DIODE(_04067_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17403__B (.DIODE(_03996_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17507__S (.DIODE(_04067_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17405__S (.DIODE(_03998_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17510__A1 (.DIODE(_04056_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17407__S (.DIODE(_03998_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17510__S (.DIODE(_04072_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17409__S (.DIODE(_03998_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17512__A0 (.DIODE(\u_usb_host.u_core.u_fifo_rx.ram[49][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17411__S (.DIODE(_03998_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17512__S (.DIODE(_04072_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17414__S (.DIODE(_04003_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17514__S (.DIODE(_04072_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17416__S (.DIODE(_04003_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17516__S (.DIODE(_04072_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17418__S (.DIODE(_04003_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17520__A (.DIODE(_04032_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17420__S (.DIODE(_04003_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17520__B (.DIODE(_04078_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17425__B (.DIODE(_04010_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17522__S (.DIODE(_04080_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17427__S (.DIODE(_04012_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17524__S (.DIODE(_04080_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17429__A (.DIODE(_03884_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17526__S (.DIODE(_04080_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17430__S (.DIODE(_04012_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17528__S (.DIODE(_04080_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17432__A (.DIODE(_03887_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17531__A1 (.DIODE(_04056_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17433__S (.DIODE(_04012_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17531__S (.DIODE(_04085_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17436__S (.DIODE(_04012_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17533__S (.DIODE(_04085_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17442__A (.DIODE(_03897_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17535__S (.DIODE(_04085_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17445__A (.DIODE(_03900_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17537__S (.DIODE(_04085_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17448__A (.DIODE(_03966_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17541__A (.DIODE(_04091_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17452__B (.DIODE(_04029_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17544__A0 (.DIODE(_02619_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17454__S (.DIODE(_04031_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17553__S (.DIODE(_04099_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17456__S (.DIODE(_04031_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17555__S (.DIODE(_04099_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17458__S (.DIODE(_04031_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17557__S (.DIODE(_04099_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17460__S (.DIODE(_04031_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17559__A0 (.DIODE(_02667_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17472__B (.DIODE(_04041_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17559__S (.DIODE(_04099_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17474__S (.DIODE(_04043_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17561__A (.DIODE(_03858_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17476__S (.DIODE(_04043_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17563__A0 (.DIODE(_02619_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17478__S (.DIODE(_04043_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17572__A1 (.DIODE(\u_usb_host.u_core.u_fifo_rx.ram[46][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17480__S (.DIODE(_04043_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17572__S (.DIODE(_04110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17493__B (.DIODE(_04054_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17574__S (.DIODE(_04110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17495__S (.DIODE(_04056_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17576__S (.DIODE(_04110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17497__S (.DIODE(_04056_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17578__A0 (.DIODE(_02667_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17499__S (.DIODE(_04056_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17578__S (.DIODE(_04110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17501__S (.DIODE(_04056_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17580__A (.DIODE(_02618_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17515__B (.DIODE(_04068_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17581__A (.DIODE(_04115_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17517__S (.DIODE(_04070_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17584__A0 (.DIODE(_04116_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17519__A (.DIODE(_03884_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17584__S (.DIODE(_04118_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17520__S (.DIODE(_04070_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17586__S (.DIODE(_04118_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17522__A (.DIODE(_03887_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17588__S (.DIODE(_04118_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17523__S (.DIODE(_04070_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17590__S (.DIODE(_04118_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17526__S (.DIODE(_04070_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17593__S (.DIODE(_04123_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17530__A1 (.DIODE(_04078_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17595__S (.DIODE(_04123_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17530__S (.DIODE(_04079_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17597__S (.DIODE(_04123_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17532__A (.DIODE(_03897_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17599__A0 (.DIODE(_02667_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17533__S (.DIODE(_04079_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17599__S (.DIODE(_04123_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17535__A (.DIODE(_03900_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17601__A (.DIODE(_04091_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17536__S (.DIODE(_04079_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17604__A0 (.DIODE(_04116_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17538__A (.DIODE(_03966_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17604__S (.DIODE(_04130_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17539__S (.DIODE(_04079_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17606__A (.DIODE(_02623_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17542__B (.DIODE(_04087_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17607__S (.DIODE(_04130_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17544__S (.DIODE(_04089_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17609__A (.DIODE(_02626_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17546__S (.DIODE(_04089_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17610__S (.DIODE(_04130_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17548__S (.DIODE(_04089_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17612__A (.DIODE(_02630_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17550__S (.DIODE(_04089_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17613__A0 (.DIODE(_04136_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17553__A1 (.DIODE(_04078_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17613__S (.DIODE(_04130_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17553__S (.DIODE(_04094_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17615__A (.DIODE(_02635_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17555__S (.DIODE(_04094_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17617__S (.DIODE(_04139_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17557__S (.DIODE(_04094_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17619__A (.DIODE(_02638_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17559__S (.DIODE(_04094_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17620__A0 (.DIODE(_04141_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17563__B (.DIODE(_04100_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17620__S (.DIODE(_04139_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17565__S (.DIODE(_04102_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17622__A (.DIODE(_02641_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17567__S (.DIODE(_04102_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17623__A0 (.DIODE(_04143_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17569__S (.DIODE(_04102_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17623__S (.DIODE(_04139_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17571__S (.DIODE(_04102_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17625__A (.DIODE(_02666_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17574__A1 (.DIODE(_04078_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17626__A0 (.DIODE(_04145_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17574__S (.DIODE(_04107_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17626__S (.DIODE(_04139_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17576__S (.DIODE(_04107_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17630__A0 (.DIODE(_04116_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17578__S (.DIODE(_04107_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17630__A1 (.DIODE(\u_usb_host.u_core.u_fifo_rx.ram[43][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17580__S (.DIODE(_04107_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17630__S (.DIODE(_04148_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17584__A (.DIODE(_04113_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17632__S (.DIODE(_04148_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17585__A (.DIODE(_03844_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17634__S (.DIODE(_04148_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17602__A0 (.DIODE(_02685_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17636__A0 (.DIODE(_04136_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17604__A (.DIODE(_03880_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17636__S (.DIODE(_04148_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17621__A0 (.DIODE(_02685_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17639__S (.DIODE(_04153_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17623__A (.DIODE(_03907_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17641__A0 (.DIODE(_04141_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17634__S (.DIODE(_04143_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17641__S (.DIODE(_04153_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17636__S (.DIODE(_04143_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17643__A0 (.DIODE(_04143_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17638__S (.DIODE(_04143_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17643__S (.DIODE(_04153_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17640__A0 (.DIODE(_02685_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17645__A0 (.DIODE(_04145_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17640__S (.DIODE(_04143_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17645__S (.DIODE(_04153_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17642__A (.DIODE(_02640_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17649__A0 (.DIODE(_04116_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17643__A (.DIODE(_04113_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17649__S (.DIODE(_04159_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17646__S (.DIODE(_04151_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17651__S (.DIODE(_04159_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17648__A (.DIODE(_02643_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17653__A1 (.DIODE(\u_usb_host.u_core.u_fifo_rx.ram[42][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17649__S (.DIODE(_04151_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17653__S (.DIODE(_04159_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17651__A (.DIODE(_02647_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17655__A0 (.DIODE(_04136_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17652__S (.DIODE(_04151_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17655__S (.DIODE(_04159_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17654__A (.DIODE(_02652_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17658__S (.DIODE(_04164_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17655__S (.DIODE(_04151_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17660__A0 (.DIODE(_04141_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17657__A (.DIODE(_02655_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17660__S (.DIODE(_04164_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17659__S (.DIODE(_04160_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17662__A0 (.DIODE(_04143_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17661__A (.DIODE(_02658_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17662__S (.DIODE(_04164_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17662__A0 (.DIODE(_04162_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17664__A0 (.DIODE(_04145_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17662__S (.DIODE(_04160_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17664__S (.DIODE(_04164_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17664__A (.DIODE(_02661_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17666__A (.DIODE(_04115_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17665__A0 (.DIODE(_04164_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17669__S (.DIODE(_04171_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17665__S (.DIODE(_04160_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17671__S (.DIODE(_04171_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17667__A (.DIODE(_02684_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17673__S (.DIODE(_04171_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17668__S (.DIODE(_04160_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17675__A0 (.DIODE(_04136_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17670__A (.DIODE(_03936_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17675__S (.DIODE(_04171_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17672__A1 (.DIODE(\u_usb_host.u_core.u_fifo_rx.ram[43][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17678__S (.DIODE(_04176_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17672__S (.DIODE(_04169_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17680__A0 (.DIODE(_04141_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17674__A1 (.DIODE(\u_usb_host.u_core.u_fifo_rx.ram[43][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17680__S (.DIODE(_04176_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17674__S (.DIODE(_04169_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17682__A0 (.DIODE(_04143_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17676__S (.DIODE(_04169_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17682__S (.DIODE(_04176_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17678__S (.DIODE(_04169_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17684__A0 (.DIODE(_04145_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17683__A0 (.DIODE(_04162_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17684__S (.DIODE(_04176_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17685__A0 (.DIODE(_04164_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17686__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17689__A (.DIODE(_03949_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17688__A (.DIODE(_04091_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17691__S (.DIODE(_04180_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17689__A (.DIODE(_03959_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17693__S (.DIODE(_04180_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17691__S (.DIODE(_04185_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17695__S (.DIODE(_04180_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17693__S (.DIODE(_04185_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17697__S (.DIODE(_04180_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17695__S (.DIODE(_04185_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17700__S (.DIODE(_04185_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17697__S (.DIODE(_04185_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17702__A0 (.DIODE(_04162_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17700__A1 (.DIODE(_04056_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17702__S (.DIODE(_04185_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17700__S (.DIODE(_04190_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17704__A0 (.DIODE(_04164_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17702__S (.DIODE(_04190_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17704__S (.DIODE(_04185_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17704__S (.DIODE(_04190_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17706__S (.DIODE(_04185_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17706__S (.DIODE(_04190_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17708__A (.DIODE(_03969_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17708__A (.DIODE(_04091_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17710__S (.DIODE(_04191_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17709__A (.DIODE(_03975_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17712__S (.DIODE(_04191_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17711__S (.DIODE(_04197_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17714__S (.DIODE(_04191_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17715__S (.DIODE(_04197_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17716__S (.DIODE(_04191_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17717__A (.DIODE(_02625_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17721__A0 (.DIODE(_04162_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17719__S (.DIODE(_04197_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17723__A0 (.DIODE(_04164_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17721__A (.DIODE(_02629_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17727__A (.DIODE(_04113_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17723__S (.DIODE(_04197_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17728__A (.DIODE(_03981_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17725__A (.DIODE(_02634_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17730__S (.DIODE(_04203_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17730__A (.DIODE(_02637_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17732__S (.DIODE(_04203_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17734__A (.DIODE(_02640_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17734__S (.DIODE(_04203_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17738__A (.DIODE(_02666_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17736__S (.DIODE(_04203_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17741__A (.DIODE(_03988_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17739__A1 (.DIODE(_04078_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17743__S (.DIODE(_04221_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17739__S (.DIODE(_04208_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17745__S (.DIODE(_04221_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17741__S (.DIODE(_04208_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17747__S (.DIODE(_04221_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17743__S (.DIODE(_04208_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17749__S (.DIODE(_04221_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17745__S (.DIODE(_04208_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17752__S (.DIODE(_04226_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17748__A (.DIODE(_04213_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17754__S (.DIODE(_04226_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17749__A (.DIODE(_04113_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17756__S (.DIODE(_04226_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17750__A (.DIODE(_03996_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17758__S (.DIODE(_04226_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17752__S (.DIODE(_04217_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17760__A (.DIODE(_04007_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17755__A (.DIODE(_04219_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17762__S (.DIODE(_04232_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17756__S (.DIODE(_04217_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17764__S (.DIODE(_04232_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17759__A (.DIODE(_04222_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17766__S (.DIODE(_04232_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17760__S (.DIODE(_04217_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17768__S (.DIODE(_04232_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17763__A (.DIODE(_04225_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17771__S (.DIODE(_04237_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17764__S (.DIODE(_04217_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17773__S (.DIODE(_04237_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17767__A (.DIODE(_04228_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17775__S (.DIODE(_04237_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17769__S (.DIODE(_04230_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17777__S (.DIODE(_04237_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17772__A (.DIODE(_04232_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17779__A (.DIODE(_04019_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17773__S (.DIODE(_04230_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17783__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17776__A (.DIODE(_04235_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17784__A (.DIODE(_04245_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17777__S (.DIODE(_04230_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17787__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17779__A (.DIODE(_02684_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17788__A (.DIODE(_04248_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17780__A0 (.DIODE(_04238_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17791__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17780__S (.DIODE(_04230_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17792__A (.DIODE(_04251_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17782__A (.DIODE(_04010_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17795__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17784__S (.DIODE(_04241_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17796__A (.DIODE(_04254_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17786__S (.DIODE(_04241_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17798__S (.DIODE(_04256_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17788__S (.DIODE(_04241_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17800__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17790__S (.DIODE(_04241_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17802__S (.DIODE(_04256_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17793__S (.DIODE(_04246_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17804__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17795__S (.DIODE(_04246_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17806__S (.DIODE(_04256_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17797__S (.DIODE(_04246_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17808__A (.DIODE(_03944_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17799__A0 (.DIODE(_04238_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17809__S (.DIODE(_04256_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17799__S (.DIODE(_04246_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17811__A (.DIODE(_02618_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17801__A (.DIODE(_04029_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17813__A (.DIODE(_04033_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17803__S (.DIODE(_04252_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17815__A0 (.DIODE(_04267_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17805__S (.DIODE(_04252_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17815__S (.DIODE(_04269_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17807__S (.DIODE(_04252_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17817__S (.DIODE(_04269_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17809__S (.DIODE(_04252_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17819__S (.DIODE(_04269_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17812__S (.DIODE(_04257_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17821__S (.DIODE(_04269_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17814__S (.DIODE(_04257_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17832__A (.DIODE(_04046_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17816__S (.DIODE(_04257_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17834__S (.DIODE(_04280_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17818__A0 (.DIODE(_04238_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17836__S (.DIODE(_04280_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17818__S (.DIODE(_04257_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17838__S (.DIODE(_04280_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17820__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17840__S (.DIODE(_04280_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17822__A (.DIODE(_04041_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17843__S (.DIODE(_04285_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17824__S (.DIODE(_04265_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17845__S (.DIODE(_04285_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17826__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17847__S (.DIODE(_04285_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17827__A (.DIODE(_04267_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17849__S (.DIODE(_04285_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17828__S (.DIODE(_04265_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17851__A (.DIODE(_04065_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17830__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17853__S (.DIODE(_04291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17831__A (.DIODE(_04270_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17855__S (.DIODE(_04291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17832__S (.DIODE(_04265_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17857__S (.DIODE(_04291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17834__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17859__S (.DIODE(_04291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17835__A (.DIODE(_04273_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17862__S (.DIODE(_04296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17836__S (.DIODE(_04265_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17864__S (.DIODE(_04296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17838__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17866__S (.DIODE(_04296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17839__A (.DIODE(_04276_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17868__S (.DIODE(_04296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17841__S (.DIODE(_04278_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17871__A (.DIODE(_04078_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17843__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17872__A (.DIODE(_04302_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17844__A (.DIODE(_04280_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17873__A1 (.DIODE(_04301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17845__S (.DIODE(_04278_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17881__A (.DIODE(_04302_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17847__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17882__S (.DIODE(_04308_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17848__A (.DIODE(_04283_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17884__S (.DIODE(_04308_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17849__S (.DIODE(_04278_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17886__S (.DIODE(_04308_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17851__A (.DIODE(_03966_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17888__S (.DIODE(_04308_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17852__S (.DIODE(_04278_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17892__A (.DIODE(_04314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17854__A (.DIODE(_04054_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17895__A1 (.DIODE(_04301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17856__A1 (.DIODE(\u_usb_host.u_core.u_fifo_rx.ram[35][0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17895__S (.DIODE(_04317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17856__S (.DIODE(_04289_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17897__A (.DIODE(_04245_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17858__S (.DIODE(_04289_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17898__A1 (.DIODE(_04319_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17860__S (.DIODE(_04289_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17898__S (.DIODE(_04317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17862__S (.DIODE(_04289_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17900__A (.DIODE(_04248_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17865__S (.DIODE(_04294_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17901__A1 (.DIODE(_04321_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17867__S (.DIODE(_04294_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17901__S (.DIODE(_04317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17869__S (.DIODE(_04294_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17903__A (.DIODE(_04251_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17871__A0 (.DIODE(_04238_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17904__A1 (.DIODE(_04323_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17871__S (.DIODE(_04294_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17904__S (.DIODE(_04317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17873__A (.DIODE(_04068_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17906__A (.DIODE(_04254_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17875__S (.DIODE(_04300_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17908__A1 (.DIODE(_04325_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17877__S (.DIODE(_04300_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17908__S (.DIODE(_04326_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17879__S (.DIODE(_04300_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17911__A1 (.DIODE(_04328_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17881__S (.DIODE(_04300_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17911__S (.DIODE(_04326_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17884__S (.DIODE(_04305_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17914__A1 (.DIODE(_04330_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17886__S (.DIODE(_04305_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17914__S (.DIODE(_04326_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17888__S (.DIODE(_04305_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17916__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17890__S (.DIODE(_04305_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17917__A (.DIODE(_04332_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17892__A (.DIODE(_04087_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17918__A1 (.DIODE(_04333_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17894__S (.DIODE(_04311_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17918__S (.DIODE(_04326_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17896__S (.DIODE(_04311_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17920__A (.DIODE(_03858_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17898__S (.DIODE(_04311_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17922__A1 (.DIODE(_04301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17900__S (.DIODE(_04311_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17922__S (.DIODE(_04336_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17903__S (.DIODE(_04316_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17924__A1 (.DIODE(_04319_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17905__S (.DIODE(_04316_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17924__S (.DIODE(_04336_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17907__S (.DIODE(_04316_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17926__A1 (.DIODE(_04321_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17909__S (.DIODE(_04316_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17926__S (.DIODE(_04336_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17911__A (.DIODE(_04100_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17928__A1 (.DIODE(_04323_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17912__A (.DIODE(_04321_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17928__S (.DIODE(_04336_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17913__S (.DIODE(_04322_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17931__A1 (.DIODE(_04325_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17915__S (.DIODE(_04322_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17933__A1 (.DIODE(_04328_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17917__S (.DIODE(_04322_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17935__A1 (.DIODE(_04330_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17919__S (.DIODE(_04322_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17937__A1 (.DIODE(_04333_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17921__A (.DIODE(_04321_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17941__A1 (.DIODE(_04301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17922__A0 (.DIODE(\u_usb_host.u_core.u_fifo_rx.ram[32][4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17941__S (.DIODE(_04347_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17922__S (.DIODE(_04327_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17943__A1 (.DIODE(_04319_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17924__S (.DIODE(_04327_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17943__S (.DIODE(_04347_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17926__S (.DIODE(_04327_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17945__A1 (.DIODE(_04321_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17928__S (.DIODE(_04327_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17945__S (.DIODE(_04347_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17933__A (.DIODE(_04334_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17947__A1 (.DIODE(_04323_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17934__A (.DIODE(_03844_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17947__S (.DIODE(_04347_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17936__A1 (.DIODE(_04332_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17950__A1 (.DIODE(_04325_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17936__S (.DIODE(_04337_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17952__A1 (.DIODE(_04328_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17938__A (.DIODE(_04267_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17954__A1 (.DIODE(_04330_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17939__S (.DIODE(_04337_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17956__A1 (.DIODE(_04333_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17941__A (.DIODE(_04270_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17961__S (.DIODE(_04359_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17942__A1 (.DIODE(_04341_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17963__A1 (.DIODE(_04319_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17942__S (.DIODE(_04337_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17963__S (.DIODE(_04359_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17944__A (.DIODE(_04273_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17965__A1 (.DIODE(_04321_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17945__S (.DIODE(_04337_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17965__S (.DIODE(_04359_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17947__A (.DIODE(_04276_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17967__A1 (.DIODE(_04323_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17949__S (.DIODE(_04346_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17967__S (.DIODE(_04359_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17951__A (.DIODE(_04280_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17970__A1 (.DIODE(_04325_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17952__S (.DIODE(_04346_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17970__S (.DIODE(_04364_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17954__A (.DIODE(_04283_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17972__A1 (.DIODE(_04328_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17955__S (.DIODE(_04346_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17972__S (.DIODE(_04364_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17957__A (.DIODE(\u_usb_host.u_core.fifo_rx_data_w[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17974__A1 (.DIODE(_04330_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17958__A (.DIODE(_04352_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17974__S (.DIODE(_04364_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17959__S (.DIODE(_04346_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17976__A1 (.DIODE(_04333_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17961__A (.DIODE(_03880_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17976__S (.DIODE(_04364_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17963__A1 (.DIODE(_04332_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17978__A (.DIODE(_04314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17963__S (.DIODE(_04356_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17980__A (.DIODE(_04370_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17965__S (.DIODE(_04356_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17983__A (.DIODE(_04245_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17967__A1 (.DIODE(_04341_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17986__A (.DIODE(_04248_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17967__S (.DIODE(_04356_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17989__A (.DIODE(_04251_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17969__S (.DIODE(_04356_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17990__A0 (.DIODE(\u_usb_host.u_core.u_fifo_rx.ram[27][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17972__S (.DIODE(_04361_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17992__A (.DIODE(_04254_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17974__S (.DIODE(_04361_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17993__A (.DIODE(_04370_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17976__S (.DIODE(_04361_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17994__S (.DIODE(_04380_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17978__S (.DIODE(_04361_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__17997__S (.DIODE(_04380_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17980__A (.DIODE(_03907_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18000__S (.DIODE(_04380_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17982__A1 (.DIODE(_04332_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18002__A (.DIODE(_04332_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17986__A1 (.DIODE(_04341_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18003__S (.DIODE(_04380_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17991__S (.DIODE(_04372_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18006__A (.DIODE(_04388_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17993__S (.DIODE(_04372_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18013__A0 (.DIODE(\u_usb_host.u_core.u_fifo_rx.ram[26][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17995__S (.DIODE(_04372_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18015__A (.DIODE(_04388_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__17997__S (.DIODE(_04372_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18016__S (.DIODE(_04394_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18001__A1 (.DIODE(_04332_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18018__S (.DIODE(_04394_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18005__A1 (.DIODE(_04341_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18020__S (.DIODE(_04394_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18010__S (.DIODE(_04383_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18022__S (.DIODE(_04394_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18012__S (.DIODE(_04383_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18025__A (.DIODE(_04399_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18014__S (.DIODE(_04383_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18032__A0 (.DIODE(\u_usb_host.u_core.u_fifo_rx.ram[25][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18016__S (.DIODE(_04383_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18034__A (.DIODE(_04399_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18019__A (.DIODE(_04334_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18043__A (.DIODE(_04314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18020__A (.DIODE(_03936_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18044__A (.DIODE(_03959_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18022__S (.DIODE(_04391_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18044__B (.DIODE(_04410_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18024__A (.DIODE(_04267_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18046__A0 (.DIODE(_04267_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18025__S (.DIODE(_04391_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18046__S (.DIODE(_04412_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18027__A (.DIODE(_04270_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18049__A0 (.DIODE(_04414_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18028__S (.DIODE(_04391_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18049__S (.DIODE(_04412_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18030__A (.DIODE(_04273_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18052__A0 (.DIODE(_04416_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18031__S (.DIODE(_04391_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18052__S (.DIODE(_04412_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18033__A (.DIODE(_04276_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18055__A0 (.DIODE(_04418_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18037__A (.DIODE(_04280_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18055__S (.DIODE(_04412_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18040__A (.DIODE(_04283_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18059__A0 (.DIODE(_04420_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18043__A (.DIODE(_04352_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18062__A0 (.DIODE(_04423_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18046__A (.DIODE(_03949_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18065__A0 (.DIODE(_04425_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18048__S (.DIODE(_04409_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18068__A0 (.DIODE(_04427_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18050__A0 (.DIODE(\u_usb_host.u_core.u_fifo_rx.ram[26][1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18071__A (.DIODE(_03975_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18050__S (.DIODE(_04409_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18072__A (.DIODE(_04430_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18052__S (.DIODE(_04409_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18079__A0 (.DIODE(\u_usb_host.u_core.u_fifo_rx.ram[23][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18054__S (.DIODE(_04409_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18081__A (.DIODE(_04430_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18057__A0 (.DIODE(\u_usb_host.u_core.u_fifo_rx.ram[26][4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18090__A (.DIODE(_04314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18065__A (.DIODE(_03969_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18091__A (.DIODE(_03988_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18076__S (.DIODE(_04425_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18093__S (.DIODE(_04443_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18078__S (.DIODE(_04425_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18095__A (.DIODE(_04245_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18080__S (.DIODE(_04425_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18096__S (.DIODE(_04443_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18082__S (.DIODE(_04425_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18098__A (.DIODE(_04248_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18084__A (.DIODE(_04213_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18099__S (.DIODE(_04443_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18085__A (.DIODE(_04334_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18101__A (.DIODE(_04251_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18086__A (.DIODE(_03981_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18102__S (.DIODE(_04443_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18088__S (.DIODE(_04433_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18104__A (.DIODE(_04254_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18090__A (.DIODE(_04219_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18109__A1 (.DIODE(_04454_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18091__S (.DIODE(_04433_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18114__A (.DIODE(_04332_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18093__A (.DIODE(_04222_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18117__A (.DIODE(_04007_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18094__S (.DIODE(_04433_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18119__S (.DIODE(_04461_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18096__A (.DIODE(_04225_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18121__A0 (.DIODE(\u_usb_host.u_core.u_fifo_rx.ram[21][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18097__S (.DIODE(_04433_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18121__S (.DIODE(_04461_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18099__A (.DIODE(_04228_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18123__S (.DIODE(_04461_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18101__S (.DIODE(_04442_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18125__S (.DIODE(_04461_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18103__A (.DIODE(_04232_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18130__A1 (.DIODE(_04454_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18104__S (.DIODE(_04442_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18136__A (.DIODE(_04019_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18106__A (.DIODE(_04235_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18136__B (.DIODE(_04410_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18107__S (.DIODE(_04442_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18138__A0 (.DIODE(_04267_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18109__A (.DIODE(_03903_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18138__S (.DIODE(_04472_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18110__S (.DIODE(_04442_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18140__A0 (.DIODE(_04414_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18112__A (.DIODE(_03996_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18140__S (.DIODE(_04472_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18114__S (.DIODE(_04451_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18142__A0 (.DIODE(_04416_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18116__S (.DIODE(_04451_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18142__S (.DIODE(_04472_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18118__S (.DIODE(_04451_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18144__A0 (.DIODE(_04418_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18120__S (.DIODE(_04451_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18144__S (.DIODE(_04472_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18123__A0 (.DIODE(\u_usb_host.u_core.u_fifo_rx.ram[23][4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18147__A0 (.DIODE(_04420_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18132__A (.DIODE(_04334_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18147__S (.DIODE(_04477_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18133__A (.DIODE(_04010_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18149__A0 (.DIODE(_04423_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18135__S (.DIODE(_04464_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18149__S (.DIODE(_04477_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18137__A (.DIODE(_04267_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18151__A0 (.DIODE(_04425_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18138__S (.DIODE(_04464_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18151__S (.DIODE(_04477_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18140__A (.DIODE(_04270_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18153__A0 (.DIODE(_04427_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18141__S (.DIODE(_04464_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18153__S (.DIODE(_04477_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18143__A (.DIODE(_04273_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18155__A (.DIODE(_04033_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18144__S (.DIODE(_04464_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18157__S (.DIODE(_04483_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18146__A (.DIODE(_04276_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18159__A0 (.DIODE(\u_usb_host.u_core.u_fifo_rx.ram[19][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18148__S (.DIODE(_04473_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18159__S (.DIODE(_04483_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18150__A (.DIODE(_04280_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18161__S (.DIODE(_04483_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18151__S (.DIODE(_04473_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18163__S (.DIODE(_04483_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18153__A (.DIODE(_04283_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18168__A1 (.DIODE(_04454_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18154__S (.DIODE(_04473_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18174__A (.DIODE(_04046_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18156__A (.DIODE(_04352_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18174__B (.DIODE(_04410_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18157__S (.DIODE(_04473_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18176__A0 (.DIODE(_04267_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18159__A (.DIODE(_04029_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18176__S (.DIODE(_04494_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18161__S (.DIODE(_04482_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18178__A0 (.DIODE(_04414_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18163__S (.DIODE(_04482_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18178__S (.DIODE(_04494_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18165__S (.DIODE(_04482_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18180__A0 (.DIODE(_04416_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18167__S (.DIODE(_04482_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18180__S (.DIODE(_04494_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18170__S (.DIODE(_04487_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18182__A0 (.DIODE(_04418_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18172__S (.DIODE(_04487_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18182__S (.DIODE(_04494_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18174__S (.DIODE(_04487_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18185__A0 (.DIODE(_04420_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18176__S (.DIODE(_04487_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18187__A0 (.DIODE(_04423_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18178__A (.DIODE(_04041_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18189__A0 (.DIODE(_04425_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18180__S (.DIODE(_04493_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18191__A0 (.DIODE(_04427_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18182__S (.DIODE(_04493_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18194__A (.DIODE(_04065_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18184__S (.DIODE(_04493_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18194__B (.DIODE(_04410_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18186__S (.DIODE(_04493_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18196__S (.DIODE(_04506_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18189__S (.DIODE(_04498_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18198__A0 (.DIODE(_04414_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18191__S (.DIODE(_04498_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18198__S (.DIODE(_04506_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18193__S (.DIODE(_04498_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18200__A0 (.DIODE(_04416_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18195__S (.DIODE(_04498_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18200__S (.DIODE(_04506_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18197__A (.DIODE(_04054_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18202__A0 (.DIODE(_04418_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18199__S (.DIODE(_04504_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18202__S (.DIODE(_04506_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18201__S (.DIODE(_04504_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18205__A0 (.DIODE(_04420_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18203__S (.DIODE(_04504_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18205__S (.DIODE(_04511_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18205__S (.DIODE(_04504_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18207__A0 (.DIODE(_04423_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18208__S (.DIODE(_04509_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18207__S (.DIODE(_04511_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18210__S (.DIODE(_04509_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18209__A0 (.DIODE(_04425_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18212__S (.DIODE(_04509_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18209__S (.DIODE(_04511_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18214__S (.DIODE(_04509_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18211__A0 (.DIODE(_04427_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18216__A (.DIODE(_04068_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18211__S (.DIODE(_04511_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18227__S (.DIODE(_04520_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18213__A (.DIODE(_02618_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18229__S (.DIODE(_04520_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18214__A (.DIODE(_04078_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18231__S (.DIODE(_04520_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18216__S (.DIODE(_04518_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18233__S (.DIODE(_04520_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18218__S (.DIODE(_04518_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18235__A (.DIODE(_04087_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18220__S (.DIODE(_04518_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18237__S (.DIODE(_04526_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18222__S (.DIODE(_04518_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18239__S (.DIODE(_04526_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18225__S (.DIODE(_04523_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18241__S (.DIODE(_04526_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18227__A1 (.DIODE(_04454_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18243__S (.DIODE(_04526_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18227__S (.DIODE(_04523_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18246__S (.DIODE(_04531_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18229__S (.DIODE(_04523_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18248__S (.DIODE(_04531_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18231__S (.DIODE(_04523_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18250__S (.DIODE(_04531_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18234__A (.DIODE(_04528_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18252__S (.DIODE(_04531_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18250__S (.DIODE(_04540_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18254__A (.DIODE(_04100_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18253__A0 (.DIODE(_04542_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18256__S (.DIODE(_04537_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18253__S (.DIODE(_04540_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18258__S (.DIODE(_04537_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18256__S (.DIODE(_04540_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18260__S (.DIODE(_04537_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18259__S (.DIODE(_04540_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18262__S (.DIODE(_04537_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18261__A (.DIODE(_03858_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18265__S (.DIODE(_04542_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18263__S (.DIODE(_04549_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18267__S (.DIODE(_04542_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18265__S (.DIODE(_04549_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18269__S (.DIODE(_04542_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18267__S (.DIODE(_04549_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18271__S (.DIODE(_04542_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18269__S (.DIODE(_04549_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18273__A (.DIODE(_04213_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18272__A1 (.DIODE(\u_usb_host.u_core.u_fifo_rx.ram[14][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18276__A (.DIODE(_03844_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18274__A0 (.DIODE(_04542_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18280__A (.DIODE(_04219_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18282__A1 (.DIODE(\u_usb_host.u_core.u_fifo_rx.ram[13][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18283__A (.DIODE(_04222_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18282__S (.DIODE(_04560_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18286__A (.DIODE(_04225_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18284__S (.DIODE(_04560_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18289__A (.DIODE(_04228_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18286__S (.DIODE(_04560_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18293__A (.DIODE(_04232_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18288__S (.DIODE(_04560_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18296__A (.DIODE(_04235_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18291__S (.DIODE(_04565_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18299__A (.DIODE(_03903_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18293__A0 (.DIODE(_04542_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18302__A (.DIODE(_03880_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18293__S (.DIODE(_04565_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18321__A (.DIODE(_03907_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18295__S (.DIODE(_04565_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18332__S (.DIODE(_04585_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18297__S (.DIODE(_04565_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18334__S (.DIODE(_04585_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18300__A (.DIODE(_04528_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18336__S (.DIODE(_04585_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18303__S (.DIODE(_04573_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18338__S (.DIODE(_04585_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18305__S (.DIODE(_04573_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18341__B (.DIODE(_04590_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18307__S (.DIODE(_04573_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18360__A (.DIODE(_04213_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18309__S (.DIODE(_04573_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18361__A (.DIODE(_03936_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18312__S (.DIODE(_04578_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18361__B (.DIODE(_04590_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18314__A0 (.DIODE(_04542_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18365__A (.DIODE(_04219_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18314__S (.DIODE(_04578_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18368__A (.DIODE(_04222_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18316__S (.DIODE(_04578_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18371__A (.DIODE(_04225_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18318__S (.DIODE(_04578_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18374__A (.DIODE(_04228_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18322__S (.DIODE(_04584_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18376__S (.DIODE(_04613_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18325__A0 (.DIODE(_04586_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18378__A (.DIODE(_04232_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18325__S (.DIODE(_04584_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18379__A0 (.DIODE(_04615_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18328__S (.DIODE(_04584_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18379__S (.DIODE(_04613_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18331__S (.DIODE(_04584_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18381__A (.DIODE(_04235_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18335__S (.DIODE(_04593_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18382__S (.DIODE(_04613_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18338__S (.DIODE(_04593_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18384__A (.DIODE(_03903_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18341__A0 (.DIODE(_04597_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18385__S (.DIODE(_04613_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18341__S (.DIODE(_04593_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18387__A (.DIODE(_03949_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18344__A0 (.DIODE(_04599_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18387__B (.DIODE(_04590_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18344__S (.DIODE(_04593_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18398__S (.DIODE(_04627_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18348__S (.DIODE(_04602_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18400__A0 (.DIODE(_04615_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18350__A0 (.DIODE(_04586_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18400__S (.DIODE(_04627_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18350__S (.DIODE(_04602_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18402__S (.DIODE(_04627_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18352__S (.DIODE(_04602_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18404__S (.DIODE(_04627_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18354__S (.DIODE(_04602_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18406__A (.DIODE(_03969_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18361__A0 (.DIODE(_04597_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18406__B (.DIODE(_04590_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18363__A0 (.DIODE(_04599_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18408__S (.DIODE(_04633_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18367__S (.DIODE(_04613_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18410__S (.DIODE(_04633_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18369__A0 (.DIODE(_04586_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18412__S (.DIODE(_04633_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18369__S (.DIODE(_04613_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18414__S (.DIODE(_04633_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18371__S (.DIODE(_04613_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18417__S (.DIODE(_04638_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18373__S (.DIODE(_04613_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18419__A0 (.DIODE(_04615_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18380__A0 (.DIODE(_04597_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18419__S (.DIODE(_04638_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18382__A0 (.DIODE(_04599_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18421__S (.DIODE(_04638_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18384__A (.DIODE(_04528_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18423__S (.DIODE(_04638_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18385__A (.DIODE(_03959_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18427__A (.DIODE(_03981_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18387__S (.DIODE(_04625_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18442__S (.DIODE(_04655_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18390__S (.DIODE(_04625_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18445__S (.DIODE(_04655_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18392__A (.DIODE(_02625_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18448__S (.DIODE(_04655_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18393__S (.DIODE(_04625_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18450__A (.DIODE(_04352_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18395__A (.DIODE(_02629_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18451__S (.DIODE(_04655_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18396__S (.DIODE(_04625_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18454__A (.DIODE(_03996_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18398__A (.DIODE(_02634_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18454__B (.DIODE(_04663_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18402__A (.DIODE(_02637_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18465__S (.DIODE(_04670_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18403__A1 (.DIODE(_04636_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18467__A0 (.DIODE(_04615_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18405__A (.DIODE(_02640_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18467__S (.DIODE(_04670_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18408__A (.DIODE(_04332_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18469__S (.DIODE(_04670_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18412__A (.DIODE(_04528_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18471__S (.DIODE(_04670_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18413__A (.DIODE(_03975_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18473__A (.DIODE(_04010_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18415__S (.DIODE(_04645_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18473__B (.DIODE(_04663_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18417__A0 (.DIODE(_04586_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18475__S (.DIODE(_04676_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18417__S (.DIODE(_04645_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18477__S (.DIODE(_04676_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18419__S (.DIODE(_04645_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18479__A0 (.DIODE(_03851_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18421__S (.DIODE(_04645_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18479__S (.DIODE(_04676_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18428__A0 (.DIODE(_04597_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18481__A0 (.DIODE(_03853_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18430__A0 (.DIODE(_04599_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18481__S (.DIODE(_04676_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18432__A (.DIODE(_03988_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18484__S (.DIODE(_04681_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18434__S (.DIODE(_04656_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18486__A0 (.DIODE(_03858_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18436__A0 (.DIODE(_03829_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18486__S (.DIODE(_04681_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18436__S (.DIODE(_04656_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18488__A0 (.DIODE(_03860_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18438__S (.DIODE(_04656_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18488__S (.DIODE(_04681_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18440__S (.DIODE(_04656_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18490__A0 (.DIODE(_03862_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18443__S (.DIODE(_04661_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18490__S (.DIODE(_04681_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18445__A0 (.DIODE(_03838_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18492__A (.DIODE(_04029_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18445__S (.DIODE(_04661_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18492__B (.DIODE(_04663_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18447__S (.DIODE(_04661_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18494__S (.DIODE(_04687_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18449__S (.DIODE(_04661_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18496__S (.DIODE(_04687_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18451__A (.DIODE(_04007_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18498__A0 (.DIODE(_03851_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18453__S (.DIODE(_04667_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18498__S (.DIODE(_04687_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18455__A0 (.DIODE(_03829_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18500__A0 (.DIODE(_03853_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18455__S (.DIODE(_04667_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18500__S (.DIODE(_04687_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18457__S (.DIODE(_04667_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18503__S (.DIODE(_04692_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18459__S (.DIODE(_04667_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18505__A0 (.DIODE(_03858_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18462__S (.DIODE(_04672_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18505__S (.DIODE(_04692_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18464__A0 (.DIODE(_03838_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18507__A0 (.DIODE(_03860_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18464__S (.DIODE(_04672_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18507__S (.DIODE(_04692_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18466__S (.DIODE(_04672_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18509__A0 (.DIODE(_03862_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18468__S (.DIODE(_04672_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18509__S (.DIODE(_04692_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18470__A (.DIODE(_04019_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18511__A (.DIODE(_04041_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18472__S (.DIODE(_04678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18513__S (.DIODE(_04698_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18474__S (.DIODE(_04678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18515__S (.DIODE(_04698_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18476__S (.DIODE(_04678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18517__S (.DIODE(_04698_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18478__S (.DIODE(_04678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18519__S (.DIODE(_04698_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18481__S (.DIODE(_04683_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18522__S (.DIODE(_04703_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18483__A1 (.DIODE(_04636_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18524__S (.DIODE(_04703_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18483__S (.DIODE(_04683_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18526__S (.DIODE(_04703_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18485__S (.DIODE(_04683_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18528__S (.DIODE(_04703_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18487__S (.DIODE(_04683_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18530__A (.DIODE(_04054_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18489__A (.DIODE(_04033_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18530__B (.DIODE(_04663_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18491__S (.DIODE(_04689_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18532__S (.DIODE(_04709_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18493__A0 (.DIODE(_03829_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18534__S (.DIODE(_04709_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18493__S (.DIODE(_04689_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18536__A0 (.DIODE(_03851_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18495__S (.DIODE(_04689_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18536__S (.DIODE(_04709_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18497__S (.DIODE(_04689_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18538__A0 (.DIODE(_03853_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18500__S (.DIODE(_04694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18538__S (.DIODE(_04709_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18502__A0 (.DIODE(_03838_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18543__A0 (.DIODE(_03858_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18502__S (.DIODE(_04694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18545__A0 (.DIODE(_03860_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18504__S (.DIODE(_04694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18547__A0 (.DIODE(_03862_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18506__S (.DIODE(_04694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18549__A (.DIODE(_04068_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18508__A (.DIODE(_04046_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18560__S (.DIODE(_04725_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18510__S (.DIODE(_04700_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18562__S (.DIODE(_04725_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18512__S (.DIODE(_04700_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18564__S (.DIODE(_04725_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18514__S (.DIODE(_04700_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18566__S (.DIODE(_04725_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18516__S (.DIODE(_04700_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18568__A (.DIODE(_04087_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18519__S (.DIODE(_04705_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18579__S (.DIODE(_04736_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18521__A1 (.DIODE(_04636_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18581__S (.DIODE(_04736_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18521__S (.DIODE(_04705_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18583__S (.DIODE(_04736_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18523__S (.DIODE(_04705_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18585__S (.DIODE(_04736_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18525__S (.DIODE(_04705_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18587__A (.DIODE(_04100_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18527__A (.DIODE(_04065_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18589__A1 (.DIODE(_02640_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18529__A1 (.DIODE(_04115_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18591__A1 (.DIODE(_02643_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18529__S (.DIODE(_04711_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18593__A1 (.DIODE(_02647_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18531__S (.DIODE(_04711_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18595__A1 (.DIODE(_02652_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18533__S (.DIODE(_04711_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18598__A1 (.DIODE(_02655_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18535__S (.DIODE(_04711_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18600__A1 (.DIODE(_02658_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18540__A1 (.DIODE(_04636_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18602__A1 (.DIODE(_02661_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18546__A (.DIODE(_04078_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18604__A1 (.DIODE(_02684_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18548__A1 (.DIODE(_04115_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18607__B1 (.DIODE(_09350_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18550__A1 (.DIODE(_02623_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18607__C1 (.DIODE(_05677_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18552__A1 (.DIODE(_02626_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18611__A (.DIODE(\u_usb_host.u_core.fifo_flush_q ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18554__A1 (.DIODE(_02630_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18654__A (.DIODE(\u_usb_host.u_core.fifo_flush_q ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18557__A1 (.DIODE(_02635_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18654__B (.DIODE(_04792_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18559__A1 (.DIODE(_02638_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18655__A0 (.DIODE(_04792_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18561__A1 (.DIODE(_02641_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18657__A (.DIODE(_04792_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18563__A1 (.DIODE(_02666_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18666__A (.DIODE(_09028_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18567__B1 (.DIODE(_09295_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18668__A2 (.DIODE(_04792_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18567__C1 (.DIODE(_05659_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18670__A (.DIODE(\u_usb_host.u_core.fifo_flush_q ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18571__A (.DIODE(\u_usb_host.u_core.fifo_flush_q ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18686__A1 (.DIODE(_05675_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18617__A (.DIODE(\u_usb_host.u_core.fifo_flush_q ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18688__A (.DIODE(_04813_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18626__A1 (.DIODE(_08880_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18689__A1 (.DIODE(_06476_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18627__B (.DIODE(_08880_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18691__A1 (.DIODE(_06500_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18629__A (.DIODE(_08982_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18693__A1 (.DIODE(_06504_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18630__A2 (.DIODE(_08880_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18695__A1 (.DIODE(_06506_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18633__A (.DIODE(\u_usb_host.u_core.fifo_flush_q ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18697__A (.DIODE(_04813_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18649__A1 (.DIODE(_05657_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18698__A1 (.DIODE(_06508_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18651__A (.DIODE(_04797_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18700__A1 (.DIODE(_06511_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18652__A1 (.DIODE(_06481_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18702__A1 (.DIODE(_06513_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18654__A1 (.DIODE(_06505_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18704__A1 (.DIODE(_06515_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18656__A1 (.DIODE(_06509_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18706__S (.DIODE(_06835_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18658__A1 (.DIODE(_06511_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18708__A (.DIODE(_02361_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18660__A (.DIODE(_04797_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18712__A1 (.DIODE(_06835_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18661__A1 (.DIODE(_06513_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18720__A (.DIODE(_07546_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18663__A1 (.DIODE(_06516_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18720__C_N (.DIODE(_04835_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18665__A1 (.DIODE(_06518_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18730__A (.DIODE(_06442_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18667__A1 (.DIODE(_06520_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18731__A (.DIODE(_04840_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18669__S (.DIODE(_06846_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18732__A1 (.DIODE(_07364_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18671__A (.DIODE(_02327_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18736__A1 (.DIODE(_07373_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18672__B1 (.DIODE(_05637_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18738__A1 (.DIODE(_07862_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18673__C (.DIODE(_05637_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18740__A (.DIODE(_04840_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18675__A1 (.DIODE(_06846_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18741__A1 (.DIODE(_07875_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18675__A2 (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.grey_rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18750__S (.DIODE(_04835_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18677__B2 (.DIODE(_05793_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18754__C_N (.DIODE(_04835_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18688__A (.DIODE(_05637_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18755__A2 (.DIODE(_04835_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18689__A (.DIODE(_06448_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18774__S (.DIODE(_04866_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18689__B (.DIODE(_06959_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18776__S (.DIODE(_04866_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18690__A (.DIODE(_04820_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18778__S (.DIODE(_04866_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18691__A1 (.DIODE(_06956_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18780__S (.DIODE(_04866_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18693__A1 (.DIODE(_06963_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18783__S (.DIODE(_04871_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18695__A1 (.DIODE(_06966_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18785__S (.DIODE(_04871_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18697__A1 (.DIODE(_09544_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18787__S (.DIODE(_04871_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18699__A (.DIODE(_04820_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18789__A0 (.DIODE(_06927_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18700__A1 (.DIODE(_02430_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18789__S (.DIODE(_04871_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18702__A1 (.DIODE(_02821_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18793__S (.DIODE(_04877_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18704__A1 (.DIODE(_02823_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18795__S (.DIODE(_04877_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18706__A1 (.DIODE(_02825_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18797__S (.DIODE(_04877_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18713__A (.DIODE(_05686_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18799__S (.DIODE(_04877_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18714__B (.DIODE(_05717_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18802__S (.DIODE(_04882_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18723__A (.DIODE(_07430_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18804__S (.DIODE(_04882_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18727__A (.DIODE(_05686_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18806__S (.DIODE(_04882_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18733__S (.DIODE(_04846_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18808__S (.DIODE(_04882_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18735__S (.DIODE(_04846_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18822__S (.DIODE(_04894_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18737__S (.DIODE(_04846_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18824__S (.DIODE(_04894_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18739__A0 (.DIODE(_06925_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18826__S (.DIODE(_04894_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18739__S (.DIODE(_04846_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18829__A0 (.DIODE(_04898_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18752__S (.DIODE(_04857_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18829__S (.DIODE(_04894_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18754__S (.DIODE(_04857_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18834__A0 (.DIODE(\u_i2cm.prer[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18756__S (.DIODE(_04857_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18839__A0 (.DIODE(\u_i2cm.prer[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18758__S (.DIODE(_04857_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18844__A0 (.DIODE(\u_i2cm.prer[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18763__A1 (.DIODE(_06169_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18847__A0 (.DIODE(\u_i2cm.prer[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18765__A1 (.DIODE(_06172_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18854__A0 (.DIODE(\u_i2cm.prer[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18771__S (.DIODE(_04868_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18857__A0 (.DIODE(\u_i2cm.prer[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18773__S (.DIODE(_04868_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18862__A0 (.DIODE(\u_i2cm.prer[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18775__S (.DIODE(_04868_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18871__A0 (.DIODE(\u_i2cm.prer[8] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18778__S (.DIODE(_04868_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18875__A0 (.DIODE(\u_i2cm.prer[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18813__A0 (.DIODE(\u_i2cm.prer[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18880__A1 (.DIODE(\u_i2cm.prer[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18816__A0 (.DIODE(\u_i2cm.prer[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18898__A (.DIODE(_07545_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18821__A0 (.DIODE(\u_i2cm.prer[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18898__B (.DIODE(_07227_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18825__A0 (.DIODE(\u_i2cm.prer[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18898__C (.DIODE(_06088_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18830__A0 (.DIODE(\u_i2cm.prer[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18899__A (.DIODE(_07036_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18834__A0 (.DIODE(\u_i2cm.prer[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18899__B (.DIODE(_04951_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18839__A1 (.DIODE(\u_i2cm.prer[10] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18905__A1 (.DIODE(_07875_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18841__A0 (.DIODE(\u_i2cm.prer[11] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18910__A1 (.DIODE(_07373_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18845__A0 (.DIODE(\u_i2cm.prer[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18914__A1 (.DIODE(_07364_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18849__A0 (.DIODE(\u_i2cm.prer[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18916__A (.DIODE(_05952_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18852__A (.DIODE(\u_i2cm.prer[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18917__A (.DIODE(_04962_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18858__B (.DIODE(_05800_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18918__A (.DIODE(_05963_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18858__D (.DIODE(_07678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18919__A (.DIODE(_04964_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18859__A (.DIODE(net10),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18920__B2 (.DIODE(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[0].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18859__B (.DIODE(_04932_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18922__A_N (.DIODE(_07545_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18861__A0 (.DIODE(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[6].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18924__A (.DIODE(_04969_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18861__A1 (.DIODE(_02823_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18927__B2 (.DIODE(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[1].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18863__A1 (.DIODE(_02821_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18931__A (.DIODE(_04964_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18865__A1 (.DIODE(_02430_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18932__A (.DIODE(_05951_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18867__A1 (.DIODE(_07034_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18933__B1 (.DIODE(_04976_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18870__A1 (.DIODE(_06966_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18934__A1 (.DIODE(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[2].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18872__A1 (.DIODE(_06963_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18934__A2 (.DIODE(_04975_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18874__A1 (.DIODE(_06956_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18937__A (.DIODE(_05958_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18876__A (.DIODE(_05988_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18938__B2 (.DIODE(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[3].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18878__A (.DIODE(_06001_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18942__B2 (.DIODE(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[4].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18880__A2 (.DIODE(_07216_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18944__A (.DIODE(_04969_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18880__B1 (.DIODE(_04946_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18945__A0 (.DIODE(\u_sspim.reg_rdata[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18882__A (.DIODE(_05793_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18947__B1 (.DIODE(_04976_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18883__A (.DIODE(_04949_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18948__A1 (.DIODE(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[5].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18885__S (.DIODE(_04951_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18948__A2 (.DIODE(_04975_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18888__A2 (.DIODE(_07216_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18951__A (.DIODE(_04962_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18890__S (.DIODE(_04951_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18952__A (.DIODE(_05963_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18892__A2 (.DIODE(_07216_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18954__B2 (.DIODE(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[6].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18894__S (.DIODE(_04951_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18955__A2 (.DIODE(_04991_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18896__A (.DIODE(_07678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18956__A0 (.DIODE(\u_sspim.reg_rdata[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18900__S (.DIODE(_04951_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18958__A (.DIODE(_05951_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18913__A1 (.DIODE(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[6].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18959__A2 (.DIODE(_04997_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18913__B2 (.DIODE(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[6].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18960__A2 (.DIODE(_05960_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18917__A (.DIODE(_05988_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18963__A (.DIODE(_07227_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18918__A2 (.DIODE(_04977_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18965__A (.DIODE(_05952_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18918__B2 (.DIODE(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[7].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18967__A2 (.DIODE(_04975_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18919__A1 (.DIODE(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[7].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18968__A (.DIODE(_04969_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18919__A2 (.DIODE(_05997_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18969__A1 (.DIODE(_05005_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18923__A1 (.DIODE(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[0].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18971__A2 (.DIODE(_04997_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18929__A1 (.DIODE(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[1].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18972__A2 (.DIODE(_06005_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18933__A (.DIODE(_04977_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18973__A1 (.DIODE(_05009_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18934__A (.DIODE(_06001_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18976__A2 (.DIODE(_04991_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18936__A1 (.DIODE(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[2].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18977__A1 (.DIODE(_05012_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18940__A2 (.DIODE(_05989_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18980__A1 (.DIODE(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[3].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18941__A1 (.DIODE(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[3].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18981__A2 (.DIODE(_04991_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18941__A2 (.DIODE(_05996_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18982__A1 (.DIODE(_05016_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18944__A1 (.DIODE(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[4].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18984__A1 (.DIODE(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[4].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18950__A1 (.DIODE(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[5].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18985__A2 (.DIODE(_04991_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18954__A1 (.DIODE(_06125_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18986__A (.DIODE(_04969_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18963__A (.DIODE(_04977_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18987__A1 (.DIODE(_05019_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18966__A (.DIODE(_04949_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18991__A2 (.DIODE(_04975_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18984__A (.DIODE(_04977_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18992__A1 (.DIODE(_05024_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18990__A (.DIODE(_07678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__18994__A (.DIODE(_04962_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18991__A1 (.DIODE(_05457_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19001__A1 (.DIODE(_05031_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__18995__A1 (.DIODE(_05456_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19015__A (.DIODE(_04964_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19004__A (.DIODE(_05989_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19016__A1 (.DIODE(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[2].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19009__A (.DIODE(_05051_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19020__A (.DIODE(_04997_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19010__A2 (.DIODE(_05995_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19021__A1 (.DIODE(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[3].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19013__A (.DIODE(_05054_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19025__A1 (.DIODE(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[4].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19014__B2 (.DIODE(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[2].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19032__A1 (.DIODE(_05476_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19017__A (.DIODE(_05989_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19036__A1 (.DIODE(_05475_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19018__B2 (.DIODE(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[3].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19036__B2 (.DIODE(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[6].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19021__B1 (.DIODE(_04946_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19040__A (.DIODE(_05952_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19021__B2 (.DIODE(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[4].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19041__A1 (.DIODE(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[7].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19025__B1 (.DIODE(_04946_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19041__B2 (.DIODE(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[7].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19025__B2 (.DIODE(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[5].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19045__A2 (.DIODE(_04997_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19028__B1 (.DIODE(_04946_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19046__A2 (.DIODE(_06005_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19028__B2 (.DIODE(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[6].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19054__B2 (.DIODE(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[2].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19031__A1 (.DIODE(\u_sspim.cfg_op_req ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19057__A (.DIODE(_04964_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19031__A2 (.DIODE(_05995_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19058__B2 (.DIODE(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[3].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19035__B (.DIODE(_04932_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19061__B2 (.DIODE(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[4].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19037__A0 (.DIODE(_06125_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19065__A2 (.DIODE(_04976_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19037__A1 (.DIODE(net20),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19065__B2 (.DIODE(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[5].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19039__A0 (.DIODE(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[5].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19068__A2 (.DIODE(_04976_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19039__A1 (.DIODE(net19),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19068__B2 (.DIODE(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[6].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19041__A0 (.DIODE(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[4].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19071__A1 (.DIODE(\u_sspim.cfg_op_req ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19041__A1 (.DIODE(net18),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19071__A2 (.DIODE(_05958_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19043__A0 (.DIODE(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[3].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19071__B1 (.DIODE(_04962_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19043__A1 (.DIODE(net17),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19075__B (.DIODE(_04951_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19046__A0 (.DIODE(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[2].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19077__A1 (.DIODE(net20),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19046__A1 (.DIODE(net16),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19079__A1 (.DIODE(net19),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19048__A0 (.DIODE(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[1].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19081__A0 (.DIODE(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[4].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19048__A1 (.DIODE(net46),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19081__A1 (.DIODE(net18),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19050__A0 (.DIODE(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[0].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19083__A0 (.DIODE(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[3].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19050__A1 (.DIODE(net45),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19083__A1 (.DIODE(net17),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19052__A0 (.DIODE(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[7].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19086__A1 (.DIODE(net16),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19052__A1 (.DIODE(_02825_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19088__A1 (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19054__B (.DIODE(_04932_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19090__A1 (.DIODE(net45),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19056__A0 (.DIODE(_05456_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19094__B (.DIODE(_04951_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19056__A1 (.DIODE(net29),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19096__A0 (.DIODE(_05475_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19058__A0 (.DIODE(_05457_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19096__A1 (.DIODE(net29),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19058__A1 (.DIODE(net28),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19098__A0 (.DIODE(_05476_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19060__A1 (.DIODE(net27),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19098__A1 (.DIODE(net28),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19062__A1 (.DIODE(net25),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19100__A0 (.DIODE(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[4].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19065__A1 (.DIODE(net24),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19100__A1 (.DIODE(net27),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19067__A1 (.DIODE(net23),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19102__A0 (.DIODE(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[3].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19069__A1 (.DIODE(net22),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19102__A1 (.DIODE(net25),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19071__A1 (.DIODE(net21),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19105__A0 (.DIODE(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[2].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19073__B (.DIODE(_04932_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19105__A1 (.DIODE(net24),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19076__A1 (.DIODE(net30),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19107__A1 (.DIODE(net23),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19080__B (.DIODE(_05800_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19109__A1 (.DIODE(net22),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19080__D (.DIODE(_06001_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19111__A1 (.DIODE(net21),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19081__A (.DIODE(net10),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19113__B (.DIODE(_04951_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19081__B (.DIODE(_05096_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19114__A1 (.DIODE(net31),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19083__A0 (.DIODE(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[6].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19114__S (.DIODE(_05111_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19083__A1 (.DIODE(_02823_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19116__A0 (.DIODE(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[7].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19085__A1 (.DIODE(_02821_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19116__A1 (.DIODE(net30),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19087__A1 (.DIODE(_07385_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19118__S (.DIODE(_05111_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19089__A1 (.DIODE(_07034_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19120__A (.DIODE(net47),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19092__A1 (.DIODE(_06966_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19120__B (.DIODE(_05963_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19094__A1 (.DIODE(_06963_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19120__C (.DIODE(_06088_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19096__A1 (.DIODE(_06956_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19121__A (.DIODE(_07036_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19098__A1_N (.DIODE(_05953_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19121__B (.DIODE(_05115_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19098__B2 (.DIODE(_07546_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19123__A0 (.DIODE(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[6].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19099__B (.DIODE(_05096_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19125__A0 (.DIODE(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[5].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19101__A1 (.DIODE(net20),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19127__A0 (.DIODE(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[4].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19103__A1 (.DIODE(net19),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19129__A0 (.DIODE(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[3].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19105__A1 (.DIODE(net18),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19132__A0 (.DIODE(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[2].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19107__A1 (.DIODE(net17),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19132__A1 (.DIODE(_07373_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19110__A1 (.DIODE(net16),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19134__A0 (.DIODE(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[1].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19112__A1 (.DIODE(net46),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19136__A0 (.DIODE(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[0].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19114__A1 (.DIODE(net45),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19136__A1 (.DIODE(_07364_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19116__A0 (.DIODE(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[7].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19138__A1_N (.DIODE(_05913_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19116__A1 (.DIODE(_02825_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19138__B1 (.DIODE(_05111_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19118__B (.DIODE(_05096_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19138__B2 (.DIODE(_07543_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19120__A1 (.DIODE(net29),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19139__B (.DIODE(_05115_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19122__A1 (.DIODE(net28),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19141__A1 (.DIODE(net20),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19124__A1 (.DIODE(net27),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19143__A1 (.DIODE(net19),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19126__A1 (.DIODE(net25),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19145__A1 (.DIODE(net18),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19129__A1 (.DIODE(net24),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19147__A1 (.DIODE(net17),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19131__A1 (.DIODE(net23),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19150__A1 (.DIODE(net16),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19133__A1 (.DIODE(net22),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19152__A1 (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19135__A1 (.DIODE(net21),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19154__A1 (.DIODE(net45),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19137__B (.DIODE(_05096_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19158__B (.DIODE(_05115_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19139__A0 (.DIODE(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[6].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19160__A0 (.DIODE(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[6].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19139__A1 (.DIODE(net38),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19160__A1 (.DIODE(net29),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19141__A0 (.DIODE(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[5].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19162__A1 (.DIODE(net28),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19141__A1 (.DIODE(net36),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19164__A1 (.DIODE(net27),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19143__A0 (.DIODE(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[4].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19166__A1 (.DIODE(net25),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19143__A1 (.DIODE(net35),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19169__A1 (.DIODE(net24),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19145__A0 (.DIODE(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[3].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19171__A1 (.DIODE(net23),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19148__A0 (.DIODE(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[2].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19173__A1 (.DIODE(net22),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19154__A1 (.DIODE(net30),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19175__A1 (.DIODE(net21),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19158__S (.DIODE(_05141_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19177__B (.DIODE(_05115_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19160__S (.DIODE(_05141_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19179__A0 (.DIODE(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[6].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19162__S (.DIODE(_05141_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19179__A1 (.DIODE(net38),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19164__S (.DIODE(_05141_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19181__A0 (.DIODE(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[5].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19171__A0 (.DIODE(_06276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19181__A1 (.DIODE(net36),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19177__S (.DIODE(_05152_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19183__A0 (.DIODE(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[4].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19179__S (.DIODE(_05152_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19183__A1 (.DIODE(net35),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19181__S (.DIODE(_05152_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19185__A0 (.DIODE(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[3].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19183__S (.DIODE(_05152_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19188__A0 (.DIODE(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[2].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19190__A0 (.DIODE(_06276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19192__A1 (.DIODE(net31),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19196__S (.DIODE(_05163_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19194__A0 (.DIODE(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[7].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19198__S (.DIODE(_05163_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19194__A1 (.DIODE(net30),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19200__S (.DIODE(_05163_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19198__S (.DIODE(_05160_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19202__S (.DIODE(_05163_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19200__S (.DIODE(_05160_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19209__A0 (.DIODE(_06276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19202__S (.DIODE(_05160_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19213__A1 (.DIODE(net39),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19204__S (.DIODE(_05160_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19217__A0 (.DIODE(_06481_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19207__S (.DIODE(_05165_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19219__A0 (.DIODE(_06505_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19209__S (.DIODE(_05165_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19221__A0 (.DIODE(_06509_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19211__S (.DIODE(_05165_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19223__A0 (.DIODE(_06511_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19213__A0 (.DIODE(_04898_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19226__A0 (.DIODE(_06513_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19213__S (.DIODE(_05165_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19228__A0 (.DIODE(_06516_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19217__S (.DIODE(_05171_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19230__A0 (.DIODE(_06518_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19219__S (.DIODE(_05171_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19232__A0 (.DIODE(_06520_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19221__S (.DIODE(_05171_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19237__A0 (.DIODE(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[7].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19223__S (.DIODE(_05171_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19237__A1 (.DIODE(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[6].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19226__S (.DIODE(_05176_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19250__A (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19228__S (.DIODE(_05176_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19291__C (.DIODE(_05966_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19230__S (.DIODE(_05176_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19292__B2 (.DIODE(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19232__A0 (.DIODE(_04898_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19295__A0 (.DIODE(net51),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19232__S (.DIODE(_05176_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19312__A1 (.DIODE(net95),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19236__S (.DIODE(_05182_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19318__SET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19238__S (.DIODE(_05182_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19319__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19240__S (.DIODE(_05182_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19320__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19242__S (.DIODE(_05182_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19321__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19251__A0 (.DIODE(_04898_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19322__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19253__A1 (.DIODE(net39),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19330__CLK (.DIODE(\clknet_leaf_9_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19257__A0 (.DIODE(_06476_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19331__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19259__A0 (.DIODE(_06500_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19332__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19261__A0 (.DIODE(_06504_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19333__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19263__A0 (.DIODE(_06506_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19334__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19266__A0 (.DIODE(_06508_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19335__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19268__A0 (.DIODE(_06511_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19336__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19270__A0 (.DIODE(_06513_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19337__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19272__A0 (.DIODE(_06515_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19338__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19290__A (.DIODE(net50),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19339__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19331__C (.DIODE(_05928_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19340__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19332__B2 (.DIODE(net94),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19341__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19335__A0 (.DIODE(net51),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19342__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19352__A1 (.DIODE(net95),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19343__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19358__SET_B (.DIODE(\u_uart_core.line_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19344__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19359__RESET_B (.DIODE(net308),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19345__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19360__RESET_B (.DIODE(net308),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19346__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19361__RESET_B (.DIODE(net324),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19347__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19362__RESET_B (.DIODE(net308),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19348__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19363__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19349__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19364__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19350__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19365__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19351__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19366__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19352__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19367__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19356__CLK (.DIODE(\clknet_leaf_9_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19368__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19366__CLK (.DIODE(\clknet_leaf_9_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -32821,42 +32600,17 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19373__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19373__RESET_B (.DIODE(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19374__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19374__RESET_B (.DIODE(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19375__RESET_B (.DIODE(net1),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19376__RESET_B (.DIODE(net1),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19377__RESET_B (.DIODE(net1),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19378__RESET_B (.DIODE(net1),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19379__RESET_B (.DIODE(net1),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19380__CLK (.DIODE(\clknet_leaf_9_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19375__RESET_B (.DIODE(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -32866,922 +32620,957 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19388__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19392__SET_B (.DIODE(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19389__RESET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19393__SET_B (.DIODE(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19390__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19394__SET_B (.DIODE(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19391__RESET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19395__SET_B (.DIODE(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19392__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19396__SET_B (.DIODE(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19396__CLK (.DIODE(\clknet_leaf_9_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19397__SET_B (.DIODE(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19401__CLK (.DIODE(\clknet_leaf_9_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19398__SET_B (.DIODE(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19404__CLK (.DIODE(\clknet_leaf_9_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19399__SET_B (.DIODE(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19409__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19400__SET_B (.DIODE(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19410__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19401__SET_B (.DIODE(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19411__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19402__SET_B (.DIODE(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19412__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19403__SET_B (.DIODE(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19413__RESET_B (.DIODE(net343),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19404__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19414__RESET_B (.DIODE(net343),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19405__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19415__RESET_B (.DIODE(net343),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19406__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19420__CLK (.DIODE(\clknet_leaf_9_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19407__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19432__SET_B (.DIODE(net104),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19408__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19433__SET_B (.DIODE(net104),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19409__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19434__SET_B (.DIODE(net104),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19410__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19435__SET_B (.DIODE(net104),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19411__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19436__SET_B (.DIODE(net104),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19412__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19437__SET_B (.DIODE(net104),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19413__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19438__SET_B (.DIODE(net104),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19414__RESET_B (.DIODE(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19439__SET_B (.DIODE(net104),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19415__RESET_B (.DIODE(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19440__SET_B (.DIODE(net104),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19416__RESET_B (.DIODE(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19441__SET_B (.DIODE(\u_uart_core.line_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19417__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19442__SET_B (.DIODE(\u_uart_core.line_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19418__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19443__CLK (.DIODE(\clknet_leaf_9_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19419__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19443__D (.DIODE(net337),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19420__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19443__SET_B (.DIODE(net103),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19421__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19444__RESET_B (.DIODE(net324),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19426__RESET_B (.DIODE(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19445__RESET_B (.DIODE(net308),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19427__RESET_B (.DIODE(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19446__RESET_B (.DIODE(net308),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19428__RESET_B (.DIODE(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19447__RESET_B (.DIODE(net308),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19429__RESET_B (.DIODE(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19448__RESET_B (.DIODE(net324),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19430__RESET_B (.DIODE(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19449__RESET_B (.DIODE(net324),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19431__RESET_B (.DIODE(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19450__RESET_B (.DIODE(net308),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19432__RESET_B (.DIODE(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19451__RESET_B (.DIODE(net324),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19433__RESET_B (.DIODE(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19452__RESET_B (.DIODE(net324),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19434__RESET_B (.DIODE(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19453__RESET_B (.DIODE(net308),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19435__RESET_B (.DIODE(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19454__RESET_B (.DIODE(net343),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19436__SET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19455__RESET_B (.DIODE(net343),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19437__RESET_B (.DIODE(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19456__RESET_B (.DIODE(net343),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19438__RESET_B (.DIODE(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19457__RESET_B (.DIODE(net308),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19439__RESET_B (.DIODE(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19458__RESET_B (.DIODE(net308),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19440__RESET_B (.DIODE(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19459__RESET_B (.DIODE(net308),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19441__RESET_B (.DIODE(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19460__RESET_B (.DIODE(net308),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19442__RESET_B (.DIODE(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19461__RESET_B (.DIODE(net308),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19443__RESET_B (.DIODE(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19462__RESET_B (.DIODE(net308),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19444__RESET_B (.DIODE(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19463__RESET_B (.DIODE(net308),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19445__RESET_B (.DIODE(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19464__RESET_B (.DIODE(net308),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19462__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19465__RESET_B (.DIODE(net308),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19463__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19466__RESET_B (.DIODE(net322),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19464__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19467__RESET_B (.DIODE(net322),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19465__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19468__RESET_B (.DIODE(net322),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19466__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19469__RESET_B (.DIODE(net322),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19467__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19470__RESET_B (.DIODE(net322),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19468__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19471__RESET_B (.DIODE(net322),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19469__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19472__RESET_B (.DIODE(net322),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19470__RESET_B (.DIODE(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19473__RESET_B (.DIODE(net322),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19471__RESET_B (.DIODE(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19474__RESET_B (.DIODE(net322),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19472__RESET_B (.DIODE(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19475__RESET_B (.DIODE(net322),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19473__RESET_B (.DIODE(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19476__SET_B (.DIODE(\u_uart_core.line_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19474__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19477__RESET_B (.DIODE(net322),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19475__RESET_B (.DIODE(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19478__RESET_B (.DIODE(net322),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19476__RESET_B (.DIODE(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19479__RESET_B (.DIODE(net322),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19477__RESET_B (.DIODE(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19480__RESET_B (.DIODE(net322),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19478__RESET_B (.DIODE(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19481__RESET_B (.DIODE(net322),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19479__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19482__RESET_B (.DIODE(net322),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19480__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19483__RESET_B (.DIODE(net322),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19481__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19484__RESET_B (.DIODE(net322),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19482__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19485__RESET_B (.DIODE(net322),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19483__RESET_B (.DIODE(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19502__RESET_B (.DIODE(net50),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19484__RESET_B (.DIODE(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19503__RESET_B (.DIODE(net50),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19485__RESET_B (.DIODE(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19504__RESET_B (.DIODE(net50),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19486__RESET_B (.DIODE(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19505__RESET_B (.DIODE(net50),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19487__RESET_B (.DIODE(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19506__RESET_B (.DIODE(net50),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19488__RESET_B (.DIODE(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19507__RESET_B (.DIODE(net50),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19489__RESET_B (.DIODE(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19508__RESET_B (.DIODE(net50),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19490__RESET_B (.DIODE(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19509__RESET_B (.DIODE(net50),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19491__RESET_B (.DIODE(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19510__RESET_B (.DIODE(net104),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19492__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19511__RESET_B (.DIODE(net104),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19493__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19512__RESET_B (.DIODE(net104),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19494__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19513__RESET_B (.DIODE(net104),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19495__RESET_B (.DIODE(net104),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__19496__RESET_B (.DIODE(net104),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__19497__RESET_B (.DIODE(net104),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__19498__RESET_B (.DIODE(net104),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__19499__RESET_B (.DIODE(net104),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__19500__RESET_B (.DIODE(net104),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__19501__CLK (.DIODE(\clknet_2_1_0_u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__19501__RESET_B (.DIODE(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19514__RESET_B (.DIODE(net104),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19502__RESET_B (.DIODE(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19515__RESET_B (.DIODE(net104),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19503__RESET_B (.DIODE(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19516__RESET_B (.DIODE(net104),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19504__RESET_B (.DIODE(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19517__RESET_B (.DIODE(net104),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19505__RESET_B (.DIODE(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19518__RESET_B (.DIODE(net104),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19506__RESET_B (.DIODE(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19519__RESET_B (.DIODE(net104),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19507__RESET_B (.DIODE(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19520__RESET_B (.DIODE(net325),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19508__RESET_B (.DIODE(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19521__RESET_B (.DIODE(net325),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19509__RESET_B (.DIODE(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19522__RESET_B (.DIODE(net104),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19510__RESET_B (.DIODE(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19523__RESET_B (.DIODE(net104),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19511__SET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19524__RESET_B (.DIODE(net325),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19512__RESET_B (.DIODE(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19525__RESET_B (.DIODE(net325),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19513__RESET_B (.DIODE(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19526__RESET_B (.DIODE(net105),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19514__RESET_B (.DIODE(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19527__RESET_B (.DIODE(net105),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19515__RESET_B (.DIODE(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19528__RESET_B (.DIODE(net105),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19516__RESET_B (.DIODE(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19529__RESET_B (.DIODE(net105),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19517__RESET_B (.DIODE(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19530__RESET_B (.DIODE(net105),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19518__RESET_B (.DIODE(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19531__RESET_B (.DIODE(net105),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19519__RESET_B (.DIODE(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19532__RESET_B (.DIODE(net104),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19520__RESET_B (.DIODE(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19533__RESET_B (.DIODE(net104),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19521__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19534__RESET_B (.DIODE(net104),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19522__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19535__RESET_B (.DIODE(net104),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19523__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19536__RESET_B (.DIODE(net104),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19524__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19537__RESET_B (.DIODE(net104),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19526__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19538__RESET_B (.DIODE(net104),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19527__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19539__RESET_B (.DIODE(net104),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19528__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19540__RESET_B (.DIODE(net104),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19561__RESET_B (.DIODE(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19541__RESET_B (.DIODE(net343),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19562__RESET_B (.DIODE(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19542__RESET_B (.DIODE(net343),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19563__RESET_B (.DIODE(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19543__RESET_B (.DIODE(net103),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19564__RESET_B (.DIODE(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19544__CLK (.DIODE(\clknet_1_0_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19565__RESET_B (.DIODE(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19544__RESET_B (.DIODE(net103),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19566__RESET_B (.DIODE(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19545__RESET_B (.DIODE(net343),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19567__RESET_B (.DIODE(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19546__RESET_B (.DIODE(net343),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19568__RESET_B (.DIODE(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19547__RESET_B (.DIODE(net343),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19569__RESET_B (.DIODE(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19548__RESET_B (.DIODE(net343),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19570__RESET_B (.DIODE(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19549__RESET_B (.DIODE(net343),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19571__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19550__RESET_B (.DIODE(net343),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19572__RESET_B (.DIODE(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19551__SET_B (.DIODE(net104),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19573__RESET_B (.DIODE(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19552__RESET_B (.DIODE(net343),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19574__RESET_B (.DIODE(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19553__RESET_B (.DIODE(net343),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19575__RESET_B (.DIODE(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19554__RESET_B (.DIODE(net343),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19576__RESET_B (.DIODE(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19555__RESET_B (.DIODE(net343),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19577__RESET_B (.DIODE(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19556__RESET_B (.DIODE(net343),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19578__RESET_B (.DIODE(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19557__CLK (.DIODE(\clknet_leaf_9_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19579__RESET_B (.DIODE(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19557__RESET_B (.DIODE(net343),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19580__RESET_B (.DIODE(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19558__RESET_B (.DIODE(net343),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19581__RESET_B (.DIODE(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19559__RESET_B (.DIODE(net343),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19588__CLK (.DIODE(clknet_3_4_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19560__RESET_B (.DIODE(net343),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19590__RESET_B (.DIODE(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19561__RESET_B (.DIODE(net308),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19591__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19562__RESET_B (.DIODE(net308),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19592__SET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19563__RESET_B (.DIODE(net308),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19593__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19564__RESET_B (.DIODE(net308),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19594__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19565__RESET_B (.DIODE(net324),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19595__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19566__RESET_B (.DIODE(net308),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19596__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19567__RESET_B (.DIODE(net324),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19597__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19568__RESET_B (.DIODE(net308),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19598__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19601__RESET_B (.DIODE(net343),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19599__RESET_B (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19602__RESET_B (.DIODE(net343),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19600__RESET_B (.DIODE(net52),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19603__RESET_B (.DIODE(net343),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19601__RESET_B (.DIODE(net52),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19604__RESET_B (.DIODE(net343),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19602__RESET_B (.DIODE(net52),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19605__CLK (.DIODE(\clknet_leaf_9_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19603__RESET_B (.DIODE(net52),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19605__RESET_B (.DIODE(net343),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19612__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19606__RESET_B (.DIODE(net343),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19613__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19607__RESET_B (.DIODE(net343),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19614__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19608__RESET_B (.DIODE(net343),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19615__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19609__CLK (.DIODE(\clknet_leaf_9_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19616__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19609__RESET_B (.DIODE(net343),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19617__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19610__RESET_B (.DIODE(net343),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19618__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19611__RESET_B (.DIODE(net343),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19619__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19612__RESET_B (.DIODE(net343),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19620__RESET_B (.DIODE(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19613__RESET_B (.DIODE(net343),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19621__RESET_B (.DIODE(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19614__RESET_B (.DIODE(net343),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19622__RESET_B (.DIODE(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19615__RESET_B (.DIODE(net343),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19623__RESET_B (.DIODE(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19616__RESET_B (.DIODE(net343),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19624__RESET_B (.DIODE(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19617__RESET_B (.DIODE(net343),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19625__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19618__RESET_B (.DIODE(net343),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19626__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19619__RESET_B (.DIODE(net343),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19627__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19620__RESET_B (.DIODE(net343),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19628__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19621__RESET_B (.DIODE(net343),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19629__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19630__RESET_B (.DIODE(net322),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19630__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19631__RESET_B (.DIODE(net324),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19631__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19632__SET_B (.DIODE(\u_uart_core.line_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19632__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19633__RESET_B (.DIODE(net324),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19633__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19634__RESET_B (.DIODE(net324),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19634__RESET_B (.DIODE(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19635__RESET_B (.DIODE(net324),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19635__RESET_B (.DIODE(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19636__RESET_B (.DIODE(net308),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19636__RESET_B (.DIODE(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19637__RESET_B (.DIODE(net308),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19637__RESET_B (.DIODE(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19638__RESET_B (.DIODE(net308),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19638__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19639__RESET_B (.DIODE(net308),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19639__RESET_B (.DIODE(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19640__RESET_B (.DIODE(net52),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19640__RESET_B (.DIODE(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19641__RESET_B (.DIODE(net52),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19641__RESET_B (.DIODE(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19642__RESET_B (.DIODE(net52),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19642__RESET_B (.DIODE(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19643__RESET_B (.DIODE(net52),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19643__RESET_B (.DIODE(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19652__RESET_B (.DIODE(net105),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19644__RESET_B (.DIODE(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19653__RESET_B (.DIODE(net104),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19645__RESET_B (.DIODE(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19654__RESET_B (.DIODE(net104),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19646__RESET_B (.DIODE(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19655__RESET_B (.DIODE(net104),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19647__RESET_B (.DIODE(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19656__RESET_B (.DIODE(net104),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19648__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19657__RESET_B (.DIODE(net104),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19649__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -33796,287 +33585,347 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19660__RESET_B (.DIODE(net325),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19660__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19661__RESET_B (.DIODE(net105),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19661__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19662__RESET_B (.DIODE(net325),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19662__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19663__RESET_B (.DIODE(net325),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19663__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19664__RESET_B (.DIODE(net325),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19664__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19665__RESET_B (.DIODE(net325),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19665__RESET_B (.DIODE(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19666__RESET_B (.DIODE(net50),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19666__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19667__RESET_B (.DIODE(net50),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19667__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19668__RESET_B (.DIODE(net50),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19668__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19669__RESET_B (.DIODE(net50),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19669__RESET_B (.DIODE(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19670__RESET_B (.DIODE(net50),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19678__SET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19671__RESET_B (.DIODE(net50),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19679__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19672__RESET_B (.DIODE(net50),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19680__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19673__RESET_B (.DIODE(net50),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19681__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19674__D (.DIODE(_00078_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19682__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19674__RESET_B (.DIODE(net322),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19683__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19675__RESET_B (.DIODE(net322),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19716__SET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19676__RESET_B (.DIODE(net104),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19717__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19677__RESET_B (.DIODE(net104),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19718__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19678__RESET_B (.DIODE(net322),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19719__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19679__RESET_B (.DIODE(net104),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19720__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19680__RESET_B (.DIODE(net104),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19721__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19681__RESET_B (.DIODE(net322),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19725__CLK (.DIODE(\clknet_leaf_9_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19682__RESET_B (.DIODE(net322),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19726__CLK (.DIODE(\clknet_leaf_9_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19683__RESET_B (.DIODE(net322),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19727__CLK (.DIODE(\clknet_leaf_9_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19684__RESET_B (.DIODE(net104),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19729__CLK (.DIODE(\clknet_leaf_9_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19685__RESET_B (.DIODE(net104),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19773__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19686__RESET_B (.DIODE(net104),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19774__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19687__RESET_B (.DIODE(net104),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19775__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19688__RESET_B (.DIODE(net104),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19776__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19689__RESET_B (.DIODE(net104),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19777__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19698__RESET_B (.DIODE(net325),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19778__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19699__RESET_B (.DIODE(net325),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19779__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19700__RESET_B (.DIODE(net325),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19780__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19701__RESET_B (.DIODE(net105),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19781__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19702__RESET_B (.DIODE(net105),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19782__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19703__RESET_B (.DIODE(net105),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19783__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19704__RESET_B (.DIODE(net105),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19784__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19705__RESET_B (.DIODE(net322),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19785__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19706__RESET_B (.DIODE(net105),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19786__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19707__RESET_B (.DIODE(net105),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19787__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19708__RESET_B (.DIODE(net105),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19788__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19709__RESET_B (.DIODE(net105),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19789__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19718__SET_B (.DIODE(net50),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19790__SET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19719__RESET_B (.DIODE(net50),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19791__SET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19720__RESET_B (.DIODE(net50),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19792__SET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19721__RESET_B (.DIODE(net50),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19793__SET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19722__RESET_B (.DIODE(net50),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19794__D (.DIODE(net49),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19723__RESET_B (.DIODE(net50),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19794__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19756__SET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19795__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19757__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19796__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19758__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19797__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19759__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19798__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19760__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19799__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19761__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19800__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19813__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19801__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19814__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19802__RESET_B (.DIODE(net1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__19803__RESET_B (.DIODE(net1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__19804__RESET_B (.DIODE(net1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__19805__RESET_B (.DIODE(net1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__19806__RESET_B (.DIODE(net1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__19807__RESET_B (.DIODE(net1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__19808__RESET_B (.DIODE(net1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__19809__RESET_B (.DIODE(net1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__19810__RESET_B (.DIODE(net1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__19811__RESET_B (.DIODE(net1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__19812__SET_B (.DIODE(net1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__19813__SET_B (.DIODE(net1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__19814__SET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -34086,22 +33935,22 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19816__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19816__SET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19817__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19817__SET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19818__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19818__SET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19819__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19819__SET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -34121,11 +33970,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19823__RESET_B (.DIODE(net1),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__19824__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -34136,12 +33980,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19826__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19826__SET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19827__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19827__SET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -34156,22 +34000,22 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19830__SET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19830__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19831__SET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19831__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19832__SET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19832__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19833__SET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19833__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -34186,147 +34030,17 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19836__D (.DIODE(net48),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__19836__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19837__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19853__D (.DIODE(net92),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19838__RESET_B (.DIODE(net1),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19839__RESET_B (.DIODE(net1),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19840__RESET_B (.DIODE(net1),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19841__RESET_B (.DIODE(net1),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19842__RESET_B (.DIODE(net1),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19843__CLK (.DIODE(clknet_3_6_0_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19843__RESET_B (.DIODE(net1),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19844__RESET_B (.DIODE(net1),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19845__RESET_B (.DIODE(net1),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19846__RESET_B (.DIODE(net1),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19847__RESET_B (.DIODE(net1),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19848__RESET_B (.DIODE(net1),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19849__RESET_B (.DIODE(net1),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19850__RESET_B (.DIODE(net1),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19851__RESET_B (.DIODE(net1),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19852__SET_B (.DIODE(net1),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19853__SET_B (.DIODE(net1),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19854__SET_B (.DIODE(net1),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19855__RESET_B (.DIODE(net1),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19856__SET_B (.DIODE(net1),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19857__SET_B (.DIODE(net1),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19858__SET_B (.DIODE(net1),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19859__SET_B (.DIODE(net1),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19860__RESET_B (.DIODE(net1),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19861__RESET_B (.DIODE(net1),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19862__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19863__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -34341,12 +34055,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19866__SET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19866__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19867__SET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19867__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -34366,312 +34080,437 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19871__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19871__SET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19872__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19872__SET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19873__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19873__SET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19874__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19874__SET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19875__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19875__SET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19876__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19876__SET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19893__D (.DIODE(net92),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19877__SET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19894__D (.DIODE(_00041_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19878__SET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19903__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19879__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19904__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19880__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19905__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19881__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19906__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19882__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19907__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19883__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19908__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19884__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19909__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19987__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19910__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19988__SET_B (.DIODE(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19911__SET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19989__RESET_B (.DIODE(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19912__SET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19990__RESET_B (.DIODE(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19913__SET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19991__RESET_B (.DIODE(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19914__SET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19992__RESET_B (.DIODE(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19915__SET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19993__RESET_B (.DIODE(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19916__SET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19994__RESET_B (.DIODE(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19917__SET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19995__RESET_B (.DIODE(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19918__SET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19996__RESET_B (.DIODE(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19919__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19997__RESET_B (.DIODE(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19920__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19998__RESET_B (.DIODE(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19921__D (.DIODE(_00036_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__19999__RESET_B (.DIODE(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19921__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20000__RESET_B (.DIODE(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19922__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20004__CLK (.DIODE(\clknet_leaf_9_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19923__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20033__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19924__D (.DIODE(_00042_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20034__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__19924__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20035__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20027__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20036__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20028__SET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20037__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20029__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20038__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20030__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20039__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20031__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20040__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20032__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20041__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20033__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20042__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20034__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20043__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20035__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20044__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20036__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20045__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20037__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20046__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20038__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20047__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20039__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20048__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20040__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20049__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20049__SET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20050__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20050__SET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20051__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20051__SET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20052__SET_B (.DIODE(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20052__SET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20053__RESET_B (.DIODE(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20053__SET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20054__RESET_B (.DIODE(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20054__SET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20055__RESET_B (.DIODE(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20055__SET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20056__RESET_B (.DIODE(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20056__SET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20057__RESET_B (.DIODE(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20081__RESET_B (.DIODE(net50),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20058__RESET_B (.DIODE(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20082__RESET_B (.DIODE(net50),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20059__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20083__RESET_B (.DIODE(net50),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20060__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20084__RESET_B (.DIODE(net50),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20061__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20085__RESET_B (.DIODE(net50),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20062__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20086__RESET_B (.DIODE(net50),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20063__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20087__RESET_B (.DIODE(net50),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20064__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20088__RESET_B (.DIODE(net50),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20065__RESET_B (.DIODE(net109),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__20066__RESET_B (.DIODE(net108),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__20067__RESET_B (.DIODE(net108),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__20068__RESET_B (.DIODE(net108),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__20069__SET_B (.DIODE(net108),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__20070__RESET_B (.DIODE(net108),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__20071__RESET_B (.DIODE(net108),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__20072__RESET_B (.DIODE(net108),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__20073__RESET_B (.DIODE(net108),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__20074__RESET_B (.DIODE(net108),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__20075__RESET_B (.DIODE(net108),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__20076__RESET_B (.DIODE(net108),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__20077__RESET_B (.DIODE(net108),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__20078__RESET_B (.DIODE(net108),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__20079__RESET_B (.DIODE(net108),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__20080__RESET_B (.DIODE(net108),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__20081__RESET_B (.DIODE(net108),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__20082__RESET_B (.DIODE(net108),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__20083__RESET_B (.DIODE(net108),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__20084__RESET_B (.DIODE(net108),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__20085__RESET_B (.DIODE(net108),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__20086__RESET_B (.DIODE(net108),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__20087__D (.DIODE(net55),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__20087__RESET_B (.DIODE(net108),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__20088__D (.DIODE(net54),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__20088__RESET_B (.DIODE(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -34681,287 +34520,242 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20090__RESET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20090__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20091__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20091__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20092__RESET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20092__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20093__RESET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20093__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20094__RESET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20094__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20095__RESET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20095__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20096__RESET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20096__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20097__RESET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20097__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20098__RESET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20098__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20099__RESET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20099__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20100__SET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20100__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20101__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20101__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20102__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20102__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20103__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20103__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20104__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20104__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20105__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20105__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20106__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20106__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20107__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20107__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20108__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20108__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20109__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20109__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20110__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20110__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20111__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20111__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20112__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20112__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20113__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20113__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20114__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20114__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20115__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20115__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20116__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20116__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20117__SET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20117__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20118__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20118__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20119__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20119__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20120__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20120__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20121__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20121__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20122__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20130__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20123__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20131__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20124__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20132__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20125__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20133__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20126__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20134__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20127__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20135__CLK (.DIODE(clknet_4_6_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20128__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20135__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20129__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20136__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20130__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20137__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20131__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20138__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20132__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20139__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20133__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20140__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20134__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20141__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20135__D (.DIODE(net55),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20142__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20135__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20143__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20136__D (.DIODE(net54),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20136__RESET_B (.DIODE(net108),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20137__RESET_B (.DIODE(net108),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20138__RESET_B (.DIODE(net110),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20139__RESET_B (.DIODE(net110),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20140__RESET_B (.DIODE(net110),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20141__RESET_B (.DIODE(net110),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20142__RESET_B (.DIODE(net109),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20143__RESET_B (.DIODE(net109),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20144__RESET_B (.DIODE(net109),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20144__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -34971,112 +34765,117 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20146__RESET_B (.DIODE(net109),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20146__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20147__RESET_B (.DIODE(net109),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20147__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20148__RESET_B (.DIODE(net109),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20148__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20149__RESET_B (.DIODE(net109),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20149__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20150__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20150__D (.DIODE(\u_usb_host.u_core.send_sof_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20151__RESET_B (.DIODE(net109),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20150__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20152__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20151__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20153__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20152__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20154__RESET_B (.DIODE(net56),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20153__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20155__RESET_B (.DIODE(net56),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20154__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20156__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20155__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20157__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20156__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20158__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20157__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20159__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20158__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20160__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20159__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20161__RESET_B (.DIODE(net56),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20160__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20162__RESET_B (.DIODE(net56),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20161__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20163__RESET_B (.DIODE(net56),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20162__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20164__RESET_B (.DIODE(net56),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20163__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20165__RESET_B (.DIODE(net56),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20164__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20166__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20165__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20167__RESET_B (.DIODE(net56),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20166__RESET_B (.DIODE(net106),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__20167__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -35091,52 +34890,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20178__RESET_B (.DIODE(net56),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20170__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20179__RESET_B (.DIODE(net56),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20171__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20180__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20172__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20181__RESET_B (.DIODE(net109),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20173__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20182__RESET_B (.DIODE(net109),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20174__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20183__CLK (.DIODE(clknet_4_5_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20175__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20183__RESET_B (.DIODE(net109),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20176__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20184__RESET_B (.DIODE(net109),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20177__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20185__RESET_B (.DIODE(net109),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20178__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20186__RESET_B (.DIODE(net109),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20179__RESET_B (.DIODE(net107),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__20180__RESET_B (.DIODE(net107),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__20181__RESET_B (.DIODE(net110),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__20182__RESET_B (.DIODE(net110),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__20183__RESET_B (.DIODE(net110),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__20184__RESET_B (.DIODE(net110),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__20185__RESET_B (.DIODE(net110),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__20186__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -35146,67 +34980,62 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20188__RESET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20188__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20189__RESET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20189__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20190__RESET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20190__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20191__RESET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20191__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20192__RESET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20192__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20193__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20193__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20194__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20194__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20195__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20195__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20196__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20196__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20197__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20197__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20198__D (.DIODE(\u_usb_host.u_core.send_sof_w ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20198__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20198__RESET_B (.DIODE(net108),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20199__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20199__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -35231,47 +35060,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20204__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20204__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20205__RESET_B (.DIODE(net56),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20205__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20206__RESET_B (.DIODE(net56),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20206__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20207__RESET_B (.DIODE(net56),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20207__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20208__RESET_B (.DIODE(net56),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20208__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20209__RESET_B (.DIODE(net56),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20209__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20210__RESET_B (.DIODE(net56),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20210__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20211__RESET_B (.DIODE(net56),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20211__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20212__RESET_B (.DIODE(net56),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20212__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -35286,87 +35115,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20215__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20215__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20216__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20216__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20217__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20217__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20218__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20218__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20219__RESET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20219__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20220__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20220__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20221__RESET_B (.DIODE(net56),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20221__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20222__RESET_B (.DIODE(net56),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20222__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20223__RESET_B (.DIODE(net56),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20223__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20224__RESET_B (.DIODE(net56),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20224__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20225__RESET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20225__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20226__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20226__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20227__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20227__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20228__RESET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20228__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20229__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20229__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20230__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20230__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20231__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20231__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -35376,7 +35205,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20233__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20233__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -35386,97 +35215,97 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20235__RESET_B (.DIODE(net109),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20235__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20236__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20236__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20237__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20237__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20238__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20238__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20239__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20239__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20240__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20240__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20241__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20241__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20242__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20242__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20243__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20243__SET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20244__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20244__SET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20245__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20245__SET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20246__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20246__SET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20247__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20247__SET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20248__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20248__SET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20249__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20249__SET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20250__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20250__SET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20251__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20251__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20252__RESET_B (.DIODE(net109),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20252__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20253__RESET_B (.DIODE(net109),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20253__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -35491,12 +35320,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20256__RESET_B (.DIODE(net109),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20256__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20257__RESET_B (.DIODE(net109),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20257__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -35506,7 +35335,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20259__RESET_B (.DIODE(net109),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20259__RESET_B (.DIODE(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -35516,7 +35345,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20261__RESET_B (.DIODE(net109),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20261__RESET_B (.DIODE(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -35531,47 +35360,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20264__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20264__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20265__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20265__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20266__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20266__RESET_B (.DIODE(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20267__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20267__RESET_B (.DIODE(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20268__RESET_B (.DIODE(net109),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20268__RESET_B (.DIODE(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20269__RESET_B (.DIODE(net109),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20269__RESET_B (.DIODE(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20270__RESET_B (.DIODE(net109),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20270__RESET_B (.DIODE(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20271__RESET_B (.DIODE(net109),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20271__RESET_B (.DIODE(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20272__RESET_B (.DIODE(net109),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20272__RESET_B (.DIODE(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -35596,7 +35425,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20277__RESET_B (.DIODE(net109),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20277__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -35616,27 +35445,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20281__RESET_B (.DIODE(net109),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20281__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20282__RESET_B (.DIODE(net109),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20282__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20283__RESET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20283__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20284__RESET_B (.DIODE(net109),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20284__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20285__RESET_B (.DIODE(net109),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20285__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -35646,62 +35475,62 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20287__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20287__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20288__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20288__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20289__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20289__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20290__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20290__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20291__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20291__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20292__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20292__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20293__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20293__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20294__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20294__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20295__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20295__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20296__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20296__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20297__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20297__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20298__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20298__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -35721,77 +35550,77 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20302__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20302__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20303__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20303__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20304__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20304__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20305__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20305__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20306__RESET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20306__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20307__RESET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20307__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20308__RESET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20308__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20309__RESET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20309__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20310__RESET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20310__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20311__RESET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20311__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20312__RESET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20312__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20313__RESET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20313__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20314__RESET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20314__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20315__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20315__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20316__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20316__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -35806,27 +35635,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20319__RESET_B (.DIODE(net109),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20319__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20320__RESET_B (.DIODE(net109),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20320__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20321__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20321__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20322__RESET_B (.DIODE(net109),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20322__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20323__RESET_B (.DIODE(net109),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20323__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -35841,62 +35670,62 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20326__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20326__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20327__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20327__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20328__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20328__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20329__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20329__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20330__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20330__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20331__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20331__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20332__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20332__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20333__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20333__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20334__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20334__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20335__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20335__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20336__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20336__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20337__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20337__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -35906,7 +35735,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20339__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20339__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -35926,842 +35755,857 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20343__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20343__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20344__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20344__RESET_B (.DIODE(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20345__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20345__SET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20346__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20346__SET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20347__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20347__SET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20348__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20348__SET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20349__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20349__SET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20350__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20350__SET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20351__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20351__SET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20352__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20352__SET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20353__RESET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20353__SET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20354__RESET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20354__SET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20355__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20355__SET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20356__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20356__SET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20357__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20357__SET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20358__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20358__SET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20359__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20359__SET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20360__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20360__SET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20361__RESET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20361__RESET_B (.DIODE(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20362__RESET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20362__RESET_B (.DIODE(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20363__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20363__RESET_B (.DIODE(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20364__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20364__RESET_B (.DIODE(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20365__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20365__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20366__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20702__CLK (.DIODE(clknet_4_8_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20367__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20913__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20368__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20914__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20369__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20915__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20370__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20916__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20371__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20917__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20372__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20918__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20373__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20919__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20374__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20920__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20375__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20921__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20376__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20922__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20377__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20923__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20378__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20924__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20379__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20925__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20380__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20926__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20381__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20927__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20382__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20928__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20383__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20929__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20384__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20930__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20385__SET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__20931__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20386__SET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21452__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20387__SET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21453__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20388__SET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21454__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20389__SET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21455__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20390__SET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21456__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20391__SET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21457__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20392__SET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21458__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20393__SET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21459__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20394__SET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21460__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20395__SET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21461__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20396__SET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21462__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20397__SET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21463__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20398__SET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21464__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20399__SET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21465__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20400__SET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21466__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20401__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21467__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20402__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21468__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20403__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21469__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20404__D (.DIODE(\u_usb_host.u_core.u_sie.utmi_rxactive_i ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21470__RESET_B (.DIODE(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20404__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21471__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20405__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21472__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20669__CLK (.DIODE(clknet_4_11_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21473__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20781__CLK (.DIODE(clknet_4_3_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21474__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20953__RESET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21475__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20954__RESET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21476__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20955__RESET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21477__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20956__RESET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21478__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20957__RESET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21479__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20958__RESET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21480__RESET_B (.DIODE(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20959__RESET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21481__RESET_B (.DIODE(net56),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20960__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21482__RESET_B (.DIODE(net56),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20961__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21483__RESET_B (.DIODE(net56),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20962__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21484__RESET_B (.DIODE(net56),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20963__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21485__D (.DIODE(\u_usb_host.u_async_wb.u_cmd_if.grey_rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20964__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21485__RESET_B (.DIODE(net56),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20965__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21486__RESET_B (.DIODE(net56),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20966__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21487__RESET_B (.DIODE(net56),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20967__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21488__RESET_B (.DIODE(net110),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__21489__RESET_B (.DIODE(net56),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__21490__RESET_B (.DIODE(net56),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__21491__RESET_B (.DIODE(net56),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20968__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21492__RESET_B (.DIODE(net56),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20969__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21493__RESET_B (.DIODE(net56),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20970__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21494__RESET_B (.DIODE(net56),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__20971__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21495__RESET_B (.DIODE(net56),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21492__RESET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21496__RESET_B (.DIODE(net56),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21493__RESET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21497__RESET_B (.DIODE(net56),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21494__RESET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21498__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21495__RESET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21499__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21496__RESET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21500__RESET_B (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21497__RESET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21509__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21498__RESET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21510__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21499__RESET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21511__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21500__RESET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21512__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21501__RESET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21513__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21502__RESET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21514__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21503__RESET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21515__RESET_B (.DIODE(net56),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21504__RESET_B (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21516__RESET_B (.DIODE(net56),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21505__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21517__RESET_B (.DIODE(net56),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21506__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21518__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21507__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21519__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21508__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21520__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21509__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21521__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21510__RESET_B (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21522__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21511__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21523__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21512__RESET_B (.DIODE(net50),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21524__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21513__RESET_B (.DIODE(net50),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21525__RESET_B (.DIODE(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21514__RESET_B (.DIODE(net50),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21537__CLK (.DIODE(\clknet_leaf_9_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21515__RESET_B (.DIODE(net50),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21545__CLK (.DIODE(\clknet_leaf_9_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21516__RESET_B (.DIODE(net50),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21549__CLK (.DIODE(\clknet_leaf_9_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21517__RESET_B (.DIODE(net50),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21550__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21518__RESET_B (.DIODE(net50),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21551__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21519__RESET_B (.DIODE(net50),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21552__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21520__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21553__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21521__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21554__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21522__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21555__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21523__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21556__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21524__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21557__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21525__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21558__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21526__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21559__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21527__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21560__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21528__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21561__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21529__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21562__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21530__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21563__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21531__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21564__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21532__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21565__RESET_B (.DIODE(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21533__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21566__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21534__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21567__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21535__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21568__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21536__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21569__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21537__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21570__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21538__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21571__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21539__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21572__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21540__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21573__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21549__RESET_B (.DIODE(net56),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21574__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21550__RESET_B (.DIODE(net56),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21575__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21551__RESET_B (.DIODE(net56),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21576__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21552__RESET_B (.DIODE(net56),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21577__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21553__RESET_B (.DIODE(net56),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21578__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21554__RESET_B (.DIODE(net56),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21579__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21555__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21580__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21556__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21581__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21557__RESET_B (.DIODE(net110),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21582__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21558__RESET_B (.DIODE(net56),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21583__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21559__RESET_B (.DIODE(net56),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21584__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21560__RESET_B (.DIODE(net56),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21585__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21561__RESET_B (.DIODE(net56),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21586__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21562__RESET_B (.DIODE(net56),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21587__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21563__RESET_B (.DIODE(net56),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21588__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21564__RESET_B (.DIODE(net56),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21589__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21565__RESET_B (.DIODE(net56),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21590__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21586__CLK (.DIODE(\clknet_leaf_9_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21591__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21590__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21592__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21591__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21593__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21592__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21594__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21593__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21595__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21594__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21596__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21595__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21597__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21596__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21598__D (.DIODE(_02174_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21597__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21598__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21598__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21599__D (.DIODE(_02175_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21599__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21599__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21600__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21600__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21601__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21601__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21602__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21602__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21603__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21603__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21604__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21604__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21605__RESET_B (.DIODE(net1),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21605__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -37026,126 +36870,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21658__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21659__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21660__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21661__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21662__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21663__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21664__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21665__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21666__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21667__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21668__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21669__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21670__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21671__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21672__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21673__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21674__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21675__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21676__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21677__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21678__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21679__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21680__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21681__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__21682__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -37191,7 +36915,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21691__RESET_B (.DIODE(net50),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21691__SET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -37206,11 +36930,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21694__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__21695__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -37226,6 +36945,126 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__21698__RESET_B (.DIODE(net50),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__21699__RESET_B (.DIODE(net50),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__21700__RESET_B (.DIODE(net50),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__21701__RESET_B (.DIODE(net50),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__21702__SET_B (.DIODE(net50),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__21703__RESET_B (.DIODE(net50),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__21704__SET_B (.DIODE(net50),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__21705__RESET_B (.DIODE(net50),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__21706__RESET_B (.DIODE(net50),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__21707__RESET_B (.DIODE(net50),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__21708__RESET_B (.DIODE(net50),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__21709__RESET_B (.DIODE(net50),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__21710__RESET_B (.DIODE(net50),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__21711__RESET_B (.DIODE(net50),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__21712__RESET_B (.DIODE(net50),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__21713__RESET_B (.DIODE(net50),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__21714__RESET_B (.DIODE(net50),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__21715__RESET_B (.DIODE(net50),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__21716__RESET_B (.DIODE(net50),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__21717__RESET_B (.DIODE(net50),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__21718__RESET_B (.DIODE(net50),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__21719__RESET_B (.DIODE(net50),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__21720__RESET_B (.DIODE(net50),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__21721__RESET_B (.DIODE(net50),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__21722__RESET_B (.DIODE(net50),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -37236,207 +37075,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21724__RESET_B (.DIODE(net50),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21724__RESET_B (.DIODE(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21725__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21726__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21727__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21728__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21729__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21730__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21731__SET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21732__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21733__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21735__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21736__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21737__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21738__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21739__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21740__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21741__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21742__SET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21743__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21744__SET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21745__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21746__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21747__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21748__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21749__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21750__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21751__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21752__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21753__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21754__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21755__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21756__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21757__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21758__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21759__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21760__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21761__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21762__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21763__RESET_B (.DIODE(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21764__RESET_B (.DIODE(net108),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__21765__RESET_B (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA__21725__RESET_B (.DIODE(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -37446,11 +37090,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_0_u_uart_core.line_clk_16x_A  (.DIODE(\u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_0_usb_clk_A (.DIODE(usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -37511,6 +37150,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_2_2_0_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_1_1_0_u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_2_2_0_usb_clk_A (.DIODE(clknet_1_1_1_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -37521,6 +37165,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_2_3_0_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_1_1_0_u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_2_3_0_usb_clk_A (.DIODE(clknet_1_1_1_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -37611,6 +37260,16 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_4_10_0_usb_clk_A (.DIODE(clknet_3_5_0_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_4_11_0_usb_clk_A (.DIODE(clknet_3_5_0_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_4_12_0_usb_clk_A (.DIODE(clknet_3_6_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -37621,6 +37280,16 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_4_14_0_usb_clk_A (.DIODE(clknet_3_7_0_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_4_15_0_usb_clk_A (.DIODE(clknet_3_7_0_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_4_1_0_usb_clk_A (.DIODE(clknet_3_0_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -37671,7 +37340,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_0_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_1_1_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_0_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_2_2_0_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -37711,7 +37380,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_106_usb_clk_A (.DIODE(clknet_4_12_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_106_usb_clk_A (.DIODE(clknet_4_13_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -37731,12 +37400,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_10_app_clk_A (.DIODE(clknet_3_0_0_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_10_app_clk_A (.DIODE(clknet_3_3_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_10_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_1_1_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_10_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_2_3_0_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -37776,7 +37445,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_116_usb_clk_A (.DIODE(clknet_4_6_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_116_usb_clk_A (.DIODE(clknet_4_12_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -37786,7 +37455,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_118_usb_clk_A (.DIODE(clknet_4_7_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_118_usb_clk_A (.DIODE(clknet_4_6_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -37801,12 +37470,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_11_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_1_1_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_11_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_2_3_0_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_11_usb_clk_A (.DIODE(clknet_4_2_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_11_usb_clk_A (.DIODE(clknet_4_0_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -37816,17 +37485,17 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_121_usb_clk_A (.DIODE(clknet_4_7_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_121_usb_clk_A (.DIODE(clknet_4_6_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_122_usb_clk_A (.DIODE(clknet_4_7_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_122_usb_clk_A (.DIODE(clknet_4_6_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_123_usb_clk_A (.DIODE(clknet_4_7_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_123_usb_clk_A (.DIODE(clknet_4_6_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -37836,7 +37505,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_125_usb_clk_A (.DIODE(clknet_4_13_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_125_usb_clk_A (.DIODE(clknet_4_7_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -37846,7 +37515,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_127_usb_clk_A (.DIODE(clknet_4_13_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_127_usb_clk_A (.DIODE(clknet_4_7_0_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_128_usb_clk_A (.DIODE(clknet_4_7_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -37861,12 +37535,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_12_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_1_1_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_12_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_2_1_0_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_12_usb_clk_A (.DIODE(clknet_4_2_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_12_usb_clk_A (.DIODE(clknet_4_0_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -37876,17 +37550,17 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_131_usb_clk_A (.DIODE(clknet_4_7_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_131_usb_clk_A (.DIODE(clknet_4_5_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_133_usb_clk_A (.DIODE(clknet_4_4_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_133_usb_clk_A (.DIODE(clknet_4_5_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_134_usb_clk_A (.DIODE(clknet_4_4_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_134_usb_clk_A (.DIODE(clknet_4_5_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -37896,22 +37570,22 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_136_usb_clk_A (.DIODE(clknet_4_5_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_136_usb_clk_A (.DIODE(clknet_4_4_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_137_usb_clk_A (.DIODE(clknet_4_5_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_137_usb_clk_A (.DIODE(clknet_4_4_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_138_usb_clk_A (.DIODE(clknet_4_6_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_138_usb_clk_A (.DIODE(clknet_4_4_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_139_usb_clk_A (.DIODE(clknet_4_6_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_139_usb_clk_A (.DIODE(clknet_4_4_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -37921,12 +37595,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_13_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_1_1_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_13_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_2_3_0_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_13_usb_clk_A (.DIODE(clknet_4_2_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_13_usb_clk_A (.DIODE(clknet_4_0_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -37936,42 +37610,37 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_141_usb_clk_A (.DIODE(clknet_4_4_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_141_usb_clk_A (.DIODE(clknet_4_5_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_142_usb_clk_A (.DIODE(clknet_4_5_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_142_usb_clk_A (.DIODE(clknet_4_1_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_143_usb_clk_A (.DIODE(clknet_4_6_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_143_usb_clk_A (.DIODE(clknet_4_1_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_144_usb_clk_A (.DIODE(clknet_4_6_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_144_usb_clk_A (.DIODE(clknet_4_1_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_145_usb_clk_A (.DIODE(clknet_4_4_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_145_usb_clk_A (.DIODE(clknet_4_1_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_146_usb_clk_A (.DIODE(clknet_4_1_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_146_usb_clk_A (.DIODE(clknet_4_5_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_147_usb_clk_A (.DIODE(clknet_4_1_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_148_usb_clk_A (.DIODE(clknet_4_1_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_147_usb_clk_A (.DIODE(clknet_4_5_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -37986,62 +37655,17 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_14_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_1_1_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_14_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_2_3_0_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_14_usb_clk_A (.DIODE(clknet_4_3_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_14_usb_clk_A (.DIODE(clknet_4_1_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_150_usb_clk_A (.DIODE(clknet_4_4_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_151_usb_clk_A (.DIODE(clknet_4_4_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_152_usb_clk_A (.DIODE(clknet_4_4_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_153_usb_clk_A (.DIODE(clknet_4_4_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_154_usb_clk_A (.DIODE(clknet_4_1_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_155_usb_clk_A (.DIODE(clknet_4_1_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_156_usb_clk_A (.DIODE(clknet_4_1_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_157_usb_clk_A (.DIODE(clknet_4_1_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_158_usb_clk_A (.DIODE(clknet_4_1_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_159_usb_clk_A (.DIODE(clknet_4_1_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_150_usb_clk_A (.DIODE(clknet_4_0_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38051,32 +37675,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_15_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_1_0_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_15_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_2_1_0_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_15_usb_clk_A (.DIODE(clknet_4_3_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_160_usb_clk_A (.DIODE(clknet_4_0_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_161_usb_clk_A (.DIODE(clknet_4_1_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_162_usb_clk_A (.DIODE(clknet_4_0_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_163_usb_clk_A (.DIODE(clknet_4_0_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_15_usb_clk_A (.DIODE(clknet_4_1_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38086,37 +37690,37 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_16_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_1_0_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_16_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_2_1_0_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_16_usb_clk_A (.DIODE(clknet_4_2_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_16_usb_clk_A (.DIODE(clknet_4_1_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_17_app_clk_A (.DIODE(clknet_3_3_0_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_17_app_clk_A (.DIODE(clknet_3_2_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_17_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_1_0_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_17_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_2_1_0_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_17_usb_clk_A (.DIODE(clknet_4_1_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_17_usb_clk_A (.DIODE(clknet_4_3_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_18_app_clk_A (.DIODE(clknet_3_3_0_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_18_app_clk_A (.DIODE(clknet_3_2_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_18_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_1_0_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_18_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_2_1_0_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38131,7 +37735,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_19_usb_clk_A (.DIODE(clknet_4_3_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_19_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_2_1_0_u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_19_usb_clk_A (.DIODE(clknet_4_4_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38141,7 +37750,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_1_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_1_1_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_1_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_2_2_0_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38156,12 +37765,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_20_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_1_0_0_u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_20_usb_clk_A (.DIODE(clknet_4_3_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_20_usb_clk_A (.DIODE(clknet_4_4_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38171,22 +37775,17 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_21_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_1_0_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_21_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_2_0_0_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_21_usb_clk_A (.DIODE(clknet_4_3_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_21_usb_clk_A (.DIODE(clknet_4_4_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_22_app_clk_A (.DIODE(clknet_3_3_0_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_22_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_1_0_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_22_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_2_0_0_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38201,7 +37800,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_23_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_1_0_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_23_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_2_0_0_u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_23_usb_clk_A (.DIODE(clknet_4_3_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38211,17 +37815,22 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_24_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_2_0_0_u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_24_usb_clk_A (.DIODE(clknet_4_3_0_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_25_app_clk_A (.DIODE(clknet_3_6_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_25_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_1_0_0_u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_25_usb_clk_A (.DIODE(clknet_4_3_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_25_usb_clk_A (.DIODE(clknet_4_9_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38231,17 +37840,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_26_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_1_1_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_26_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_2_0_0_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_26_usb_clk_A (.DIODE(clknet_4_3_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_26_usb_clk_A (.DIODE(clknet_4_9_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_27_usb_clk_A (.DIODE(clknet_4_3_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_27_app_clk_A (.DIODE(clknet_3_6_0_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_27_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_2_0_0_u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_27_usb_clk_A (.DIODE(clknet_4_8_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38251,12 +37870,22 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_29_app_clk_A (.DIODE(clknet_3_7_0_app_clk),
+ sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_28_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_2_2_0_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_29_usb_clk_A (.DIODE(clknet_4_8_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_28_usb_clk_A (.DIODE(clknet_4_8_0_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_29_app_clk_A (.DIODE(clknet_3_6_0_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_29_usb_clk_A (.DIODE(clknet_4_3_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38266,7 +37895,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_2_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_1_1_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_2_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_2_2_0_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38291,7 +37920,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_31_usb_clk_A (.DIODE(clknet_4_3_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_31_usb_clk_A (.DIODE(clknet_4_2_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38321,22 +37950,37 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_34_usb_clk_A (.DIODE(clknet_4_2_0_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_35_app_clk_A (.DIODE(clknet_3_7_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_35_usb_clk_A (.DIODE(clknet_4_8_0_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_36_app_clk_A (.DIODE(clknet_3_7_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_36_usb_clk_A (.DIODE(clknet_4_8_0_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_37_app_clk_A (.DIODE(clknet_3_7_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_37_usb_clk_A (.DIODE(clknet_4_10_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_37_usb_clk_A (.DIODE(clknet_4_8_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38346,7 +37990,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_38_usb_clk_A (.DIODE(clknet_4_10_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_38_usb_clk_A (.DIODE(clknet_4_8_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38366,6 +38010,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_3_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_2_2_0_u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_3_usb_clk_A (.DIODE(clknet_4_0_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -38386,11 +38035,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_41_usb_clk_A (.DIODE(clknet_4_10_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_42_app_clk_A (.DIODE(clknet_3_6_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -38401,7 +38045,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_43_app_clk_A (.DIODE(clknet_3_4_0_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_43_app_clk_A (.DIODE(clknet_3_6_0_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_43_usb_clk_A (.DIODE(clknet_4_10_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38411,6 +38060,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_44_usb_clk_A (.DIODE(clknet_4_10_0_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_45_app_clk_A (.DIODE(clknet_3_4_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -38431,12 +38085,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_47_app_clk_A (.DIODE(clknet_3_4_0_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_47_app_clk_A (.DIODE(clknet_3_5_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_47_usb_clk_A (.DIODE(clknet_4_11_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_47_usb_clk_A (.DIODE(clknet_4_10_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38446,12 +38100,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_48_usb_clk_A (.DIODE(clknet_4_11_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_48_usb_clk_A (.DIODE(clknet_4_10_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_49_app_clk_A (.DIODE(clknet_3_7_0_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_49_app_clk_A (.DIODE(clknet_3_5_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38466,6 +38120,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_4_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_2_2_0_u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_4_usb_clk_A (.DIODE(clknet_4_0_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -38486,7 +38145,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_51_usb_clk_A (.DIODE(clknet_4_11_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_51_usb_clk_A (.DIODE(clknet_4_10_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38516,7 +38175,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_54_usb_clk_A (.DIODE(clknet_4_11_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_54_usb_clk_A (.DIODE(clknet_4_10_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38526,22 +38185,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_55_usb_clk_A (.DIODE(clknet_4_11_0_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_56_app_clk_A (.DIODE(clknet_3_5_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_56_usb_clk_A (.DIODE(clknet_4_9_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_56_usb_clk_A (.DIODE(clknet_4_11_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_57_app_clk_A (.DIODE(clknet_3_5_0_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_57_app_clk_A (.DIODE(clknet_3_4_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_57_usb_clk_A (.DIODE(clknet_4_8_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_57_usb_clk_A (.DIODE(clknet_4_11_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38551,7 +38215,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_58_usb_clk_A (.DIODE(clknet_4_8_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_58_usb_clk_A (.DIODE(clknet_4_11_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38561,7 +38225,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_59_usb_clk_A (.DIODE(clknet_4_8_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_59_usb_clk_A (.DIODE(clknet_4_11_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38571,27 +38235,22 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_5_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_1_1_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_5_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_2_2_0_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_5_usb_clk_A (.DIODE(clknet_4_0_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_5_usb_clk_A (.DIODE(clknet_4_2_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_60_app_clk_A (.DIODE(clknet_3_4_0_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_60_usb_clk_A (.DIODE(clknet_4_11_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_60_usb_clk_A (.DIODE(clknet_4_9_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_61_app_clk_A (.DIODE(clknet_3_1_0_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_61_app_clk_A (.DIODE(clknet_3_4_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38601,17 +38260,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_62_app_clk_A (.DIODE(clknet_3_1_0_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_62_app_clk_A (.DIODE(clknet_3_4_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_62_usb_clk_A (.DIODE(clknet_4_9_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_63_app_clk_A (.DIODE(clknet_3_4_0_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_63_app_clk_A (.DIODE(clknet_3_1_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38621,7 +38275,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_64_app_clk_A (.DIODE(clknet_3_4_0_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_64_app_clk_A (.DIODE(clknet_3_1_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38646,12 +38300,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_66_usb_clk_A (.DIODE(clknet_4_12_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_66_usb_clk_A (.DIODE(clknet_4_9_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_67_app_clk_A (.DIODE(clknet_3_1_0_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_67_app_clk_A (.DIODE(clknet_3_4_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38661,17 +38315,17 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_68_app_clk_A (.DIODE(clknet_3_4_0_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_68_app_clk_A (.DIODE(clknet_3_1_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_68_usb_clk_A (.DIODE(clknet_4_9_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_68_usb_clk_A (.DIODE(clknet_4_12_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_69_app_clk_A (.DIODE(clknet_3_3_0_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_69_app_clk_A (.DIODE(clknet_3_4_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38686,7 +38340,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_6_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_1_1_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_6_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_2_2_0_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38696,12 +38350,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_70_app_clk_A (.DIODE(clknet_3_1_0_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_70_app_clk_A (.DIODE(clknet_3_3_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_70_usb_clk_A (.DIODE(clknet_4_12_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_70_usb_clk_A (.DIODE(clknet_4_9_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38711,7 +38365,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_71_usb_clk_A (.DIODE(clknet_4_14_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_71_usb_clk_A (.DIODE(clknet_4_12_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38721,7 +38375,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_72_usb_clk_A (.DIODE(clknet_4_14_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_72_usb_clk_A (.DIODE(clknet_4_12_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38741,6 +38395,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_74_usb_clk_A (.DIODE(clknet_4_14_0_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_75_app_clk_A (.DIODE(clknet_3_1_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -38756,12 +38415,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_76_usb_clk_A (.DIODE(clknet_4_14_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_76_usb_clk_A (.DIODE(clknet_4_11_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_77_app_clk_A (.DIODE(clknet_3_0_0_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_77_app_clk_A (.DIODE(clknet_3_1_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38771,7 +38430,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_78_app_clk_A (.DIODE(clknet_3_0_0_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_78_app_clk_A (.DIODE(clknet_3_1_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38796,12 +38455,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_7_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_1_1_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_7_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_2_3_0_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_7_usb_clk_A (.DIODE(clknet_4_0_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_7_usb_clk_A (.DIODE(clknet_4_2_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38821,7 +38480,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_81_usb_clk_A (.DIODE(clknet_4_15_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_81_usb_clk_A (.DIODE(clknet_4_14_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38831,7 +38490,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_82_usb_clk_A (.DIODE(clknet_4_15_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_82_usb_clk_A (.DIODE(clknet_4_14_0_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_83_app_clk_A (.DIODE(clknet_3_0_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38841,7 +38505,17 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_84_usb_clk_A (.DIODE(clknet_4_15_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_84_app_clk_A (.DIODE(clknet_3_0_0_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_84_usb_clk_A (.DIODE(clknet_4_14_0_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_85_app_clk_A (.DIODE(clknet_3_0_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38851,7 +38525,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_86_usb_clk_A (.DIODE(clknet_4_15_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_86_usb_clk_A (.DIODE(clknet_4_14_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38876,12 +38550,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_8_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_1_1_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_8_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_2_3_0_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_8_usb_clk_A (.DIODE(clknet_4_0_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_8_usb_clk_A (.DIODE(clknet_4_2_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38906,12 +38580,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_94_usb_clk_A (.DIODE(clknet_4_14_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_94_usb_clk_A (.DIODE(clknet_4_15_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_95_usb_clk_A (.DIODE(clknet_4_13_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_95_usb_clk_A (.DIODE(clknet_4_15_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38921,7 +38595,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_97_usb_clk_A (.DIODE(clknet_4_15_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_97_usb_clk_A (.DIODE(clknet_4_13_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38936,12 +38610,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_9_app_clk_A (.DIODE(clknet_3_2_0_app_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_9_app_clk_A (.DIODE(clknet_3_0_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_9_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_1_1_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_9_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_2_2_0_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38951,102 +38625,32 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_opt_1_0_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_1_0_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_opt_1_0_app_clk_A (.DIODE(clknet_3_2_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_opt_1_0_usb_clk_A (.DIODE(clknet_4_6_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_opt_1_0_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_2_0_0_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_opt_2_0_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_1_1_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_opt_1_0_usb_clk_A (.DIODE(clknet_4_1_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_opt_2_0_usb_clk_A (.DIODE(clknet_4_6_0_usb_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_opt_2_0_usb_clk_A (.DIODE(clknet_4_10_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_opt_3_0_u_uart_core.line_clk_16x_A  (.DIODE(\clknet_1_1_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold181_A (.DIODE(net298),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_opt_3_0_usb_clk_A (.DIODE(clknet_4_7_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_opt_4_0_usb_clk_A (.DIODE(clknet_4_8_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_opt_5_0_usb_clk_A (.DIODE(clknet_4_8_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_opt_6_0_usb_clk_A (.DIODE(clknet_4_10_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_opt_7_0_usb_clk_A (.DIODE(clknet_4_10_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_opt_8_0_usb_clk_A (.DIODE(clknet_4_11_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_opt_9_0_usb_clk_A (.DIODE(clknet_4_11_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold170_A (.DIODE(net87),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold176_A (.DIODE(net293),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold179_A (.DIODE(net296),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold200_A (.DIODE(net317),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold207_A (.DIODE(\u_uart_core.line_reset_n ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold208_A (.DIODE(net105),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold226_A (.DIODE(net103),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold227_A (.DIODE(\u_uart_core.line_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold237_A (.DIODE(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -39341,17 +38945,72 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output58_A (.DIODE(net58),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output59_A (.DIODE(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output83_A (.DIODE(net231),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output60_A (.DIODE(net294),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output84_A (.DIODE(net84),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output61_A (.DIODE(net200),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_output62_A (.DIODE(net172),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_output63_A (.DIODE(net266),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_output66_A (.DIODE(net233),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_output71_A (.DIODE(net258),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_output75_A (.DIODE(net240),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_output81_A (.DIODE(net187),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_output82_A (.DIODE(net123),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_output83_A (.DIODE(net262),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_output84_A (.DIODE(net177),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_output85_A (.DIODE(net272),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_output86_A (.DIODE(net129),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -39391,17 +39050,22 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_repeater104_A (.DIODE(net105),
+ sky130_fd_sc_hd__diode_2 ANTENNA_repeater104_A (.DIODE(net344),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_repeater106_A (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA_repeater105_A (.DIODE(net344),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_repeater107_A (.DIODE(net108),
+ sky130_fd_sc_hd__diode_2 ANTENNA_repeater106_A (.DIODE(net110),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_repeater107_A (.DIODE(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -39504,11 +39168,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_1012 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_1019 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_1013 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_0_1017 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_0_1024 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39524,27 +39196,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_0_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_0_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_0_138 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_0_141 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_0_151 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_0_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39552,43 +39236,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_0_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_0_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_0_197 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_202 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_208 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_216 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39612,35 +39280,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_0_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_0_278 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_281 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_289 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39648,11 +39304,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_301 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_0_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39720,15 +39372,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_0_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_0_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_462 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_0_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39788,7 +39444,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_59 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_0_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39816,11 +39476,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39828,27 +39488,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39856,15 +39516,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_0_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_0_753 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_0_757 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_0_769 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_0_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39876,27 +39548,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_0_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_83 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_0_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39904,7 +39580,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39920,11 +39596,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_0_893 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_891 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_0_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39944,6 +39624,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_0_93 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_0_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -39956,11 +39640,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39976,163 +39660,135 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_1005 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_1025 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_100_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_1055 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_100_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_100_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_100_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_100_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_316 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_32 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_322 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_325 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_339 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_348 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_359 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40140,35 +39796,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_406 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_413 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_419 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40180,7 +39824,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40188,7 +39832,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40196,75 +39840,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_61 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_100_613 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_619 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40272,91 +39904,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_100_637 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_100_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_100_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_100_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_100_73 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_733 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_737 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_745 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_752 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_100_757 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_779 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_791 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40364,51 +39968,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_100_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_842 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_862 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_100_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_883 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_896 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40416,63 +40020,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_918 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_928 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_929 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_968 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_950 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_956 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_984 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_10 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_988 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_101_1006 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_1003 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_1025 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_1045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_1050 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_1058 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_101_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40480,27 +40080,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_101_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_154 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_16 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40508,47 +40104,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_101_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_252 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_262 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40556,127 +40144,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_101_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_101_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_101_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_447 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_449 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_453 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_457 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_47 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_470 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_478 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40684,47 +40244,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_101_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_597 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_101_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40744,39 +40308,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_101_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_101_711 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40784,35 +40344,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_736 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_101_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_783 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_101_788 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_101_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40820,35 +40388,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_812 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_852 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_101_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_863 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_875 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_101_853 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_101_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40860,119 +40432,131 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_916 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_907 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_936 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_101_915 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_943 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_924 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_101_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_957 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_974 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_964 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_986 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_989 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_998 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_102_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_1019 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_102_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_102_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_1039 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_1043 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_1047 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_102_1053 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_102_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_102_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_102_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_102_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_102_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_102_226 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_102_231 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_102_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40980,31 +40564,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_102_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_102_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_298 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_102_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41012,83 +40592,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_102_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_102_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_102_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_102_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_102_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_102_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_102_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_444 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_102_452 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_102_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41096,155 +40684,155 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_102_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_102_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_102_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_102_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_102_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_102_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_102_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_102_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_102_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_102_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_102_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_719 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_102_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_728 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_102_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_102_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_102_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_102_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_786 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_102_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_8 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41252,35 +40840,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_102_845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_846 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_102_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_858 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_102_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_102_866 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_102_885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41288,171 +40880,167 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_906 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_910 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_102_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_102_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_928 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_102_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_940 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_952 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_956 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_964 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_962 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_975 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_990 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_994 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_103_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_1016 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_1022 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_1045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_1044 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_103_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_103_1056 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_12 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_16 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_103_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_103_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_312 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_103_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41464,59 +41052,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_440 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_103_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_103_453 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_103_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41524,19 +41124,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_487 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41544,7 +41140,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41556,15 +41152,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_530 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_103_533 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_103_539 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_103_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41572,39 +41180,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_103_580 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_588 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_594 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_600 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41612,27 +41204,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_619 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_623 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_633 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_639 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_103_645 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41640,67 +41212,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_103_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_103_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_745 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_754 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_760 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_768 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41708,111 +41264,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_803 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_814 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_875 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_9 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_907 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_939 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_932 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_947 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_959 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_972 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_1011 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_1017 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_1023 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_1027 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_1031 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41820,11 +41360,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_1039 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_1045 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_1049 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_104_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41832,7 +41376,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41840,7 +41384,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41848,47 +41392,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_104_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_104_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_104_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_213 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_104_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41896,11 +41444,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_104_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41908,51 +41460,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_104_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_104_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_104_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_346 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_104_352 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_104_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41960,179 +41520,199 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_104_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_104_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_104_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_104_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_104_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_104_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_104_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_674 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_104_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_104_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_104_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_703 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_104_709 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_104_715 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_104_719 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_104_740 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_104_751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42140,155 +41720,179 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_762 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_104_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_104_796 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_827 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_863 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_104_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_104_880 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_856 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_902 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_104_916 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_104_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_940 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_894 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_952 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_104_906 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_958 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_962 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_990 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_994 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_1016 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_1033 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_1039 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_1051 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_105_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_105_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_1045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_105_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_105_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_105_1057 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_105_11 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_105_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_105_113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_105_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_105_150 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_105_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42296,23 +41900,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_204 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_105_212 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_105_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42320,15 +41932,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_105_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_235 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42344,19 +41952,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_284 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42364,43 +41968,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_105_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_105_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_363 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42408,6 +42008,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_105_384 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_105_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -42420,31 +42024,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_422 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_430 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_437 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42452,31 +42044,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_105_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_105_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_495 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_503 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42484,23 +42068,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_105_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_544 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_105_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42508,11 +42088,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_575 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_105_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_105_587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_105_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42524,59 +42116,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_644 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_105_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_105_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_105_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_105_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_105_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_739 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_105_751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42584,39 +42180,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_105_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_814 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42624,107 +42220,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_105_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_863 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_870 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_882 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_105_894 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_917 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_924 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_105_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_936 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_105_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_944 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_105_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_975 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_105_978 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_1005 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_1025 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_106_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_1046 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_1052 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_1058 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_106_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_106_126 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_106_136 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_106_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42732,43 +42336,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_106_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_24 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_241 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42780,31 +42376,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_106_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_284 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_290 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_294 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42812,23 +42396,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_346 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42836,43 +42416,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_106_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_442 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_106_448 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_106_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42880,19 +42468,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_499 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_106_502 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_106_508 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_106_51 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_106_516 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_106_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42900,63 +42508,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_533 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_106_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_106_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_106_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_670 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42964,19 +42564,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_735 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_106_743 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42984,91 +42580,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_819 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_826 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_827 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_106_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_846 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_852 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_863 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_884 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_896 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_908 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_106_889 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_898 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_904 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_916 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_919 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43076,203 +42652,179 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_106_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_963 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_106_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_106_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_984 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_999 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_107_1002 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_107_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_107_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_1015 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_1055 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_1045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_107_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_107_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_107_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_107_13 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_107_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_107_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_107_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_107_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_107_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_107_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_340 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_351 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_357 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_363 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_367 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_107_371 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43280,59 +42832,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_107_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_107_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_107_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_531 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43340,47 +42888,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_107_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_6 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_107_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_107_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_650 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_107_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43388,99 +42940,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_107_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_107_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_743 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_754 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_772 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_107_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_107_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_107_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_824 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_107_846 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_871 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_883 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43500,35 +43052,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_93 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_107_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_107_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_989 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_1019 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_977 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_107_989 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_108_1005 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_108_1017 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_108_1029 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_108_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43544,43 +43112,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_108_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_108_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_108_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43588,63 +43156,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_108_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_108_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_108_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_291 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_108_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43652,51 +43216,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_108_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_108_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_108_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_108_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_108_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_403 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_108_416 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_108_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43704,19 +43276,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_453 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43724,43 +43292,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_108_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_546 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_108_570 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_108_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43772,63 +43348,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_108_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_108_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_108_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_66 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_671 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_677 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43836,59 +43400,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_108_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_108_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_108_753 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_757 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_769 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_776 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_782 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_785 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43896,23 +43436,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_802 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_108_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_813 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_829 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43920,15 +43448,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_108_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_861 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_856 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43964,35 +43488,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_108_949 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_954 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_967 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_108_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_108_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_999 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_1001 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_109_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44000,147 +43528,147 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_1023 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_1047 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_1045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_109_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_109_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_109_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_109_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_109_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_109_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_109_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44148,31 +43676,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_109_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_109_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_409 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_109_417 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_109_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44180,131 +43716,131 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_109_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_109_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_109_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_109_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_109_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_109_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_109_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_109_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_109_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_109_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_109_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_644 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44312,103 +43848,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_109_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_109_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_109_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_109_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_109_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_109_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_819 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_109_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_874 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_863 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_109_886 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_875 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_109_894 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_887 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_109_89 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_109_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44440,23 +43984,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_109_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_981 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_998 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44488,23 +44028,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_10_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_121 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_128 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44512,31 +44044,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_10_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_16 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_180 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44544,91 +44072,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_10_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_10_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_10_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_10_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_10_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_336 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_357 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_363 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_367 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44636,79 +44148,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_10_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_5 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_10_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44716,23 +44228,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_57 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_10_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44740,183 +44256,187 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_730 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_10_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_748 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_801 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_10_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_10_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_824 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_840 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_846 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_862 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_10_858 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_903 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_915 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_10_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_957 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_10_977 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_943 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_10_968 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44924,23 +44444,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_10_99 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_10_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_1003 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_1015 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_1027 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44956,23 +44472,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_110_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_2 FILLER_110_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_110_120 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44980,35 +44496,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_110_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_172 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_179 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45016,35 +44524,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_110_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_264 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_110_27 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_110_274 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_110_285 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_110_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45052,11 +44576,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_110_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45064,23 +44592,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_353 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45088,27 +44612,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45116,131 +44640,135 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_110_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_110_586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_110_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_110_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_110_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_68 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_110_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45248,55 +44776,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_110_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_728 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_110_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_110_747 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_786 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_781 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_79 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45308,23 +44828,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_110_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_85 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_856 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45336,23 +44852,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_874 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_886 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_898 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_910 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_110_922 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_91 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_110_917 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_110_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45360,10 +44884,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_110_93 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_110_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -45376,7 +44896,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45388,15 +44908,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_987 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45412,11 +44928,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_111_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45432,31 +44948,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_111_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_111_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_111_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_111_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45464,51 +44980,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_20 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_111_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_111_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_111_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_111_288 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_111_294 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_111_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45516,39 +45048,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_111_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45556,95 +45088,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_111_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_111_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_111_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_111_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_111_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_509 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_111_533 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_111_539 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_111_545 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_111_551 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_111_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45652,27 +45204,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_111_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_111_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_111_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45680,91 +45232,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_111_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_111_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_111_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_706 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_111_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_111_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_111_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_111_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_879 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_865 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_111_877 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_111_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45776,6 +45336,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_111_90 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_111_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -45800,10 +45364,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_96 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_111_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -45844,67 +45404,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_112_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_112_194 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_197 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_205 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_208 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_112_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45912,39 +45456,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_112_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_112_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_112_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_285 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_29 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_291 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_297 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45952,19 +45484,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_112_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_343 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_112_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45972,23 +45508,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_112_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_400 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_112_406 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_112_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45996,99 +45540,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_112_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_504 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_112_510 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_518 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_524 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_535 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_541 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_572 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_578 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_584 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_112_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46096,11 +45604,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_112_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46108,27 +45616,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_656 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_112_67 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_112_670 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_112_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46136,35 +45656,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_736 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46172,19 +45688,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46192,11 +45708,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46204,19 +45720,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_112_865 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_112_851 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_112_863 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_112_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46228,10 +45756,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_89 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_112_893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -46292,6 +45816,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_113_102 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_113_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -46312,27 +45840,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_113_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_113_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_146 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_16 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46340,47 +45864,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_113_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_113_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_27 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46388,15 +45908,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_295 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46404,103 +45920,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_113_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_499 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_113_503 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_113_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46508,7 +46032,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46520,11 +46044,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_113_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_590 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_113_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46532,27 +46060,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_644 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_652 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46560,71 +46084,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_113_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_113_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_82 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46636,27 +46156,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_863 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_875 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_887 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_113_893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46680,10 +46196,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_95 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_113_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -46712,10 +46224,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_102 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_114_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -46736,7 +46244,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_110 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_114_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46744,75 +46256,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_114_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_114_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_233 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_245 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_248 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_114_253 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_259 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_114_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46820,23 +46312,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_3 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46844,67 +46332,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_114_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_114_421 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_444 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46916,15 +46396,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46932,115 +46412,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_560 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_114_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_114_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_706 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_747 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_114_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_114_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_785 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_114_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47048,7 +46532,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_804 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_114_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47056,47 +46540,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_114_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_114_844 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_840 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_114_852 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_852 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_858 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_114_862 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_114_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_893 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_905 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_917 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_912 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47124,6 +46604,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_114_98 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_114_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -47148,6 +46632,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_115_103 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_115_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -47160,207 +46648,167 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_14 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_115_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_115_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_115_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_115_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_115_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_115_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_115_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_115_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_115_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_115_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_115_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_397 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_42 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_422 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_433 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_444 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_115_449 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_457 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_46 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_481 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_485 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47368,23 +46816,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_115_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_550 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_115_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47392,47 +46836,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_115_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_651 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_659 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_66 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47440,47 +46872,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_115_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_115_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_115_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_115_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_115_743 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_761 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_767 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47488,55 +46912,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_8 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_115_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_856 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_865 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_877 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_115_889 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47552,6 +46968,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_115_93 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_115_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -47572,6 +46992,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_115_97 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_115_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -47604,31 +47028,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_105 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_2 FILLER_116_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_116_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47636,23 +47056,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_116_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_188 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_116_197 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_116_200 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_116_224 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_116_230 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_116_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47664,15 +47104,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_116_255 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_116_263 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_116_266 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_116_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_270 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47680,67 +47128,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_116_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_116_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_377 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_389 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_400 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47748,27 +47184,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_116_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_450 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_460 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47776,35 +47204,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_560 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_525 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_116_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_116_531 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_116_533 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_116_542 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_116_546 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_116_554 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_116_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47816,127 +47272,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_116_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_736 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_116_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_803 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_116_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_837 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47944,39 +47396,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_884 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_890 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_893 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_896 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_905 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_902 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_917 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_914 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_116_922 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47996,6 +47448,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_116_97 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_116_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -48008,10 +47464,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_99 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_116_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -48028,10 +47480,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_101 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_117_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -48048,11 +47496,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_117_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_117_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48060,103 +47512,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_13 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_117_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_117_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_117_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_117_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48164,27 +47616,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_117_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48196,15 +47648,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_415 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_117_418 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_117_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48216,15 +47676,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_117_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_468 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_117_474 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_117_478 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_117_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48236,35 +47708,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_117_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_544 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_117_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48272,35 +47748,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_117_561 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_117_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_590 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_576 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_580 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_591 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_597 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_609 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48312,63 +47772,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_117_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_117_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_117_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_117_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_775 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48376,27 +47836,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_117_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_81 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_818 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_824 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48404,63 +47856,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_117_84 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_117_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_848 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_854 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_875 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_866 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_117_890 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_932 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_897 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_909 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_921 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_933 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_117_945 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_95 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48492,6 +47924,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_118_103 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_118_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -48504,31 +47940,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_105 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_2 FILLER_118_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_118_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_118_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_118_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48536,47 +47968,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_118_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_118_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_217 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_230 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_238 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48584,7 +48004,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48592,11 +48012,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_118_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_118_282 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_118_288 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_118_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_294 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_118_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48604,87 +48040,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_118_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_118_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_118_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_118_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_118_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48692,39 +48128,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_513 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_516 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48732,131 +48160,155 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_118_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_118_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_118_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_118_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_748 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_118_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_118_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_803 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_118_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_766 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_118_775 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_118_782 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_118_79 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_118_808 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_118_822 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_118_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48864,31 +48316,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_118_849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_884 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_896 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_908 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_893 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_118_899 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_118_903 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48896,23 +48356,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_939 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_949 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_961 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_963 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_118_973 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_975 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48920,10 +48380,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_98 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_118_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -48944,6 +48400,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_119_101 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_119_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -48956,91 +48416,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_105 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_2 FILLER_119_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_119_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_119_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_119_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_268 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_119_274 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_119_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_119_288 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_119_292 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_119_295 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_119_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49048,19 +48528,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_316 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49072,15 +48548,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_352 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_119_359 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_119_371 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_119_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49088,79 +48576,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_119_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_119_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_119_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_119_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_119_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_119_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49168,47 +48656,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_119_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49216,15 +48704,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_119_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_663 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_119_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49232,27 +48724,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_68 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_119_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_713 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_119_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49260,87 +48744,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_119_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_119_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_883 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_855 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_862 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_870 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_921 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_874 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_119_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_904 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_119_910 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_119_934 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_119_946 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49384,147 +48880,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_11_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_2 FILLER_11_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_20 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_11_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_11_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_363 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_375 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_381 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49532,23 +49020,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_405 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_417 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_11_425 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49556,55 +49032,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_11_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_5 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49616,31 +49092,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_11_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_11_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49648,55 +49124,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_11_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_11_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_699 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_7 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_711 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_11_719 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49704,67 +49168,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_734 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_11_758 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_11_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_817 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_852 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_860 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_868 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_865 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_11_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49772,27 +49240,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_906 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_906 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_912 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_946 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_936 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49812,6 +49280,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_11_99 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_120_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -49824,10 +49296,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_103 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_120_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -49840,19 +49308,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_120_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_2 FILLER_120_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_120_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49860,11 +49332,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_120_158 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_120_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49872,10 +49340,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_184 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_120_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -49884,23 +49348,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_120_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_248 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_120_256 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_120_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49908,23 +49380,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_284 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_288 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_29 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49932,47 +49392,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_120_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_120_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_120_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_120_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_120_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_120_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_120_389 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_120_394 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_120_398 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_120_406 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_120_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49980,143 +49456,127 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_120_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_120_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_120_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_120_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_120_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_120_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_120_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_120_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_120_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_120_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_120_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_120_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_120_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_659 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_668 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_677 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_120_685 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_120_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50124,131 +49584,127 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_120_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_706 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_120_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_120_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_120_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_120_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_120_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_120_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_120_804 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_120_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_846 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_120_859 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_120_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_894 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_914 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_120_921 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_934 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_946 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_949 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_958 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_961 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_970 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_120_973 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_120_978 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50292,19 +49748,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_121_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_132 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50312,7 +49764,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50320,51 +49772,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_121_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_121_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_121_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_121_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50372,35 +49824,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_121_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_121_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_121_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50408,23 +49864,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_434 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_121_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50432,51 +49892,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_519 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_121_530 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_121_537 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_121_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50484,91 +49956,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_121_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_121_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_121_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_710 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_121_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50576,31 +50044,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_121_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_121_735 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_743 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_751 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_754 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50608,27 +50060,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_121_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_806 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_813 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50636,43 +50080,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_865 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_856 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_912 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_906 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_924 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_936 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_934 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_121_941 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_121_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50720,15 +50172,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_123 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50736,6 +50184,14 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_122_141 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_122_145 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_122_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -50744,35 +50200,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_195 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_202 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_214 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50780,15 +50224,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_237 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_241 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50796,6 +50232,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_122_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_122_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -50804,23 +50244,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_268 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_122_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50828,39 +50264,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_122_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_344 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_357 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_363 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50868,55 +50292,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_38 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_122_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_122_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_414 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_428 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_45 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_454 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_461 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50924,23 +50324,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50952,51 +50352,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_122_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_122_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_122_586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_599 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_122_607 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_122_619 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_122_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51004,55 +50416,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_122_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_122_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_122_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_122_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_725 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_122_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51060,31 +50476,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_787 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_122_795 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_80 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51092,71 +50496,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_863 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_847 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_883 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_122_921 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_122_912 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_934 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_949 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_946 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_961 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_958 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_973 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_970 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_122_978 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_122_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51188,11 +50596,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_1045 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_123_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_1045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51200,103 +50608,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_123_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_123_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_123_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_123_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_123_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_123_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_285 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_123_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_123_309 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_123_313 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_123_319 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_123_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51304,143 +50732,143 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_123_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_123_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_123_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_123_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_123_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_123_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_123_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_123_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_123_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_123_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_123_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_123_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_123_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51448,51 +50876,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_123_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_123_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_123_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_123_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_756 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_123_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51500,11 +50928,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_814 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51512,7 +50940,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_826 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51520,7 +50948,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_123_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_123_84 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_123_841 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_123_845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51528,35 +50964,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_865 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_866 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_123_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_886 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_123_890 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_903 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_123_949 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_917 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_123_923 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_123_93 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_123_936 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_123_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51568,11 +51020,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_977 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51584,10 +51036,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_101 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_124_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -51612,7 +51060,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_124_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51620,47 +51068,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_124_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_124_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_124_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_124_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_124_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51668,23 +51116,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_124_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_124_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_124_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_220 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_124_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51692,23 +51144,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_124_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_124_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_27 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_124_288 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_124_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_124_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51716,59 +51180,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_124_301 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_124_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_124_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_124_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_124_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_124_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_124_39 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_124_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51776,55 +51232,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_124_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_124_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_124_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_124_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_508 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_515 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_124_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51832,75 +51280,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_124_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_124_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_124_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_124_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_124_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_124_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51908,43 +51356,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_124_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_734 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_124_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_778 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_124_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51952,75 +51396,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_804 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_124_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_848 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_124_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_124_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_124_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_871 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_915 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_124_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_124_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_874 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_949 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_124_880 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_961 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_124_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_124_973 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_898 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_124_904 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_124_910 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_124_916 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_124_925 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_124_945 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_124_957 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_124_969 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_124_97 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_124_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52032,10 +51512,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_100 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_125_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -52048,6 +51524,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_125_101 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_125_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -52056,10 +51536,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_104 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_125_1045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -52068,27 +51544,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_125_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_125_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52096,19 +51572,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_181 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_191 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_125_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52116,167 +51584,183 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_125_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_125_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_125_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_125_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_125_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_125_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_125_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_125_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_125_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_125_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_125_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_125_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_125_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_125_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_125_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_125_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_125_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_565 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_125_586 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_125_593 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_125_60 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_125_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52284,43 +51768,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_125_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_125_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_702 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52332,19 +51816,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_72 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_125_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_125_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_746 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_125_754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52352,11 +51836,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52364,87 +51848,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_817 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_812 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_125_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_873 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_125_855 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_125_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_883 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_887 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_906 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_903 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_931 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_125_906 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_943 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_928 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_125_935 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_125_947 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52472,11 +51964,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_1017 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52500,111 +51992,147 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_126_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_126_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_126_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_126_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_126_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_126_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_126_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_126_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_126_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_126_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_126_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_126_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_126_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_126_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_126_290 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_126_298 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_126_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_126_302 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_126_309 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_126_321 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_126_333 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_126_344 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_126_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52612,19 +52140,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_126_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_126_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_126_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_41 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_126_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52632,19 +52164,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_126_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_450 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_126_454 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_126_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52652,83 +52192,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_126_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_126_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_126_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_126_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_126_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_597 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_605 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_616 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_630 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52736,55 +52260,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_126_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_674 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_126_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_126_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_728 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_736 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52792,51 +52316,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_126_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_126_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_845 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_832 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_126_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52844,27 +52372,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_126_858 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_126_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_879 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_896 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_915 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_126_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52872,31 +52404,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_928 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_126_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_934 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_939 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_940 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_952 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_963 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_964 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_975 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52932,111 +52464,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_127_105 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_2 FILLER_127_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_127_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_127_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_127_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_127_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_127_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_127_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_127_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_127_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_318 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_127_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53044,27 +52576,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_127_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_369 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_376 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53072,27 +52596,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_127_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_127_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_127_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_43 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_127_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53100,19 +52628,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_127_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_471 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_127_485 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_127_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_127_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53120,15 +52660,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_511 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_517 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53136,39 +52668,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_127_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_127_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_127_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_582 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_589 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53176,59 +52700,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_127_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_127_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_127_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_127_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_127_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_724 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_127_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53236,87 +52764,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_758 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_127_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_756 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_127_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_127_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_127_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_875 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_887 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_904 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_924 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_936 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_926 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_127_933 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_127_939 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_127_945 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_127_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53344,11 +52888,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_128_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_1029 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53368,55 +52912,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_14 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_128_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53424,27 +52968,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_128_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_128_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_128_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53452,15 +52996,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_128_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_128_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_128_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53468,103 +53016,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_128_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_128_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_128_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_128_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_128_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_128_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_128_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_128_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_128_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_128_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_128_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_46 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_460 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_472 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53572,15 +53108,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_128_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53588,31 +53124,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_128_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_128_558 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_128_566 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_571 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53620,27 +53144,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_128_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_605 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_61 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_616 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_128_616 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53648,43 +53160,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_128_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_717 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_128_725 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_128_730 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_128_736 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_128_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53692,11 +53220,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_772 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_128_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53704,31 +53236,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_128_803 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_835 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_838 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53736,15 +53264,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_848 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_852 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53756,51 +53276,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_871 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_880 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_128_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_896 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_899 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_916 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_947 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_957 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_959 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_128_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_971 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_128_977 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53828,10 +53348,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_103 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_129_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -53848,39 +53364,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_14 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_129_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_20 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53888,23 +53400,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_263 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_129_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53912,31 +53428,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_129_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_129_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_129_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_313 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_129_317 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53944,163 +53452,147 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_129_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_129_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_129_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_129_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_129_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_129_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_129_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_631 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_645 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_129_653 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_658 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_129_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_129_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54108,47 +53600,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_129_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_129_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_734 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_74 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_748 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_755 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54156,111 +53632,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_8 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_804 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_819 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_827 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_129_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_129_860 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_866 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_904 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_915 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_939 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_875 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_888 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_899 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_916 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_922 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_926 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_943 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_95 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_951 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_953 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54276,6 +53716,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_12_101 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_12_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -54300,11 +53744,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54316,87 +53760,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_17 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_12_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_284 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_290 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54404,15 +53840,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_12_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_304 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54420,91 +53852,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_12_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_12_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_502 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_12_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54512,167 +53948,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_12_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_12_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_12_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_12_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_772 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_795 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_12_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_799 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_806 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_813 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_816 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_826 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_83 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_846 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_852 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54680,15 +54088,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_12_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_879 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_12_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54696,10 +54100,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_9 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_12_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -54708,7 +54108,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_918 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54716,19 +54116,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_929 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_957 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54736,10 +54136,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_98 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_12_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -54780,19 +54176,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_11 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_130_112 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_122 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54800,23 +54184,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_130_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_130_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_130_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_130_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_130_180 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_130_185 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_130_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54824,11 +54220,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_204 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_130_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54836,103 +54236,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_130_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_130_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_130_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_130_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_130_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_410 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_416 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_423 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54940,51 +54328,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_130_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_130_519 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_130_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54992,19 +54384,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_558 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_130_562 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_130_566 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_130_572 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_130_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55012,23 +54420,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_130_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_130_625 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_130_63 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_130_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55036,23 +54452,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_130_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_130_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_688 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_130_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55060,39 +54480,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_130_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_731 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_739 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55100,83 +54512,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_130_770 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_791 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_130_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_130_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_130_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_130_824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_827 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_856 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_130_862 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_854 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_130_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_130_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_880 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_876 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_896 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_907 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55184,23 +54588,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_929 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_946 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_960 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_130_966 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_972 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_130_978 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55212,11 +54616,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_1001 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_131_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55232,7 +54632,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55244,43 +54644,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_131_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_131_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_131_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_131_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_131_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_192 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_131_205 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_131_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55288,27 +54696,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_131_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55316,23 +54724,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_131_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_131_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_131_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_30 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_131_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55340,47 +54752,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_131_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_131_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55388,31 +54800,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_131_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_131_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55420,35 +54832,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_131_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55456,79 +54868,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_131_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_131_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_131_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_131_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_131_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_685 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_693 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_696 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_702 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_711 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_717 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55536,43 +54924,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_131_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_131_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_803 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_131_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_814 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_814 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_131_824 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_131_83 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_131_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55580,83 +54980,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_855 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_854 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_858 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_875 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_882 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_886 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_890 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_926 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_901 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_930 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_940 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_918 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_947 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_924 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_131_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_944 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_965 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_977 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_98 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_989 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55664,7 +55052,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55692,39 +55080,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_13 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_132_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_132_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_170 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_132_176 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_132_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55732,59 +55128,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_132_20 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_132_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_132_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_132_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_132_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_132_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_132_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_34 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_132_344 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_132_350 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_132_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55792,59 +55200,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_132_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_132_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_132_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_132_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_132_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_132_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_132_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_132_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_48 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_132_483 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_132_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_132_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55852,11 +55272,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_519 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_132_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55864,15 +55288,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_533 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_536 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_132_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55880,19 +55296,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_132_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_132_578 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_132_586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55900,23 +55320,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_132_622 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_132_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55924,27 +55340,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_132_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_132_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_683 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_132_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55952,19 +55364,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_132_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_132_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_720 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_132_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55976,107 +55392,135 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_132_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_795 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_796 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_801 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_132_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_848 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_844 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_898 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_905 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_884 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_938 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_132_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_944 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_9 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_950 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_962 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_904 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_974 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_132_910 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_132_916 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_132_920 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_132_93 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_132_941 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_132_958 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_132_964 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_132_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56088,7 +55532,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_133_1005 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_133_1006 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56096,6 +55540,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_133_101 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_133_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -56116,55 +55564,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_12 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_133_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_133_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_172 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_178 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_184 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_19 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_195 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_208 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56176,119 +55600,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_133_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_25 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_133_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_133_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_133_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_133_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_133_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_133_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_133_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_133_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_133_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_133_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_133_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_133_458 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_133_46 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_133_466 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_133_470 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_133_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56296,7 +55740,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_133_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56304,7 +55748,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_133_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56312,19 +55756,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_133_519 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_133_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_133_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56332,7 +55780,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_133_561 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_133_57 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_133_571 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_133_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56340,143 +55800,147 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_133_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_133_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_133_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_133_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_133_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_133_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_133_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_133_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_700 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_133_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_133_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_133_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_743 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_770 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_133_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_133_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_133_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_133_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_133_824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_133_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_859 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_133_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_133_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_856 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_906 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_912 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_897 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_133_901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56484,39 +55948,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_924 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_133_924 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_930 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_133_946 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_967 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_985 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_968 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_133_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_974 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_133_997 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_986 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_133_998 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56524,11 +55992,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_1017 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56552,55 +56020,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_134_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_134_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_134_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_134_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_134_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_217 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_134_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56608,55 +56084,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_238 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_134_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_134_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_3 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56664,39 +56132,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_134_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_134_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_134_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_134_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_377 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_134_38 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_134_389 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_134_393 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_134_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56704,43 +56188,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_134_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_134_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_466 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_472 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_477 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56748,107 +56220,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_134_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_134_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_134_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_616 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_134_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_134_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_134_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_714 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_134_721 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_134_736 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_134_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56856,39 +56340,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_134_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_134_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_134_804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_134_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_134_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_800 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_808 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56896,11 +56372,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_134_848 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_134_856 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_134_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_134_858 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56912,15 +56392,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_886 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_903 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_902 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56932,35 +56408,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_929 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_946 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_959 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_964 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_971 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_970 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_1001 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56988,99 +56464,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_135_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_135_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_135_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_20 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_135_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_135_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_135_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_135_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_135_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57092,71 +56572,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_135_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_135_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_135_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_47 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_135_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57168,27 +56652,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57200,19 +56684,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_135_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57220,11 +56704,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_61 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_135_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57232,111 +56712,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_135_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_135_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_770 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_135_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_849 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_855 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_858 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57344,23 +56816,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_868 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_870 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_876 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_884 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_879 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57368,39 +56840,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_912 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_918 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_135_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_940 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_946 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_963 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_975 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_977 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_987 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_989 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_135_99 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_135_999 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57416,6 +56892,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_136_103 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_136_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -57432,11 +56912,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57448,11 +56928,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_136_141 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57460,55 +56936,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_136_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_136_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_136_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_136_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_214 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_227 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_235 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_248 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_136_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57516,19 +56976,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_286 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_291 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_136_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57536,67 +56988,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_136_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_136_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_136_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_136_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_136_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_136_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_136_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_136_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_136_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_136_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57604,6 +57056,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_136_47 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_136_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -57620,19 +57076,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_506 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_136_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_136_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57640,63 +57096,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_136_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_136_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_136_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_136_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_136_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_136_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_136_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_136_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_653 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_136_659 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_136_665 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_136_669 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_136_672 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_136_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57704,47 +57180,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_693 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_136_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_136_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_136_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_748 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_136_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_136_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_786 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_136_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_796 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_136_750 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_136_759 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_136_76 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_136_767 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_136_773 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_136_781 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_136_787 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_136_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57752,35 +57252,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_136_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_136_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_136_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_818 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_830 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_836 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_842 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_136_848 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_136_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_136_846 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57788,35 +57268,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_858 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_136_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_136_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_883 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_136_883 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_887 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_907 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_914 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_898 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_136_906 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_136_912 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57824,39 +57308,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_925 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_136_932 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_939 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_136_944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_136_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_963 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_950 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_960 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_975 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_966 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_136_978 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57868,11 +57348,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_100 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_137_1006 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57880,6 +57356,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_137_101 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_137_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -57896,31 +57376,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_13 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_150 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57928,47 +57404,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_137_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_137_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_137_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_137_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_137_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57976,151 +57456,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_137_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_137_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_137_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_137_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_137_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_137_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_137_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_137_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_137_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_137_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_137_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_137_47 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_475 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_483 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_499 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_503 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_509 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_516 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_52 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_540 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58128,103 +57572,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_137_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_137_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_137_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_137_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_137_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_137_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_137_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_137_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_137_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_137_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_137_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_710 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_714 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_717 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_724 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_137_729 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_748 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58232,31 +57652,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_803 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_804 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_137_811 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_137_815 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_137_818 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_137_824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58264,43 +57700,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_866 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_137_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_137_866 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_886 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_137_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_875 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_908 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_883 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_137_890 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_137_897 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_137_9 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_137_901 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_137_909 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_137_91 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_137_915 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_137_923 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_137_929 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_137_935 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_137_941 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_137_947 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58308,39 +57788,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_137_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_137_975 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_137_963 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_137_987 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_982 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_999 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_994 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_1003 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_1015 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_138_1027 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_138_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58352,6 +57824,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_138_104 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_8 FILLER_138_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -58360,31 +57836,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_138_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_138_118 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_124 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_127 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_138_134 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58392,15 +57852,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_138_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_138_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58408,31 +57868,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_138_238 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58440,67 +57896,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_138_262 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_138_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_138_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_138_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_138_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_138_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_138_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_138_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_138_396 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_138_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58508,23 +57968,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_138_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_138_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_138_46 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_138_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58536,47 +58000,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_138_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_138_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_138_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_138_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_138_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_138_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_138_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_568 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_138_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58584,95 +58044,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_138_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_138_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_616 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_138_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_138_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_138_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_138_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_674 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_138_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_701 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_705 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_711 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_717 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_723 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_730 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_138_743 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_138_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58680,43 +58112,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_138_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_786 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_796 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_138_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_138_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58724,71 +58156,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_138_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_847 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_138_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_855 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_871 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_138_862 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_138_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_138_883 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_884 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_904 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_138_904 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_138_917 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_138_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_936 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_943 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_949 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_955 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_959 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58796,19 +58220,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_984 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_100 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_139_1006 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_139_1000 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58828,11 +58248,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_139_1057 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_139_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_139_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58840,55 +58260,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_139_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_139_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_13 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_139_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_139_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_139_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_195 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58896,23 +58312,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_139_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_139_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_139_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_264 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_139_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58920,79 +58340,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_139_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_139_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_139_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_139_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_139_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_366 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_139_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_139_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_139_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_139_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_139_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_139_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_421 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_139_433 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_139_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59000,23 +58428,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_139_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_139_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_139_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_139_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_139_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_139_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_139_483 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_139_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59028,39 +58464,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_139_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_546 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_556 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_561 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59068,55 +58492,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_139_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_139_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_139_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_139_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_139_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_139_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_139_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_139_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_139_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_139_625 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_629 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_139_635 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_139_643 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_661 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59124,47 +58528,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_139_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_700 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_139_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_139_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_139_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_74 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_741 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_747 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59172,35 +58568,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_139_757 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_139_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_139_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_139_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_139_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_804 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_810 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_139_83 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_139_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59208,31 +58612,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_852 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_875 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_139_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_879 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_88 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_139_882 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_888 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_875 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59240,27 +58632,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_139_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_139_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_139_920 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_935 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_94 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_139_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59268,27 +58648,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_962 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_975 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_988 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_988 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_994 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_1001 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_13_1006 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59308,79 +58680,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_13_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_2 FILLER_13_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_12 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_13_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_13 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_13_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_188 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_196 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_200 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_204 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_207 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_213 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59388,15 +58740,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_25 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_13_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59404,47 +58760,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_13_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_13_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_13_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_370 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_13_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59452,131 +58812,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_13_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_13_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_13_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_13_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_620 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_632 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_644 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_656 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_66 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59584,39 +58924,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_756 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_762 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_729 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_13_741 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_13_753 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_13_759 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_13_762 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_13_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59624,19 +58984,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_824 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_13_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59644,23 +59008,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_846 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_862 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_854 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_86 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_861 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_13_874 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59676,43 +59028,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_921 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_929 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_974 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_977 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_986 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_989 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_998 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59744,23 +59096,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_140_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_150 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_140_156 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_140_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59772,11 +59132,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59784,15 +59144,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_140_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_140_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_213 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_140_221 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_140_227 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_140_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59812,15 +59184,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_271 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_140_277 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_140_283 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_140_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_140_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59828,83 +59216,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_140_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_140_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_140_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_140_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_140_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_140_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_140_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_140_437 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_140_442 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_140_448 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_140_453 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_140_471 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_140_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59912,51 +59320,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_140_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_506 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_140_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_140_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_140_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_140_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_140_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_563 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_140_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59964,43 +59376,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_140_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_140_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_140_586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_140_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_140_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_140_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_140_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_140_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_140_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_140_628 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_140_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60008,23 +59416,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_140_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_674 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_677 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60032,55 +59436,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_140_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_734 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_140_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_140_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_140_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_780 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_790 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_794 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60088,27 +59480,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_140_804 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_140_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_831 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_826 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_140_831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_140_847 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_839 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_140_845 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_140_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_140_851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60116,27 +59520,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_140_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_883 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_887 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_140_917 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_915 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60144,31 +59548,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_932 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_938 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_140_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_931 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_942 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_959 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_140_971 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_97 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_140_975 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60184,7 +59592,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_141_1002 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_1004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60192,6 +59600,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_141_102 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_141_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -60208,59 +59620,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_141_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_141_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_13 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_12 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_141_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_141_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_17 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_141_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60268,139 +59680,163 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_25 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_141_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_141_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_141_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_141_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_141_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_141_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_141_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_141_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_141_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_141_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_141_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_141_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_141_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_141_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_5 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_141_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_141_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_141_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_517 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_141_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_141_526 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_141_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_141_538 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_141_542 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_141_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60408,51 +59844,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_141_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_141_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_141_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_141_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_141_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_141_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_141_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_652 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_141_658 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_141_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60460,63 +59904,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_141_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_141_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_141_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_141_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_141_754 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_791 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_819 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_141_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_141_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_815 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_141_825 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_141_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60524,15 +59976,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_848 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_141_847 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_852 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_856 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_858 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_141_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60540,71 +59996,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_141_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_141_875 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_883 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_141_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_939 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_924 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_141_946 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_973 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_968 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_990 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_974 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_1002 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_980 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_1014 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_992 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_142_1026 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_142_1034 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_1017 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_142_1029 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_142_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60620,67 +60084,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_142_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_142_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_142_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_142_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_142_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_18 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_142_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_220 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_142_224 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_142_227 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_142_233 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_142_24 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_142_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60688,107 +60172,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_142_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_142_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_142_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_142_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_142_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_142_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_142_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_142_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_142_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_142_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_142_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_434 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_44 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_443 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_447 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60796,83 +60264,127 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_142_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_142_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_142_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_142_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_142_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_142_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_142_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_142_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_142_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_616 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_142_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_142_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_142_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_610 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_142_622 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_142_634 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_142_640 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_142_645 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_142_649 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_142_659 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_142_666 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_142_674 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_142_677 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_142_683 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_142_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60880,19 +60392,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_142_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60904,19 +60416,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_142_772 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_778 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_782 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60924,63 +60432,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_142_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_142_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_838 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_852 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_859 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_882 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_884 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_142_906 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_142_918 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_142_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_907 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_935 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_939 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_929 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_142_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_949 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_142_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60988,27 +60500,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_142_963 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_142_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_975 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_990 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_996 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_10 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61040,31 +60552,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_158 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_16 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61072,83 +60580,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_143_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_143_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_143_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_143_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_143_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_283 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_3 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_305 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61156,55 +60652,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_143_35 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_143_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_143_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_143_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_442 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_454 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61212,19 +60704,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_143_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_143_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_484 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_493 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61232,27 +60716,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_143_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_542 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61260,55 +60740,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_143_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_143_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_143_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_627 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_143_637 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_143_645 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_143_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61316,47 +60808,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_143_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_143_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_143_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_746 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_762 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_754 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_143_760 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_143_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61364,19 +60864,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_143_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_810 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_143_815 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_143_825 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_143_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61384,27 +60896,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_143_845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_871 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_866 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_882 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61412,15 +60924,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_904 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_905 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_910 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_911 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_914 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61428,47 +60940,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_962 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_955 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_968 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_975 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_967 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_143_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_987 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_143_985 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_993 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_1019 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_144_1033 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_1031 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_144_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61484,15 +61000,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_11 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61500,23 +61012,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_144_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_144_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61524,59 +61036,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_144_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_279 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_144_283 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_144_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61584,35 +61104,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_336 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_144_362 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61620,39 +61136,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_144_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_144_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_144_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_144_446 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_144_456 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_144_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61660,19 +61188,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_5 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61680,15 +61208,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_144_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61696,15 +61224,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_144_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_565 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61716,23 +61240,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_144_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_144_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_144_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_144_615 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61740,27 +61260,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_144_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_144_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_144_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_144_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_673 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_679 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_144_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_144_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61768,119 +61280,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_144_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_144_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_706 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_144_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_144_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_782 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_796 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_144_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_817 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_144_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_144_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_144_860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_144_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_862 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_871 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_144_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_144_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_894 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_907 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_900 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_912 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_916 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61888,51 +61392,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_935 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_940 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_946 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_947 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_952 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_958 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_957 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_968 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_972 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_983 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_976 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_144_99 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_997 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_995 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61956,27 +61452,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_145_105 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_2 FILLER_145_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_12 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_145_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -61984,67 +61476,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_145_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_145_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_145_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_145_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_145_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_145_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_145_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_268 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62052,23 +61540,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_145_302 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62076,7 +61560,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62084,91 +61568,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_145_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_145_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_145_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_145_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_145_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_145_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_145_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_145_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62176,55 +61660,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_145_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_145_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_145_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_145_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_599 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_6 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62232,31 +61708,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_145_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_145_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_145_647 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_653 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_656 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62264,107 +61728,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_145_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_702 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_145_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_145_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_145_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_145_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_787 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_145_793 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_80 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_801 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_807 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_818 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_824 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62372,19 +61808,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_847 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_145_845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_145_855 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_145_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62392,11 +61828,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_145_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_903 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_145_907 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_145_913 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_145_919 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_145_925 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_145_931 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62404,23 +61860,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_145_943 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_145_944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_145_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_145_971 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_957 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_145_961 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_145_981 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_145_987 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62428,19 +61896,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_1002 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_1014 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_1008 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_146_1026 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_1020 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_146_1034 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_1032 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62456,11 +61924,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_146_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_146_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62468,27 +61940,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_146_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_146_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_146_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62500,63 +61972,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_146_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_146_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_146_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_146_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_146_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_146_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_146_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_146_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_318 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_146_324 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_146_330 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_146_342 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_146_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62564,35 +62052,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_146_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_146_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62600,147 +62088,147 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_146_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_146_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_146_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_146_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_146_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_146_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_146_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_146_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_146_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_146_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_146_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_146_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_706 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62748,51 +62236,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_728 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_146_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_146_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_146_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_146_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_787 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_146_793 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_801 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62800,23 +62280,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_146_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_146_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_146_856 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62824,39 +62304,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_876 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_146_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_146_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_146_882 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_146_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_907 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_898 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_932 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_146_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_943 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_923 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_146_927 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_146_94 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_146_942 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62864,27 +62356,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_959 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_96 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_962 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_975 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62892,11 +62368,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_996 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_146_996 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_147_1000 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_147_1006 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62908,6 +62384,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_147_103 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_147_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -62916,10 +62396,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_147_105 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_2 FILLER_147_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -62928,47 +62404,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_147_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_13 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_147_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_147_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_147_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_147_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_17 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_147_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_147_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62976,15 +62452,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_212 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -62992,19 +62464,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_147_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_147_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_25 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_147_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_147_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_147_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_147_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63012,71 +62492,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_147_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_147_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_147_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_147_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_147_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_147_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_147_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_147_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_147_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_147_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_147_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_147_393 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_147_401 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_147_405 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_147_411 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_147_417 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_147_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63084,31 +62588,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_147_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_147_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_147_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_147_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_147_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_147_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63116,15 +62620,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_147_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_147_530 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_147_537 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_147_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63132,107 +62644,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_147_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_147_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_147_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_147_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_147_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_147_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_147_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_147_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_147_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_147_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_147_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_147_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_147_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_147_733 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_739 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63240,47 +62744,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_147_763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_147_775 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_147_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_147_804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_147_805 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_818 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_822 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_147_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63288,51 +62780,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_147_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_847 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_147_858 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_147_860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_147_873 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_866 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_147_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_880 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_910 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_147_887 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_147_916 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_147_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_147_919 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_928 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_147_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63340,35 +62836,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_962 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_975 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_147_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_988 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_993 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_147_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_1002 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_994 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_148_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_1014 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_1026 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_148_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_148_1034 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_148_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63384,51 +62880,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_12 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_148_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_148_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_172 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_178 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_18 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63436,15 +62920,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_148_220 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_148_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63452,15 +62940,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_148_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_148_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_148_262 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_148_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63468,63 +62960,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_148_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_148_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_148_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_148_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_148_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_148_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_148_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_38 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_148_381 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_148_387 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_148_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_148_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63532,19 +63040,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_425 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_433 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63552,211 +63052,207 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_148_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_148_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_148_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_148_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_148_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_148_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_148_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_148_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_148_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_148_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_730 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_743 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_148_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_148_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_148_786 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_148_826 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_148_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_847 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_148_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_148_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_848 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_860 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_148_904 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_908 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_148_915 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_148_918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63764,15 +63260,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_929 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_939 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_148_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63780,23 +63268,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_956 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_148_958 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_148_973 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_148_966 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_148_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_148_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_148_990 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_148_997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_149_1006 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_149_1000 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63816,27 +63304,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_149_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_2 FILLER_149_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_149_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_13 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_149_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63844,55 +63332,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_149_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_149_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_149_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_149_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_26 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_268 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63900,19 +63380,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_149_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_149_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63920,23 +63400,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_149_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_149_351 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_373 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -63948,147 +63420,151 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_149_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_149_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_149_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_149_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_149_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_149_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_149_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_149_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_149_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_149_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_149_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_149_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_149_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_149_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_590 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_149_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_149_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_149_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_149_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_149_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_702 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_149_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_706 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_698 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_149_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64096,47 +63572,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_149_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_746 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_149_734 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_149_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_149_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_149_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_149_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64144,75 +63620,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_149_847 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_871 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_852 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_859 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_149_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_149_943 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_149_944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_963 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_961 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_974 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_149_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_149_980 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_149_982 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_149_986 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_149_998 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_149_988 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64236,6 +63708,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_104 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_8 FILLER_14_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -64244,31 +63720,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_136 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_14_141 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64280,11 +63748,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_171 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64292,35 +63756,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_236 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_24 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64328,23 +63784,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_14_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_14_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64352,35 +63812,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_14_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64388,31 +63848,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_14_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_433 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64420,71 +63884,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_5 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_567 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_14_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_14_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64492,59 +63964,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_14_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_665 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_686 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64552,27 +64016,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_734 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_755 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_757 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_14_761 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_782 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_79 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_790 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64580,7 +64068,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_817 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_818 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64588,71 +64080,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_831 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_840 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_14_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_884 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_14_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_896 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_908 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_14_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_934 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_949 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_961 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_973 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_14_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64664,19 +64148,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_1019 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_1013 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_1031 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_150_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64692,159 +64176,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_150_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_150_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_150_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_150_16 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_150_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_150_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_150_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_150_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_150_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_150_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_150_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_150_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_150_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_323 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_150_329 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_339 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_347 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_150_355 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64852,27 +64316,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_150_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_150_397 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_150_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64880,43 +64340,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_150_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_150_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_150_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_150_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_498 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_150_504 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_150_51 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_150_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64924,15 +64396,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_555 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_150_57 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_150_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64940,31 +64420,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_150_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_150_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_648 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_150_661 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_150_667 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_150_673 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_150_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -64980,103 +64476,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_150_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_150_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_706 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_150_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_150_747 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_150_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_150_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_150_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_150_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_150_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_863 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_847 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_150_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_150_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_880 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_150_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_150_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_901 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_904 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_913 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_915 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65088,31 +64580,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_940 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_150_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_946 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_150_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_952 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_950 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_150_958 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_963 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_150_964 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_150_970 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_150_973 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_975 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65120,15 +64608,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_983 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_150_983 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_150_995 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_150_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_151_1002 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_150_99 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_151_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65144,10 +64636,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_151_104 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_151_1045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -65156,63 +64644,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_151_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_151_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_14 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_151_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_206 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_210 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_151_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65220,43 +64700,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_151_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_151_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_151_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_151_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_275 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_279 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65264,31 +64736,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_151_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_151_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_151_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_151_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_151_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_151_320 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_328 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65296,151 +64760,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_151_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_151_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_151_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_151_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_151_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_151_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_151_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_151_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_151_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_151_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_151_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_151_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_151_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_151_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_151_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_151_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_151_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_151_551 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_559 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_151_561 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_569 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_57 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_572 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_596 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_602 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_151_609 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_151_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65448,71 +64876,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_151_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_151_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_702 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_151_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_151_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_706 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_762 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_729 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_151_746 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_151_766 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_151_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65520,95 +64960,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_8 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_824 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_151_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_151_826 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_151_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_846 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_859 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_870 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_151_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_884 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_912 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_151_890 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_151_918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_151_926 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_151_903 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_936 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_91 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_151_911 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_915 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_942 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65616,39 +65044,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_151_961 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_975 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_151_977 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_151_987 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_151_990 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_151_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_152_1003 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_152_1015 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_1027 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_152_1033 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65660,6 +65088,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_152_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_2 FILLER_152_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -65668,43 +65100,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_12 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_152_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_152_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_18 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_152_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_192 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_152_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65712,11 +65148,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_216 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65724,10 +65156,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_24 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_152_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -65736,19 +65164,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_152_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65756,31 +65184,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_152_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_152_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_307 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_325 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65788,43 +65208,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_152_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_152_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_152_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_152_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_406 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65832,43 +65248,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_152_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_152_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_152_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_152_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_152_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_481 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_152_487 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_152_491 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_152_499 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_152_509 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_152_515 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_152_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65876,79 +65316,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_152_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_152_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_152_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_152_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_152_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_152_693 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65956,55 +65392,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_152_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_746 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_786 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_799 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66012,95 +65440,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_152_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_152_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_152_827 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_844 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_152_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_842 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_855 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_152_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_152_852 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_858 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_152_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_883 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_152_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_882 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_893 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_908 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_152_915 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_152_923 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_925 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_949 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_152_955 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_963 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_152_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_152_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66108,11 +65520,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_152_997 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_152_984 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_153_1001 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_152_988 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_152_991 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66124,11 +65540,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_153_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_153_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66144,51 +65560,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_153_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_153_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_153_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_153_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_153_169 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_153_175 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_153_186 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_153_192 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_153_200 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_153_207 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_153_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66196,47 +65636,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_153_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_153_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_153_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_153_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_153_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_153_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_290 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_296 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_153_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66244,59 +65676,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_153_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_153_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_153_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_153_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_153_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_153_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_153_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_153_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_153_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_153_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_153_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_414 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_153_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66308,31 +65744,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_153_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_153_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_153_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_153_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_153_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_153_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_153_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_153_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66340,71 +65776,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_153_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_153_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_153_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_153_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_153_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_153_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_153_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_153_639 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_651 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_153_658 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66412,63 +65836,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_153_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_153_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_700 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_153_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_153_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_153_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_153_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_736 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_746 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_153_758 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_153_766 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_772 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_153_775 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66476,67 +65892,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_153_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_153_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_827 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_153_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_153_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_884 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_854 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_153_860 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_868 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_874 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_886 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_153_890 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66544,59 +65940,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_917 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_153_904 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_910 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_153_944 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_153_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_926 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_958 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_939 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_962 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_153_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_153_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_153_971 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_153_977 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_153_994 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_153_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_10 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_153_995 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_1006 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_1018 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_154_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66604,7 +65996,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_154_1030 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_154_1021 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_154_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66620,11 +66016,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_154_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_154_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_154_120 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_154_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66632,35 +66032,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_154_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_16 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_154_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_154_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_154_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_154_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_192 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_154_217 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_154_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66668,15 +66076,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_154_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_154_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_259 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_154_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66684,15 +66096,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_154_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_287 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_154_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_154_293 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_154_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66700,27 +66124,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_154_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_154_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_154_336 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_154_342 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_154_346 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_154_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66728,27 +66164,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_154_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_154_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_154_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_409 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66756,59 +66188,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_154_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_154_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_154_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_154_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_154_506 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_154_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_154_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_154_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_55 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_154_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_154_566 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_154_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66820,55 +66264,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_154_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_154_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_154_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_154_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_154_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_154_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_674 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_154_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_680 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_154_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66876,107 +66324,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_154_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_154_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_154_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_154_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_154_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_154_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_154_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_154_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_154_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_154_796 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_795 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_807 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_811 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_813 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_817 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_834 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_154_847 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_855 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -66984,27 +66408,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_871 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_875 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_154_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_894 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_884 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_154_898 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_904 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_902 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_154_917 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_913 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_154_919 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67012,23 +66440,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_928 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_934 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_8 FILLER_154_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_954 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_154_967 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_154_948 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_154_952 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_154_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67036,19 +66464,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_154_988 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_154_997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_154_994 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_100 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_155_1000 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_155_1002 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67072,31 +66492,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_155_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_13 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_155_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_155_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_155_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67104,231 +66524,279 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_155_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_155_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_155_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_155_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_155_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_155_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_155_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_155_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_155_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_155_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_155_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_155_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_155_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_155_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_155_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_155_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_155_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_155_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_155_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_155_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_155_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_155_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_155_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_155_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_155_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_155_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_155_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_155_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_155_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_155_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_155_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_155_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_155_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_155_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_155_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_155_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_155_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_155_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_155_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_155_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_155_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_155_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_155_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_155_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_155_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_155_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_155_641 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_155_647 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_155_650 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_155_656 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_155_66 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_155_662 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_155_668 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_155_673 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_155_681 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_155_692 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_155_70 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_155_707 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_155_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67336,55 +66804,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_155_729 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_73 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_155_735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_748 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_754 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_155_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_155_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_155_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_819 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_806 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_155_826 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_155_833 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_155_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67392,75 +66864,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_870 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_155_866 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_874 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_884 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_155_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_155_888 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_155_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_155_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_155_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_155_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_906 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_9 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_912 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_155_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_155_918 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_914 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_155_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_928 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_939 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_155_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_975 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_155_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_988 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_155_994 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_966 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_155_972 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_155_978 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_155_990 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_156_1001 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_156_1013 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_156_102 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_156_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67480,19 +66972,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_156_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_156_132 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_156_141 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_156_145 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_156_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67500,23 +67004,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_156_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_156_188 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_156_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67524,7 +67024,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67532,47 +67032,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_156_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_156_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_156_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_156_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_156_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_156_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_156_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_156_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_156_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67580,15 +67080,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_156_336 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_156_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67596,15 +67092,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_156_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_38 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67612,91 +67104,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_156_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_156_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_156_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_156_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_156_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_156_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_156_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_156_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_156_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_156_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_156_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_156_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_528 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_156_535 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_156_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67712,23 +67196,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_156_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_616 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_621 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_156_628 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_156_63 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_156_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67736,75 +67232,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_156_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_156_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_156_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_156_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_156_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_156_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_156_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_156_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_156_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_156_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_156_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_156_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_156_784 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_156_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_156_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_156_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_156_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_824 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_156_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_784 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_156_793 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_156_797 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_156_807 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_156_811 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_156_813 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_156_823 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_156_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67812,63 +67336,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_855 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_156_873 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_156_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_156_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_890 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_156_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_156_894 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_880 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_156_884 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_156_903 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_914 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_156_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_936 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_156_954 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_156_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_156_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_156_942 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_156_997 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_156_948 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_156_957 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_156_963 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_156_969 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_156_975 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_156_979 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_156_983 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_156_989 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_157_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67900,67 +67456,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_157_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_157_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_157_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_157_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_157_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_157_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_157_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_157_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67968,19 +67524,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_262 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -67992,19 +67544,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_157_295 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68012,83 +67560,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_157_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_157_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_157_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_157_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_157_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_157_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_157_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_157_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_157_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_157_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_5 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68096,55 +67644,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_157_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_157_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_157_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_157_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_564 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_568 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_589 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_157_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68152,43 +67688,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_644 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_157_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_157_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_157_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_157_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_157_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_157_688 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_157_696 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_157_7 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_157_705 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_157_71 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_157_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68200,15 +67756,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_157_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_754 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_753 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_157_766 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_157_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68216,43 +67780,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_157_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_803 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_812 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_157_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_157_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_157_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_157_826 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_157_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_852 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_865 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_856 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_157_862 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_157_868 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_157_874 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_157_880 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_157_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68260,87 +67844,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_157_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_906 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_157_906 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_912 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_926 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_157_946 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_157_932 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_938 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_942 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_157_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_157_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_157_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_959 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_158_1008 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_157_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_158_1020 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_157_977 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_157_98 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_983 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_157_995 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_1001 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_1013 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_158_102 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_158_1025 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_158_1033 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_1032 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68356,23 +67908,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_158_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_158_125 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68380,51 +67928,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_158_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_158_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_192 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_197 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_200 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_210 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_230 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68440,227 +67968,211 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_158_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_158_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_158_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_158_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_158_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_158_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_158_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_158_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_158_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_158_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_158_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_158_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_158_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_158_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_158_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_158_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_158_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_158_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_158_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_158_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_158_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_158_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_158_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_158_645 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_651 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_158_664 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_672 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68668,23 +68180,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_158_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_734 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_158_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68696,23 +68212,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_158_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_771 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_791 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_795 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68720,51 +68228,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_158_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_158_804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_846 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_158_845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_158_854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_158_862 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_876 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_882 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_886 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_158_898 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_903 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_906 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68772,19 +68280,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_158_915 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_158_916 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_923 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_158_925 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_158_931 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_158_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68792,7 +68292,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_943 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68800,23 +68300,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_158_974 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_158_983 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_158_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_158_989 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_1003 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_158_990 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_159_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_158_996 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_159_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -68824,6 +68328,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_159_101 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_159_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -68836,179 +68344,179 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_159_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_2 FILLER_159_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_159_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_159_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_159_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_159_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_159_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_159_17 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_159_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_159_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_159_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_159_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_159_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_159_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_159_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_159_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_159_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_159_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_159_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_159_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_159_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_159_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_159_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_159_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_159_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_159_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_159_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_159_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_159_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_159_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_159_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_159_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_159_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_159_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_159_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_159_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_159_395 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69016,39 +68524,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_159_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_159_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_159_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_159_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_159_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_159_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_159_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_5 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_482 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_159_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69056,43 +68568,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_159_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_159_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_159_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_159_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_159_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_159_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_159_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69100,35 +68612,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_159_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_159_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_159_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_159_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_159_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_159_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_159_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_159_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_159_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_159_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_688 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_159_705 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_159_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69136,47 +68656,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_159_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_159_770 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_159_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_159_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_159_819 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_159_821 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_159_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69184,11 +68708,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_159_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_159_849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_159_856 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_866 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69196,11 +68720,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_886 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_891 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_159_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69208,15 +68736,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_159_903 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_926 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_159_939 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_159_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69224,35 +68760,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_966 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_159_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_159_972 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_159_978 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_159_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_159_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_159_987 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_1001 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_159_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_15_1004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69264,10 +68804,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_103 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_15_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -69280,79 +68816,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_13 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_17 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_16 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_15_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69360,55 +68896,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_15_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_15_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_387 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_15_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69416,15 +68956,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_15_417 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_15_424 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_15_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69436,23 +68988,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_15_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_5 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_468 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_15_474 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_15_479 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_15_488 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_15_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69460,7 +69028,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_510 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_15_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69468,71 +69040,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_15_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_588 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_15_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_15_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_15_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69540,39 +69112,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_15_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_15_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_702 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_744 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_15_756 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_15_76 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_15_764 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_15_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69580,7 +69168,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_793 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_15_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69588,11 +69180,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69600,51 +69192,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_862 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_874 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_15_882 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_15_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_919 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_921 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_947 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69652,35 +69244,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_980 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_977 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_992 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_989 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_160_1002 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_1008 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_160_1014 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_1020 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_160_1026 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_160_1034 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69692,27 +69284,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_105 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_2 FILLER_160_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_160_119 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69724,11 +69308,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_160_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_160_15 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_160_160 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_160_168 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_160_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69736,23 +69332,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_160_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_160_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_160_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_222 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_160_226 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_160_233 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_160_24 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_160_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69760,31 +69372,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_160_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_160_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_160_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_160_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_160_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_160_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_160_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_160_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69792,35 +69408,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_336 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_160_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_348 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_354 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -69828,187 +69436,199 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_160_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_160_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_160_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_160_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_160_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_160_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_160_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_160_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_160_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_160_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_160_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_160_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_160_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_160_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_160_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_160_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_160_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_160_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_160_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_160_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_160_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_160_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_160_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_160_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_160_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_160_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_160_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_740 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_160_746 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_160_75 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_160_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70016,59 +69636,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_160_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_772 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_8 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_842 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_80 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_160_804 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_813 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_160_837 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_846 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_852 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_858 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70076,59 +69672,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_160_871 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_160_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_160_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_880 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_911 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_907 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_160_918 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_160_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_919 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_160_942 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_160_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_946 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_160_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_160_932 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_160_970 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_943 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_160_978 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_160_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70136,11 +69732,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_160_996 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_160_996 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_1003 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70160,10 +69756,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_104 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_161_1045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -70172,15 +69764,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_161_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_161_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_161_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_161_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_161_125 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_161_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70188,179 +69792,195 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_161_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_161_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_161_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_161_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_161_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_161_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_161_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_161_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_161_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_161_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_161_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_161_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_161_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_161_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_161_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_161_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_161_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_161_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_161_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_161_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_161_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_161_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_161_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_161_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_161_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_161_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_161_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_161_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_161_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_161_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_161_496 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_161_499 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_161_503 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_161_505 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_161_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70368,79 +69988,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_161_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_161_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_161_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_161_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_161_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_161_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_161_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_161_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_161_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_161_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_161_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_161_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_161_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_161_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_161_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_161_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_161_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_161_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_161_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70448,7 +70068,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_706 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70464,15 +70084,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_161_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_748 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_752 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_161_756 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70484,11 +70108,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_161_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70496,63 +70120,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_161_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_161_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_161_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_161_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_161_876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_856 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_860 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_863 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_161_873 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_879 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_161_882 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70560,59 +70164,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_161_906 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_906 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_912 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_912 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_161_929 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_924 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_940 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_930 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_947 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_936 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_942 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_962 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_955 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_161_966 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_161_966 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_970 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_161_988 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_161_98 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_161_990 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_161_995 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70640,119 +70240,135 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_162_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_2 FILLER_162_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_13 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_162_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_162_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_162_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_162_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_162_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_162_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_162_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_162_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_162_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_162_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_162_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_162_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_162_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_325 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_162_331 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_162_349 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_162_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70760,55 +70376,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_162_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_162_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_162_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_162_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_162_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_162_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_162_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_447 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_162_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70816,19 +70428,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_162_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_162_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70840,79 +70452,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_162_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_162_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_162_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_162_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_162_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_162_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_162_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_162_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_162_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_162_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_162_654 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_660 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_67 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_677 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_162_683 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_162_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -70920,87 +70512,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_162_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_162_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_728 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_162_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_162_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_743 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_162_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_162_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_162_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_766 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_772 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_778 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_162_784 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_792 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_795 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_80 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_801 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_162_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71008,35 +70568,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_162_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_162_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_838 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_162_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_162_844 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_162_85 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_852 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_847 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71044,43 +70596,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_162_884 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_894 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_162_906 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_162_915 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_162_911 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_162_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_162_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_162_947 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_162_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_956 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_162_957 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_162_964 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_162_972 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71088,15 +70648,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_162_98 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_162_997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_163_1006 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_163_1000 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71104,11 +70660,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_163_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_163_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71124,11 +70680,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_163_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_115 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_163_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_163_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71136,11 +70700,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_163_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_163_146 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_163_152 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_163_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71152,7 +70728,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_163_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_178 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_163_184 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_163_191 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_163_197 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_163_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_163_205 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_163_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71160,39 +70760,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_163_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_163_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_163_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_163_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_163_303 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_163_316 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_163_32 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_163_322 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_163_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71200,11 +70820,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_163_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_364 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_163_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71212,15 +70836,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_391 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_163_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71228,19 +70848,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_42 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_426 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71248,55 +70860,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_163_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_163_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_163_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_546 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_163_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71304,7 +70912,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_163_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71312,23 +70920,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_163_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_590 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_597 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_163_609 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_163_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71336,15 +70936,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_637 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_163_643 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_163_651 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_163_654 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_163_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71352,27 +70968,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_163_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_676 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_68 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_163_682 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71380,55 +70984,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_702 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_706 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_163_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_163_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_163_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_163_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_736 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_743 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_163_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_163_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_163_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_163_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71436,15 +71040,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_163_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_826 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_163_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71452,79 +71056,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_163_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_847 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_163_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_163_868 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_884 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_163_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_163_890 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_907 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_924 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_163_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_921 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_163_943 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_940 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_163_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_163_944 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_955 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_163_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_962 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_163_975 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_163_982 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_982 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_163_994 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_163_988 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71532,10 +71132,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_101 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_164_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -71552,11 +71148,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_164_1049 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_164_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71564,39 +71160,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_164_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_14 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_164_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_164_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_164_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71608,27 +71204,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_164_197 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_164_208 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_164_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_164_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_164_237 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_243 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_164_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71636,11 +71232,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_164_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_263 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_164_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71648,15 +71248,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_290 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_164_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71664,91 +71260,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_164_300 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_164_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_164_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_164_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_164_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_164_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_164_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_164_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_164_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_164_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_164_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_164_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_164_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_164_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71756,15 +71356,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_477 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_164_485 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_164_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_164_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71772,87 +71364,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_164_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_164_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_164_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_164_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_164_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_164_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_164_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_164_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_164_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_164_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_164_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_164_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_164_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_164_674 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_654 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_164_660 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_164_666 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_164_672 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_164_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71868,51 +71476,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_164_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_164_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_164_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_164_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_728 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_164_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_743 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_164_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_164_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_796 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_164_786 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_164_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71920,7 +71532,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_164_803 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_801 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_164_807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71928,31 +71544,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_164_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_164_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_827 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_164_847 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_164_842 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_164_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_853 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_164_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -71960,59 +71580,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_164_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_875 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_894 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_902 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_908 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_164_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_914 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_915 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_919 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_164_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_164_939 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_954 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_164_963 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_960 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_164_975 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_164_966 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_164_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_164_972 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72024,11 +71644,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_1003 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_165_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_1004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72040,6 +71656,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_165_103 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_165_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -72052,51 +71672,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_165_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_165_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_165_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_165_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_165_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_165_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72104,123 +71724,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_165_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_165_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_165_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_165_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_165_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_165_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_165_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_165_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_165_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_165_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_165_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_165_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_165_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_366 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_165_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_165_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_165_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_165_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_165_422 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_433 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_165_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72228,19 +71840,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_165_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_165_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_165_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_165_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72252,31 +71864,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_165_50 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_165_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_165_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_165_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_165_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_530 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_165_542 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72284,67 +71892,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_165_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_165_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_165_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_165_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_165_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_165_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_165_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_165_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_165_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_165_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_165_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_165_659 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72352,39 +71956,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_165_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_702 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_165_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_165_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_690 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_696 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_7 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_703 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_165_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72392,75 +71980,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_165_758 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_165_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_165_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_756 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_165_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_165_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_165_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_165_819 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_165_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_165_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_165_804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_165_859 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_165_865 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_165_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_165_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_875 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_165_882 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_165_833 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_165_839 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_165_841 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_165_846 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_165_852 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_165_858 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_165_864 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_165_870 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_165_880 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72472,11 +72092,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_899 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_906 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_910 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72484,11 +72104,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_165_924 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_935 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72496,51 +72112,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_165_947 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_165_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_165_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_967 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_165_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_968 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_165_974 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_165_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_165_980 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_1005 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_165_992 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_1017 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_166_1002 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_166_1014 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_166_1029 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_166_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_166_1026 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_166_1034 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72556,23 +72176,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_108 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_166_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_166_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_166_133 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_166_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72584,35 +72204,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_166_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_166_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_166_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_166_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_166_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_226 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_166_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72620,231 +72244,191 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_166_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_166_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_166_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_166_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_166_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_166_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_166_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_166_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_166_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_166_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_166_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_166_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_166_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_166_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_166_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_166_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_166_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_166_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_166_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_166_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_166_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_166_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_166_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_166_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_166_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_5 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_166_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_166_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_166_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_166_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_166_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_166_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_560 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_166_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_166_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_166_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_61 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_627 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_166_635 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_166_643 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_166_647 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_657 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_663 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_670 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_166_674 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_679 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_166_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72852,63 +72436,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_166_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_166_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_166_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_166_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_166_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_795 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_166_78 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_166_785 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_166_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72916,27 +72508,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_166_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_166_813 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_817 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_824 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_166_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_166_828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72944,11 +72524,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_166_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_850 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_166_856 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72956,27 +72540,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_166_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_886 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_166_890 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_882 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_166_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_907 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_894 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_914 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_897 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_166_903 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_166_909 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_166_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -72984,39 +72580,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_947 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_166_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_959 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_166_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_166_971 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_166_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_166_977 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_166_984 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_166_990 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_166_993 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_167_1006 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73024,6 +72620,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_167_101 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_167_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -73032,10 +72632,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_167_104 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_167_1045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -73044,71 +72640,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_167_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_167_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_167_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_167_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_167_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_167_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_167_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_229 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_167_23 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_167_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73116,39 +72704,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_167_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_167_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_167_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_167_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_292 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_298 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73156,103 +72736,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_167_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_167_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_167_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_167_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_167_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_167_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_167_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_167_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_167_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_167_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_167_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_167_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_167_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_490 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_167_496 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_167_5 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73260,83 +72848,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_167_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_167_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_167_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_167_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_167_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_167_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_167_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_167_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_167_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_167_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_167_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_167_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_167_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_654 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_167_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73344,139 +72928,179 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_167_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_167_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_167_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_702 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_167_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_167_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_167_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_167_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_167_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_167_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_167_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_167_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_167_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_167_876 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_167_882 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_167_831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_926 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_167_854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_167_946 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_167_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_167_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_875 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_961 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_167_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_982 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_167_989 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_167_996 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_907 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_914 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_167_918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_168_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_928 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_168_1033 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_167_941 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_167_948 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_167_962 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_167_968 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_167_988 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_167_994 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_168_1002 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_168_101 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_168_1014 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_168_1026 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_168_1034 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73488,51 +73112,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_168_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_2 FILLER_168_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_12 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_168_14 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_168_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_168_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_168_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_168_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_168_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_168_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_168_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_18 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_168_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73540,31 +73172,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_168_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_168_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73572,75 +73204,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_168_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_168_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_168_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_168_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_168_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_168_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_168_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_168_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_168_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_168_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_168_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_168_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_168_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_168_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_168_350 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_353 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_168_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73648,19 +73272,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_168_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_168_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_168_38 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_168_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73668,23 +73288,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_168_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_168_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_458 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_168_464 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_168_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73696,47 +73324,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_168_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_168_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_168_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_168_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_168_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_168_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_57 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_168_575 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_168_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_168_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73744,7 +73364,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_168_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_593 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_168_599 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_168_6 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_168_619 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_168_623 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_168_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73752,19 +73392,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_168_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_168_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_168_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73772,47 +73412,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_168_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_168_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_168_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_168_747 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_168_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_168_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_168_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_168_770 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_168_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_787 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_168_793 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73820,11 +73452,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_168_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_168_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73832,31 +73464,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_863 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_858 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_168_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_168_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_168_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_168_901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_891 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_168_904 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_168_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -73864,171 +73492,151 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_168_929 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_934 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_939 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_946 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_168_946 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_168_966 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_168_952 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_168_972 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_168_975 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_990 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_168_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_168_996 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_168_997 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_169_1002 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_169_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_169_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_1011 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_1033 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_1023 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_1045 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_169_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_169_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_169_1057 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_169_1047 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_169_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_169_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_14 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_169_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_169_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_17 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_169_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_169_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_169_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_169_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_169_245 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_253 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_26 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_169_261 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_267 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_169_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74036,79 +73644,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_169_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_169_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_169_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_169_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_169_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_169_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_169_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_169_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_169_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_169_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_169_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_169_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_169_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_169_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_169_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_169_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_169_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_421 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_169_425 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_169_43 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_169_432 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_169_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74116,31 +73740,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_169_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_169_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_169_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_169_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_169_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_169_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_169_497 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_169_5 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_169_503 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_169_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74148,11 +73784,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_169_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_533 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_169_537 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_169_547 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_169_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74160,27 +73808,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_169_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_169_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_169_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_169_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_169_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74188,11 +73836,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_627 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_169_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74200,7 +73844,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_65 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_169_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74212,35 +73860,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_169_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_169_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_169_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_169_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_169_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_169_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_724 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_169_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74248,135 +73900,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_770 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_169_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_169_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_169_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_796 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_8 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_169_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_169_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_169_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_169_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_874 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_930 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_882 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_936 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_169_888 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_947 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_169_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_169_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_908 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_916 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_968 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_169_924 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_169_988 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_932 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_942 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_95 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_169_953 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_169_957 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_972 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_169_996 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_1009 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_1021 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_16_1033 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74384,6 +74008,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_16_104 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_8 FILLER_16_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -74392,15 +74020,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_122 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_16_13 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_16_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74408,19 +74044,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74428,27 +74064,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_18 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_201 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74456,19 +74088,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_16_264 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_16_270 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_16_287 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_16_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74480,39 +74128,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_16_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74520,23 +74168,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_447 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_16_453 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_16_467 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_16_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74544,63 +74204,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_16_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_16_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_614 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_16_618 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_16_626 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_16_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74608,59 +74280,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_719 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_16_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_730 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_16_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_757 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_16_765 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_16_770 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_16_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74676,19 +74360,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_817 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74696,63 +74376,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_16_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_912 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_910 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_16_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_956 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_930 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_968 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_997 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_1016 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_963 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_170_1028 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_975 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_16_979 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_16_98 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_16_981 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_16_993 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_170_1003 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_170_1015 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_170_1027 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_170_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74764,7 +74476,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_170_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74772,67 +74484,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_170_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_170_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_170_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_170_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_170_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_170_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_170_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_170_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_170_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_170_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_170_227 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_170_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74840,43 +74560,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_170_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_170_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_170_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_170_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_170_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_170_277 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_282 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_170_29 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_290 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74888,23 +74592,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_170_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_321 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_339 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74912,31 +74608,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_170_36 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_170_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_379 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_38 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_170_385 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_170_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74944,7 +74632,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_401 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_170_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_170_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74952,7 +74648,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_170_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_423 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_170_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74960,19 +74660,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_170_450 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_170_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -74980,6 +74676,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_170_46 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_170_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -74992,51 +74692,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_170_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_170_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_170_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_170_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_170_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_170_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_170_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_584 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_170_589 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_170_59 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_170_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75044,43 +74756,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_170_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_170_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_674 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_170_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_170_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_170_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_69 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75092,23 +74800,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_170_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_170_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_170_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_722 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_170_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75116,23 +74828,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_170_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_170_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_170_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_170_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_780 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75140,55 +74848,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_170_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_170_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_170_852 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_170_838 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_170_862 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_170_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_170_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_847 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_85 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_170_854 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_864 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_170_885 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_902 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_170_906 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_170_893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75200,47 +74884,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_170_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_929 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_934 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_949 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_946 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_170_950 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_962 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_170_967 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_170_975 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_170_997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_170_979 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_170_981 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_170_992 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_171_1000 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75252,10 +74924,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_103 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_171_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -75268,27 +74936,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_171_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_171_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_171_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_171_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_171_153 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_171_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_171_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75296,23 +74976,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_171_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_20 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_171_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_206 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75324,39 +75000,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_171_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_171_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_171_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_171_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_288 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_171_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75364,11 +75036,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_171_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_171_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75376,19 +75048,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_171_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_171_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75396,147 +75068,151 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_171_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_171_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_171_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_171_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_171_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_171_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_171_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_171_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_171_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_171_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_171_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_171_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_171_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_171_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_171_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_171_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_171_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_171_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_171_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_171_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_667 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_171_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75544,31 +75220,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_171_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_171_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_705 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_171_71 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75576,51 +75244,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_171_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_762 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_171_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_171_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_171_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_171_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_171_812 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_815 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_171_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_171_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75628,75 +75292,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_847 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_171_851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_848 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_171_887 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_171_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_871 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_171_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_171_908 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_171_930 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_171_883 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_171_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_171_944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_933 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_171_945 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_171_95 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_171_951 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_956 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_962 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_955 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75704,19 +75348,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_974 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_980 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_171_972 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_171_986 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_982 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_171_992 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_171_988 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_171_994 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75728,6 +75376,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_172_102 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_8 FILLER_172_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -75748,27 +75400,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_172_111 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_114 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_126 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_172_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_172_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75776,19 +75416,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_172_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_172_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_172_180 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_172_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75796,63 +75440,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_172_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_172_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_172_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_172_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_172_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_172_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_172_29 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75860,51 +75500,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_172_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_172_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_172_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_172_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_172_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_172_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_172_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_172_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_172_377 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_172_38 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_172_385 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_172_392 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_172_409 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_172_415 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_172_419 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_172_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75928,31 +75596,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_172_45 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_172_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_172_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_172_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_172_479 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75960,15 +75628,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_172_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_172_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75976,11 +75644,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_544 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_172_551 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_172_555 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_172_558 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_172_565 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_172_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -75988,127 +75676,171 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_172_58 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_172_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_172_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_172_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_172_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_172_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_172_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_172_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_172_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_172_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_172_770 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_172_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_172_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_172_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_172_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_172_842 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_777 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_172_783 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_172_789 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_172_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_172_802 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_172_808 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_172_815 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_172_821 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_172_825 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_172_838 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_172_844 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76116,6 +75848,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_172_850 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_172_858 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -76124,59 +75860,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_172_875 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_172_883 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_887 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_906 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_172_910 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_903 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_172_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_915 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_919 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_947 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_172_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_960 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_932 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_967 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_172_974 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_172_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_172_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_944 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_172_950 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_172_956 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_172_973 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_172_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76184,7 +75936,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_172_989 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_172_989 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_172_995 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76220,83 +75976,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_173_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_173_12 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_173_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_14 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_173_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_173_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_173_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_173_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_173_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_173_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_173_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_20 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_173_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_173_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_173_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_173_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_242 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_173_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76304,103 +76056,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_173_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_173_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_173_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_173_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_173_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_173_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_173_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_173_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_173_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_173_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_415 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_422 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_428 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76412,35 +76152,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_173_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_173_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_173_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_173_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_173_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_173_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_5 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_173_500 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_173_505 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_173_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76448,19 +76200,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_173_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_173_540 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76468,19 +76216,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_173_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_173_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_173_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_581 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_173_588 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_173_594 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_173_600 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_173_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76488,47 +76252,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_173_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_173_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_173_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_173_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_173_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_173_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_173_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_173_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_696 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_173_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76536,23 +76304,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_173_729 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_173_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_173_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_173_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_173_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_173_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_173_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76560,7 +76332,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_173_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76568,59 +76340,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_817 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_827 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_173_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_173_831 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_826 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_173_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_173_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_847 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_173_855 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_173_874 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_173_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_173_870 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_906 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_912 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_884 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_173_888 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_173_89 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_173_891 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_173_895 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_173_899 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_173_907 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_173_910 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76632,43 +76432,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_173_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_936 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_173_943 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_942 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_173_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_955 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_173_989 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_173_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_173_995 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_1003 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_987 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_174_1015 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_173_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_174_1027 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_173_999 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_174_1001 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_174_1013 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_174_1025 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_174_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76676,10 +76488,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_174_104 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_8 FILLER_174_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -76688,19 +76496,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_174_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_174_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_174_125 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_174_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76708,139 +76512,163 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_174_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_174_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_174_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_174_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_174_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_174_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_174_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_174_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_174_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_174_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_174_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_174_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_174_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_174_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_174_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_174_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_174_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_174_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_336 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_174_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_174_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_174_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_174_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_174_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_174_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_174_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_365 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_174_37 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_174_389 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_174_396 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_174_416 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_174_421 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_174_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76848,22 +76676,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_448 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_454 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_46 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_460 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_174_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -76872,27 +76684,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_174_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_174_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_174_506 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_174_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76904,31 +76720,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_174_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_174_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_174_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76936,35 +76752,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_174_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_174_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_174_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_174_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_174_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_174_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_174_673 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_174_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -76972,123 +76792,155 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_174_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_174_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_174_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_174_719 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_743 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_174_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_747 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_174_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_174_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_174_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_174_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_174_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_174_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_790 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_174_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_174_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_174_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_174_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_174_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_826 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_174_844 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_174_898 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_174_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_904 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_914 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_174_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_174_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_174_954 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_883 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_174_960 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_174_887 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_970 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_891 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_174_904 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_174_912 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_174_919 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_174_923 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_174_927 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_174_947 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_174_956 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_174_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77096,27 +76948,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_174_997 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_174_983 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_175_1002 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_174_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_1011 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_175_1006 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_1023 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_175_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_175_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_1047 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_175_1033 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_175_1045 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_175_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77124,47 +76984,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_12 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_175_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_175_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_175_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_175_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_175_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_175_199 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_175_212 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_175_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77176,27 +77044,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_256 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_264 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_175_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77204,31 +77064,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_175_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_32 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_175_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77240,7 +77104,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_175_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77248,67 +77112,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_175_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_175_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_175_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_175_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_175_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_175_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_175_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_175_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_175_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_175_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_175_491 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_175_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77316,27 +77184,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_175_505 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_175_509 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_175_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_175_518 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_175_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_175_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_175_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_175_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_175_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_544 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_175_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77344,31 +77228,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_175_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_175_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_175_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_175_590 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_175_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_6 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_175_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77376,31 +77256,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_175_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_175_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_175_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_175_67 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_671 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_175_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77408,7 +77280,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_175_689 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_175_697 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_175_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77416,39 +77296,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_175_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_175_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_175_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_743 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_175_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_175_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_777 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_175_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77460,23 +77344,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_175_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_812 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_175_816 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_175_829 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_175_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77484,35 +77380,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_175_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_175_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_852 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_175_860 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_866 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_876 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_883 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_175_890 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_175_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_9 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77520,55 +77408,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_175_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_930 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_962 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_175_943 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_966 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_175_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_975 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_175_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_175_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_964 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_175_995 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_970 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_1002 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_1008 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_982 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_176_1014 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_988 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_176_1026 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_175_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_176_1034 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_175_994 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_176_1007 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_176_1019 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_176_1031 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_176_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77584,27 +77488,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_12 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_118 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_127 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_176_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77612,15 +77508,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_176_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_177 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_176_18 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_176_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77628,23 +77532,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_176_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_176_223 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_231 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_176_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77652,27 +77548,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_176_242 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_176_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_176_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_176_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_176_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_269 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_176_278 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77680,23 +77572,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_176_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_176_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_176_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_176_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_319 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_176_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77704,91 +77600,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_176_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_176_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_176_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_176_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_176_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_176_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_176_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_176_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_176_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_176_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_176_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_485 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_5 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_176_50 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_176_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_176_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77796,71 +77680,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_176_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_176_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_176_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_560 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_176_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_176_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_176_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_6 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_176_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_176_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_176_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_604 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_610 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_618 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_62 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_176_626 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77868,35 +77732,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_176_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_66 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_663 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_669 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_679 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77904,39 +77752,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_176_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_176_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_176_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_176_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_176_730 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_176_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_736 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_746 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_176_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77944,31 +77788,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_176_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_176_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_176_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_176_786 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_176_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_176_796 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -77976,135 +77820,147 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_176_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_176_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_840 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_848 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_176_860 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_176_842 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_873 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_880 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_886 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_884 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_894 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_908 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_901 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_905 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_934 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_176_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_176_915 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_955 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_968 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_176_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_176_974 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_176_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_983 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_176_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_176_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_176_995 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_990 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_177_1006 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_176_996 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_177_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_177_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_1011 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_177_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_1023 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_177_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_177_1045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_177_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_177_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_177_1047 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_177_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_13 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_132 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_177_140 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_177_146 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_177_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78112,19 +77968,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_177_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_177_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_177_189 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_177_195 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_177_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78140,27 +78004,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_177_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_177_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_177_26 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_177_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78168,31 +78040,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_177_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_177_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_177_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_177_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_177_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_318 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_177_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78204,7 +78072,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_177_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78212,23 +78080,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_177_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_177_374 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_177_384 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78236,55 +78096,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_177_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_177_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_177_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_177_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_177_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_177_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_177_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_177_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_177_505 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78292,19 +78148,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_177_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_177_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_536 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78312,19 +78164,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_177_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_177_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_566 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_177_57 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78332,99 +78176,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_590 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_6 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_177_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_177_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_177_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_177_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_177_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_177_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_177_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_177_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_177_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_177_673 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_679 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_686 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_692 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_699 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_70 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_707 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78436,63 +78252,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_177_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_177_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_177_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_177_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_177_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_177_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_177_796 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_177_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_177_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_809 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_819 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_825 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_177_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78504,67 +78308,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_860 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_884 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_875 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_906 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_177_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_177_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_177_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_934 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_902 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_908 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_177_943 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_177_919 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_92 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_933 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_177_940 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_177_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78572,11 +78360,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_177_960 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_963 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_962 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_177_968 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78584,39 +78376,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_987 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_980 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_177_993 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_986 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_177_999 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_177_992 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_1001 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_177_998 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_178_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_1013 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_178_1013 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_1019 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_1031 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_178_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_178_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_178_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78636,15 +78428,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_11 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_178_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_120 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_178_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78652,11 +78444,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_178_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_146 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_178_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78664,23 +78460,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_178_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_178_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_178_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_192 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78692,11 +78484,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_226 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_178_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78704,10 +78492,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_178_243 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_178_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -78716,15 +78500,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_178_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_178_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_178_278 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_178_285 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_178_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78732,55 +78524,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_178_298 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_178_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_178_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_178_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_178_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_178_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_178_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_178_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_178_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_178_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_178_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_178_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_178_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_178_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_178_369 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_178_377 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_178_38 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_178_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_178_397 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_178_403 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_178_409 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_178_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78788,63 +78612,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_178_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_178_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_178_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_178_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_178_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_178_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_178_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_178_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_506 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_51 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_178_512 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_178_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_178_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78856,11 +78668,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_178_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_178_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_178_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_178_563 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_178_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78868,11 +78688,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_178_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78880,23 +78700,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_617 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_624 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_633 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78904,15 +78712,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_178_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_674 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_178_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78928,67 +78736,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_178_735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_178_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_178_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_178_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_178_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_757 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_767 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_178_77 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_178_775 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_178_781 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_788 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_178_792 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_178_786 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -78996,107 +78776,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_178_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_178_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_178_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_178_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_178_824 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_178_831 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_178_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_178_851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_178_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_178_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_178_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_873 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_882 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_178_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_902 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_178_884 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_178_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_899 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_178_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_178_939 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_907 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_178_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_178_915 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_178_957 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_178_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_178_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_178_967 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_930 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_938 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_178_944 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_958 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_964 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_970 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_178_974 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79108,27 +78864,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_178_99 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_178_995 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_1004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_179_1011 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_1011 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_1017 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79148,63 +78896,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_179_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_179_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_179_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_179_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_179_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_179_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79212,63 +78960,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_179_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_179_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_179_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_179_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_179_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_179_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_301 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_179_307 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_313 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_320 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79276,23 +79008,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_179_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79300,19 +79032,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_179_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_179_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_179_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79320,27 +79056,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_179_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_179_439 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_447 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79348,27 +79076,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_179_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_463 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_469 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_475 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_481 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_179_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79376,27 +79088,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_5 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_179_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_503 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_507 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_179_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79404,15 +79108,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_179_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_529 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79420,39 +79120,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_179_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_179_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_179_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_179_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_179_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_586 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_589 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_595 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79460,79 +79148,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_644 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_179_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_179_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_179_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_749 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_179_759 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79540,35 +79220,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_179_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_8 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_179_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_179_796 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_804 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_811 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_822 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79580,23 +79248,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_860 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_179_870 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_883 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_882 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79604,11 +79264,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_902 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_904 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_911 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_910 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79616,11 +79276,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_929 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_179_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_934 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_179_940 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79628,39 +79292,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_957 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_957 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_179_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_962 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_978 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_970 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_179_998 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_179_974 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_979 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_987 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_179_993 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_179_999 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_17_1006 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79672,11 +79320,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_1033 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79688,19 +79336,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_117 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_17_123 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_17_132 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_17_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_17_145 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_17_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79708,23 +79376,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_17 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_17 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_195 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79736,43 +79400,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_17_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_315 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_17_323 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_17_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79780,23 +79452,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_17_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79804,43 +79480,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_17_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_17_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_17_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_462 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_17_476 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_17_482 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_17_488 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_17_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79852,15 +79544,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_513 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79868,15 +79556,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79884,15 +79572,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_17_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_17_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_584 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_17_59 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_17_592 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_17_598 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_17_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79900,27 +79604,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_17_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_66 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -79928,103 +79628,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_17_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_756 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_770 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_17_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_822 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_833 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_839 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_841 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_853 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_859 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80032,11 +79712,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_877 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80044,11 +79720,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_908 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80056,15 +79732,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_921 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_17_929 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_936 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_17_943 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_17_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80072,55 +79756,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_962 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_966 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_974 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_973 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_986 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_990 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_998 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_996 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_1004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_1003 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_1010 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_180_1016 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_1015 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_1021 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_180_1027 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_103 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_180_1028 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80136,7 +79804,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80144,7 +79812,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80160,19 +79828,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_180_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_158 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_164 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80180,95 +79840,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_180_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_180_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_180_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_180_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_180_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_180_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_180_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_180_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_180_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_180_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_180_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_180_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_180_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_180_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_180_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_180_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_180_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_180_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_339 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_180_353 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_180_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80276,71 +79944,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_180_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_180_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_180_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_180_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_180_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_180_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_180_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_180_475 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_180_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80348,67 +80020,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_180_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_180_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_180_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_180_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_180_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_180_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_180_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_180_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_180_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_180_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_557 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_180_581 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80416,15 +80080,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_180_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_621 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_180_627 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_180_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80432,23 +80104,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_180_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_180_674 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_680 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_180_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80456,11 +80124,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_180_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_180_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80468,47 +80136,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_719 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_728 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_180_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_180_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_180_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_180_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_777 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_787 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80516,107 +80176,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_180_859 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_180_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_858 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_180_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_180_874 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_880 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_883 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_887 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_890 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_898 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_180_904 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_908 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_914 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_912 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_929 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_945 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_95 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_954 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_962 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_966 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_180_971 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_180_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80624,15 +80260,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_992 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_180_997 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_180_998 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_181_1000 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_1003 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_181_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80644,47 +80284,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_1023 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_181_1023 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_1029 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_181_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_181_1041 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_181_1047 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_181_1053 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_181_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_181_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_181_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_12 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_181_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_181_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80696,39 +80336,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_181_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_181_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_181_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_181_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80736,67 +80380,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_181_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_181_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_181_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_28 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_181_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_181_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_181_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_318 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_181_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80804,7 +80444,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_181_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80812,15 +80452,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_181_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_181_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_181_374 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_181_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80828,43 +80476,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_181_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_181_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_181_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_430 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_437 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_443 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80876,10 +80512,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_46 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_181_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -80892,35 +80524,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_181_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_181_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_513 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80928,23 +80556,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_525 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_531 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_537 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_543 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_181_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80952,19 +80564,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_181_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_181_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_181_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_592 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_181_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -80976,119 +80592,131 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_181_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_181_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_181_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_181_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_181_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_181_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_706 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_181_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_736 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_181_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_181_746 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_181_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_181_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_181_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_181_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_795 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_181_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_181_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_817 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_181_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_812 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_181_820 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_181_826 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_181_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81096,23 +80724,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_181_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_873 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_181_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_181_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_181_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_878 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_181_88 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_181_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81120,79 +80756,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_910 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_930 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_922 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_181_930 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_958 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_181_943 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_181_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_181_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_181_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_973 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_181_966 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_988 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_978 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_181_994 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_985 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_1003 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_991 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_181_997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_1015 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_1002 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_1008 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_182_1027 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_1014 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_182_1020 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_182_1032 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81200,6 +80840,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_182_104 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_8 FILLER_182_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -81208,15 +80852,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_12 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81228,31 +80868,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_182_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_182_14 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_18 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_182 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81260,23 +80896,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_182_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_182_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81284,11 +80920,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_182_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_182_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81296,27 +80932,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_182_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_182_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_182_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_182_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_182_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81324,95 +80960,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_182_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_182_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_182_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_459 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_465 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_182_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81420,87 +81048,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_182_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_182_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_182_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_182_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_182_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_182_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_182_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_182_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_182_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_182_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_182_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_616 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_61 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_182_610 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_182_616 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_182_623 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_182_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81508,15 +81152,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_654 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_182_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81524,163 +81164,159 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_182_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_706 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_182_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_182_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_182_748 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_772 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_182_782 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_182_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_182_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_182_804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_840 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_182_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_847 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_859 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_182_847 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_182_859 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_182_880 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_893 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_182_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_182_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_901 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_882 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_911 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_182_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_907 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_182_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_929 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_182_918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_182_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_182_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_943 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_944 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_182_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_953 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_960 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81688,15 +81324,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_182_97 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_182_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_182_997 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_990 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_182_996 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_183_1000 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81704,19 +81348,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_1017 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_1029 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_183_1023 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_183_1041 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_183_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_183_1053 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_183_1047 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_183_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81724,79 +81372,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_183_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_13 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_183_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_183_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_183_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_183_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_183_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_183_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_183_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_183_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_183_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_183_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_256 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_183_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81804,27 +81456,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_183_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_183_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_183_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_183_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_31 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81840,55 +81488,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_183_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_183_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_183_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_183_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_183_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_403 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_417 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_424 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_183_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81896,35 +81532,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_183_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_183_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_5 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_183_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_183_492 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_183_500 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_183_508 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_183_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -81932,143 +81580,183 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_183_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_183_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_183_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_183_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_183_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_183_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_183_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_183_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_183_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_183_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_183_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_183_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_183_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_183_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_183_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_183_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_183_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_183_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_183_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_183_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_183_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_183_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_183_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_183_796 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_183_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_183_827 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_183_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_183_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_848 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_183_860 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_780 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_183_787 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_183_797 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_183_806 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_183_814 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_183_820 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_183_827 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_183_834 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_183_844 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_183_858 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_183_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82076,23 +81764,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_183_870 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_183_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_882 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_883 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_183_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_895 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_901 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82100,14 +81784,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_91 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_183_911 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_183_915 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -82116,39 +81792,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_928 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_183_932 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_936 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_183_944 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_183_946 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_957 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_972 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_968 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_183_992 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_183_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_183_999 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_183_976 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_183_982 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_183_988 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_183_994 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82160,19 +81848,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_184_1014 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_1014 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_184_1020 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_184_1026 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_184_1034 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_1032 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82180,6 +81864,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_184_104 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_8 FILLER_184_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -82188,7 +81876,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_184_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_11 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_184_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82196,23 +81888,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_184_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_184_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_164 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_184_171 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_184_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82224,19 +81924,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_216 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_184_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82248,35 +81944,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_184_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_184_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_184_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_285 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_184_295 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_184_301 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_184_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82284,31 +81992,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_184_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_184_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82316,43 +82028,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_184_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_184_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_184_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_184_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_446 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_452 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82360,59 +82064,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_184_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_184_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_184_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_5 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_184_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_184_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_184_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_184_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_564 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_184_570 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_184_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82420,39 +82132,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_184_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_184_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_184_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_610 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_614 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_619 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_626 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_633 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82460,31 +82152,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_184_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_676 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_184_683 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82496,23 +82180,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_184_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_184_734 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_184_747 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_741 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_184_751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82524,87 +82212,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_184_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_184_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_184_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_184_804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_184_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_184_827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_184_859 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_856 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_882 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_871 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_184_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_901 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_903 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_914 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_184_918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82612,19 +82300,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_184_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_184_936 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_184_939 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_184_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_184_947 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_184_963 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_184_952 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_184_961 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_184_968 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82640,7 +82336,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_185_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82664,47 +82360,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_185_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_185_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_185_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_185_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_185_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82712,23 +82408,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_185_223 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82736,103 +82428,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_185_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_185_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_185_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_185_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_185_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_185_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_185_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_185_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_185_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_185_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_185_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_185_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_185_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_185_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_185_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_185_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_185_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_433 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_185_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_185_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82840,31 +82528,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_185_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_185_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_185_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_472 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_478 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_484 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_185_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82872,15 +82548,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_185_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82888,59 +82568,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_185_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_185_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_185_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_185_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_185_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_185_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_604 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_611 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_185_615 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_185_617 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82948,43 +82612,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_185_658 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_185_66 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_185_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_185_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_185_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_700 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_707 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_185_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -82996,131 +82660,127 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_747 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_753 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_185_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_185_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_185_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_185_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_185_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_185_814 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_185_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_847 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_858 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_185_866 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_185_854 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_871 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_185_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_185_875 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_879 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_912 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_185_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_185_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_928 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_903 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_932 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_939 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_943 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_185_943 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_185_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_185_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_185_958 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_959 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_185_963 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_185_964 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_967 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_987 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_185_993 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_185_999 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_185_995 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83132,15 +82792,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_1014 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_186_1014 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_186_1020 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_186_1026 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_186_1034 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83148,6 +82808,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_186_104 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_8 FILLER_186_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -83156,63 +82820,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_186_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_186_13 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_13 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_186_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_186_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_186_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_186_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_186_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_186_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_186_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_186_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_186_20 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_186_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_186_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_211 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_186_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83220,31 +82888,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_186_238 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_24 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_186_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_186_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_186_287 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_186_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_186_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83252,51 +82920,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_186_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_186_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_186_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_186_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_186_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_186_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_186_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_186_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_186_365 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83308,47 +82972,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_186_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_186_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_186_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_450 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_456 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_462 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_186_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83356,43 +83008,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_186_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_186_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_186_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_186_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_186_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_186_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_186_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_186_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83400,11 +83056,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_57 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_186_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83412,15 +83064,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_186_593 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_186_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83428,31 +83076,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_186_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_606 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_186_61 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_612 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_618 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_624 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_186_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83460,43 +83088,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_186_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_186_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_186_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_662 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_668 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_186_672 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_186_676 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_684 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83504,59 +83112,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_70 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_186_701 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_186_706 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_730 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_736 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_186_743 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_186_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_186_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_186_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_186_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_186_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_186_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_782 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_186_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83564,31 +83168,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_186_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_186_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_186_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_186_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_186_840 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_186_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_186_858 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83596,27 +83204,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_883 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_186_903 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_186_909 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_914 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_186_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83624,23 +83228,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_186_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_929 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_186_942 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_952 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_186_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_186_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_186_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_186_973 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_186_979 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_186_984 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83652,23 +83264,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_187_1000 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_187_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_1011 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_187_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_1023 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_187_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_187_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_187_1047 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_187_1045 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_187_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83676,51 +83292,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_187_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_187_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_187_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_187_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_187_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_187_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_187_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_187_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83728,31 +83344,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_187_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_187_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_187_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_187_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83760,15 +83376,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_187_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_187_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_187_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83780,159 +83400,131 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_187_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_187_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_187_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_187_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_187_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_187_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_187_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_187_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_187_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_187_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_187_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_187_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_5 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_187_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_187_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_544 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_55 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_550 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_556 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_187_561 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_187_57 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_586 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -83940,75 +83532,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_609 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_187_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_187_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_187_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_187_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_187_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_187_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_187_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_187_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_694 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_702 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_187_71 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_710 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84016,31 +83588,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_187_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_747 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_187_751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_187_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_771 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84048,19 +83616,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_187_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_187_812 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84068,103 +83636,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_870 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_874 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_884 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_187_890 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_904 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_187_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_910 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_906 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_916 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_919 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_922 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_926 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_187_946 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_187_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_187_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_187_957 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_187_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_187_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_974 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_987 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_187_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_982 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_187_999 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_988 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_188_1003 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_187_994 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_188_1015 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_1001 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_188_1027 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_188_101 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_188_1013 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_188_1025 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_188_1033 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_188_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84180,11 +83736,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_11 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_188_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_188_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84192,63 +83744,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_188_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_188_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_188_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_188_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_188_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_188_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_188_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_188_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_188_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_17 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_188_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_18 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_188_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_188_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_188_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_188_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_188_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84256,31 +83812,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_188_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_188_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_188_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_188_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_188_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_188_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_188_290 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_188_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_188_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84288,67 +83856,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_188_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_188_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_188_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_188_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_188_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_188_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_188_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_188_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_188_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_188_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_188_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_188_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_188_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_188_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_405 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_188_411 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_188_419 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_188_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84356,23 +83936,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_188_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_436 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_442 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_448 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84388,27 +83956,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_188_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_5 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_188_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_188_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_509 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_188_515 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_188_521 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_188_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84416,23 +83996,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_188_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_188_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_188_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_188_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_188_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_188_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_188_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_188_574 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_188_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84440,51 +84024,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_188_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_188_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_188_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_188_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_6 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_188_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_188_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_188_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_188_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_188_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_188_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_188_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_188_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_188_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_188_674 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_188_674 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_188_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84496,43 +84084,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_188_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_188_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_188_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_188_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_188_770 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_188_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_188_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_775 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_188_781 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_188_793 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_188_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84540,99 +84140,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_188_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_188_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_842 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_188_862 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_188_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_188_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_874 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_188_894 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_188_854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_188_902 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_912 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_188_918 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_882 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_890 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_188_932 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_188_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_936 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_188_903 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_943 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_188_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_963 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_934 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_958 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_983 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_989 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_188_972 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_188_995 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_188_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_1003 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_188_997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_189_1006 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84660,47 +84260,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_189_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_13 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_189_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_189_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_189_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_189_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_189_160 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_17 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_174 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_189_182 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84708,107 +84292,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_189_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_189_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_189_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_189_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_189_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_189_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_189_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_335 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_189_337 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_349 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_189_355 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_366 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84816,15 +84384,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_189_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_383 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_189_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84832,35 +84396,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_189_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_189_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_189_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_189_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_189_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_452 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_189_458 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_189_464 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_189_470 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_189_476 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_189_48 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_189_482 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_189_488 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_189_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84868,103 +84464,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_189_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_189_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_189_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_189_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_189_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_189_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_189_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_189_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_189_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_189_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_189_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_655 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_189_665 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_671 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_673 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -84972,31 +84552,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_189_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_189_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_189_719 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_189_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_189_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_734 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_189_74 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_189_742 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_189_750 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_189_760 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_189_766 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_189_770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85004,15 +84608,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_189_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_795 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_189_805 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_189_816 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_189_824 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_189_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85024,55 +84644,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_189_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_189_848 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_856 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_860 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_189_858 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_868 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_189_862 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_874 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_189_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_189_887 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_189_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_901 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_915 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_918 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_922 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_189_931 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_928 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_942 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85084,35 +84704,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_189_962 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_189_968 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_975 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_985 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_982 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_189_988 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_189_997 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_189_994 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_18_1033 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_1029 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_18_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85128,51 +84752,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_13 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_18_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_159 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_16 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_168 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_17 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85184,23 +84796,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_222 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_232 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85208,27 +84812,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_18_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_284 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_18_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85244,27 +84844,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85272,51 +84872,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_45 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_466 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_18_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85324,39 +84916,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_5 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_5 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_18_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_545 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_18_552 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_18_565 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_18_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85368,79 +84972,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_616 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_18_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_18_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_18_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_748 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_18_75 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_18_761 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_18_770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85448,39 +85064,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_790 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_817 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_840 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_847 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_18_859 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_18_867 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_18_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85488,55 +85116,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_871 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_880 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_880 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_904 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_916 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_932 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_942 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_954 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_967 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_963 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_990 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_18_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_997 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_981 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_18_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85548,6 +85180,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_190_102 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_190_1031 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -85560,10 +85196,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_104 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_8 FILLER_190_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -85572,27 +85204,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_190_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_12 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_190_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_190_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_190_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_136 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_190_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_190_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85604,75 +85232,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_190_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_190_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_18 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_190_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_190_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_190_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_190_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_190_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_190_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_190_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_190_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_190_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_190_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_190_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_190_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_190_287 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_190_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85684,27 +85308,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_190_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_190_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_190_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_190_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_190_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_190_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_190_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85712,83 +85344,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_190_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_190_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_190_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_190_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_190_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_190_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_190_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_190_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_190_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_190_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_190_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_190_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_511 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_517 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_190_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85796,63 +85420,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_190_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_190_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_190_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_190_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_190_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_190_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_190_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_190_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_190_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_190_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_190_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_190_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_190_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_190_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_190_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_6 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_190_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_190_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_190_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_190_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_190_629 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_190_633 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_190_640 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_190_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85860,59 +85496,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_190_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_190_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_190_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_190_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_190_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_190_728 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_190_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_190_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_190_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85920,11 +85556,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_190_795 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_190_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_801 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_190_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -85932,67 +85576,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_815 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_190_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_827 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_190_827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_190_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_190_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_190_840 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_190_847 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_190_847 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_190_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_190_860 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_190_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_190_875 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_875 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_190_879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_190_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_890 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_190_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_893 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_903 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_190_906 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_190_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_190_915 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_190_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86000,31 +85640,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_190_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_958 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_934 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_190_964 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_190_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_190_970 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_954 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_190_974 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_983 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_190_967 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_190_989 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_190_973 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_190_979 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_190_983 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86036,11 +85684,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_1003 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_191_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_191_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86048,10 +85692,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_102 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_191_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -86072,31 +85712,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_191_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_191_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_191_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_136 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_191_146 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_156 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_16 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_191_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86104,35 +85732,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_17 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_191_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_191_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_191_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_191_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_212 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_191_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86140,35 +85764,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_191_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_191_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_191_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_191_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_191_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_191_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_191_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_191_286 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_191_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86176,59 +85808,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_191_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_191_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_191_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_191_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_191_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_191_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_191_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_191_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_191_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_191_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_191_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86236,47 +85868,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_191_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_191_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_191_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_191_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_191_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_191_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_487 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_191_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_191_499 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_191_503 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_191_507 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_191_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86284,87 +85936,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_191_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_191_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_191_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_191_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_191_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_191_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_191_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_191_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_191_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_191_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_191_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_191_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_191_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_191_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_191_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_191_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_191_686 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_191_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86372,15 +86028,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_191_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_191_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_191_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_191_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86388,103 +86044,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_191_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_191_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_191_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_191_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_191_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_191_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_191_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_873 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_863 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_879 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_191_876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_191_882 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_191_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_191_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_904 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_921 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_931 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_191_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_191_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_191_943 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_947 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86496,6 +86152,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_191_96 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_191_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -86504,35 +86164,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_973 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_191_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_191_985 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_191_985 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_191_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_191_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_191_997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_1001 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_192_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_1013 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_192_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_192_1025 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_192_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_192_1033 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86548,35 +86208,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_192_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_192_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_192_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_192_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_192_151 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_192_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86584,31 +86248,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_192_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_192_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_192_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_208 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_192_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86616,19 +86276,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_192_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_192_230 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86636,11 +86292,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_255 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86648,55 +86300,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_192_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_192_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_192_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_192_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_333 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_192_337 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_346 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86704,75 +86344,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_192_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_192_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_192_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_192_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_192_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_192_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_192_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_192_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_192_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_192_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_192_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_192_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_192_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_491 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_192_497 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_192_503 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_192_509 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_192_51 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_192_515 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_192_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86780,23 +86444,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_192_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_192_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_192_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_192_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_57 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_192_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86804,31 +86472,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_192_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_192_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_192_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_192_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_192_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_192_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86836,11 +86504,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_192_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_192_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86852,23 +86520,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_720 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_73 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_192_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86880,31 +86540,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_192_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_192_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_789 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_796 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86912,75 +86568,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_802 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_192_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_192_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_817 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_192_862 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_840 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_192_882 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_192_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_887 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_883 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_192_889 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_90 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_911 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_192_915 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_919 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_192_923 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -86988,43 +86612,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_192_938 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_940 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_946 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_192_961 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_952 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_192_967 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_958 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_192_971 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_964 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_192_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_970 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_192_983 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_192_989 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_192_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_192_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_192_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_193_1002 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_192_993 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_193_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87032,6 +86660,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_193_102 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_193_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -87044,123 +86676,131 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_193_105 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_2 FILLER_193_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_193_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_193_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_193_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_193_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_193_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_193_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_193_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_193_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_193_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_193_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_193_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_193_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_193_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_193_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_309 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_193_315 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_193_319 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_193_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87168,47 +86808,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_193_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_193_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_193_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_193_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_193_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_427 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87220,35 +86856,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_193_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_193_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_193_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_193_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_499 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_193_503 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_193_507 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_193_513 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_193_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87256,31 +86908,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_193_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_193_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_193_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_193_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_193_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_193_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_590 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_193_573 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_193_578 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_193_584 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_193_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87288,7 +86952,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_193_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_605 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_193_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87296,111 +86964,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_193_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_193_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_193_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_193_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_193_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_193_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_193_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_193_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_700 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_706 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_193_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_193_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_193_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_193_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_193_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_193_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_193_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_193_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_193_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_193_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_193_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87412,15 +87080,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_87 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_193_873 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_868 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87428,71 +87092,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_193_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_906 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_193_910 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_926 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_193_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_932 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_193_935 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_193_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_193_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_193_944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_193_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_193_955 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_962 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_193_964 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_193_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_970 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_193_984 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_193_987 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_193_990 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_193_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_1003 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_193_999 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_194_1008 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_194_1015 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_194_1020 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_194_1027 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_194_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_1032 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87508,39 +87168,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_194_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_194_13 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_194_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_194_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_146 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87548,39 +87204,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_194_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_194_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_194_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_194_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_194_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_194_231 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_194_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87588,15 +87240,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_194_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_194_258 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_194_266 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_194_271 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_194_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87604,47 +87268,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_194_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_194_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_194_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_194_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_194_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_194_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_194_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_194_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_352 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_194_358 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_194_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_194_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_194_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_194_399 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_194_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87652,11 +87340,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87664,87 +87352,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_194_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_194_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_194_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_194_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_194_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_194_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_194_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_194_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_194_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_5 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_194_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_194_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_194_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_194_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_194_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_194_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_519 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_194_523 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_528 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_537 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_561 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_194_568 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_583 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_194_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87756,7 +87416,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_6 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_194_61 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_194_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87780,47 +87448,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_194_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_194_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_194_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_194_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_706 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_194_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_746 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_725 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_194_731 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_194_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87828,43 +87504,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_194_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_194_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_194_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_194_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_194_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_194_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_194_847 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_194_824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_194_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_194_83 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_194_830 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_194_837 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_194_843 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_194_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87872,7 +87564,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_194_861 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_194_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87884,7 +87580,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_893 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87892,23 +87588,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_194_910 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_912 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_194_918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_194_954 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_194_933 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_194_941 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_194_947 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_194_964 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_194_97 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_194_972 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87916,35 +87632,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_194_997 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_194_990 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_195_1001 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_194_996 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_195_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_1004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_1011 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_195_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_1023 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_195_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_195_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_195_1047 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_195_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_195_1045 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_195_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -87952,159 +87672,127 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_12 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_195_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_195_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_195_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_195_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_195_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_195_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_195_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_195_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_195_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_195_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_195_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_195_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_195_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_195_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_195_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_195_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_195_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_195_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_195_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_195_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_195_335 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_337 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_195_341 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_35 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_351 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_357 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_195_363 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_195_371 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88112,111 +87800,135 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_195_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_195_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_195_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_195_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_195_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_195_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_195_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_195_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_195_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_195_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_195_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_195_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_195_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_195_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_195_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_195_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_195_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_195_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_195_573 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_195_585 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_195_589 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_195_606 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_195_612 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_195_619 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_195_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88224,19 +87936,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_195_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_195_645 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_195_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88244,6 +87952,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_195_66 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_195_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -88252,27 +87964,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_195_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_195_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_195_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_195_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_702 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_195_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_714 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_195_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88280,47 +87996,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_195_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_195_746 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_195_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_195_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_195_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_195_804 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_195_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_791 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_195_799 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_195_805 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_195_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88328,31 +88056,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_195_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_195_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_195_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_195_870 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_846 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_852 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_195_858 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_195_86 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_195_868 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_195_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88360,19 +88104,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_910 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_195_906 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_195_930 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_930 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_195_938 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_195_936 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_942 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_94 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_195_944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88380,39 +88128,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_195_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_195_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_195_972 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_195_963 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_195_992 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_195_971 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_196_1008 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_195_988 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_196_1020 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_1005 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_1011 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_1023 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_196_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_1032 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88428,79 +88164,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_196_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_196_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_12 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_196_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_196_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_196_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_18 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_196_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_196_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_196_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_196_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_196_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88508,59 +88244,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_240 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_196_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_196_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_196_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_196_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_196_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_196_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_196_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_321 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88568,19 +88296,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_196_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_196_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_352 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_196_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88588,19 +88312,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_196_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_196_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88608,15 +88332,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_196_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_196_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_196_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88628,10 +88352,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_196_45 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_196_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -88640,11 +88360,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_196_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_196_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88652,47 +88372,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_196_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_5 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_196_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_196_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_196_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_196_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_196_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_196_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_196_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_196_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_542 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_196_551 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_196_558 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_196_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88700,59 +88432,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_196_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_196_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_196_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_196_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_196_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_196_673 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_196_68 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_196_681 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_196_684 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_196_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88760,19 +88508,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_196_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_705 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_711 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88780,51 +88520,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_730 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_196_734 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_196_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_196_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_196_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_196_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_196_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_196_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_196_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_196_779 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_783 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_196_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88832,7 +88564,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_196_801 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88840,27 +88572,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_196_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_196_827 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_196_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_196_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_196_840 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_842 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88868,15 +88600,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_863 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_196_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_196_860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88884,51 +88612,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_196_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_883 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_196_896 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_903 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_196_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_907 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_196_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_196_918 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_196_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_932 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_196_937 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_954 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_196_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -88936,99 +88660,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_196_966 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_196_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_196_974 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_196_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_196_990 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_196_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_196_996 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_197_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_1004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_1011 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_197_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_1023 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_197_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_197_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_1047 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_197_1045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_197_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_197_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_197_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_197_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_13 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_197_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_197_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_197_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_219 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_197_223 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_197_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89036,91 +88768,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_197_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_197_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_197_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_197_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_197_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_197_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_197_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_197_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_197_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_197_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_197_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_197_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_197_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_388 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_393 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_197_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_197_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89128,19 +88852,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_197_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_197_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_44 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_197_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89152,19 +88880,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_197_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_197_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_197_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_481 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_197_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89176,95 +88908,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_197_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_197_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_197_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_197_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_197_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_197_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_590 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_197_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_6 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_197_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_197_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_197_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_197_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_671 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_197_676 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_197_68 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_197_684 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_197_687 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_197_695 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_197_701 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_197_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89276,35 +89036,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_197_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_197_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_197_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_197_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_197_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89312,7 +89072,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_197_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89320,51 +89080,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_803 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_197_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_197_814 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_197_824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_197_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_197_845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_197_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_858 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_197_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_197_873 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_870 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_197_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_875 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_881 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_197_887 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_197_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89372,83 +89140,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_907 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_197_906 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_916 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_197_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_926 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_922 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_197_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_197_946 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_197_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_197_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_197_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_980 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_197_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_197_986 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_958 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_197_992 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_971 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_198_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_197_975 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_198_1013 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_197_992 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_198_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_197_999 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_1002 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_1014 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_198_1026 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_198_1034 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_198_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89456,6 +89208,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_198_104 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_8 FILLER_198_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -89464,23 +89220,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_11 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_198_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_120 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_129 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_198_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_198_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89492,35 +89236,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_198_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_198_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_198_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_198_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_198_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_198_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_198_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_17 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_198_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_179 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_198_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89528,199 +89268,199 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_198_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_198_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_198_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_198_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_198_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_198_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_198_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_198_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_198_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_198_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_198_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_198_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_198_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_198_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_198_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_198_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_198_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_198_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_198_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_198_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_198_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_198_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_198_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_198_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_198_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_5 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_198_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_506 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_198_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_198_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_198_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_198_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_198_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_198_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_198_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_198_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_198_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_198_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89732,195 +89472,167 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_198_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_198_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_198_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_198_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_198_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_198_747 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_198_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_762 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_770 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_198_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_198_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_198_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_198_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_198_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_198_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_801 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_198_843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_198_849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_819 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_198_859 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_198_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_874 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_884 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_890 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_198_894 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_871 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_898 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_198_908 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_198_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_198_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_198_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_198_899 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_904 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_942 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_198_915 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_198_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_198_983 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_198_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_198_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_948 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_198_961 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_198_972 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_984 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_198_99 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_990 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_198_996 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_199_1000 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_199_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89944,51 +89656,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_199_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_12 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_199_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_199_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_199_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_199_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_189 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_199_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_199_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -89996,7 +89704,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_202 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_199_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90004,23 +89716,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_199_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_199_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_199_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_25 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_199_250 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_199_258 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_199_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90028,91 +89752,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_199_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_199_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_199_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_199_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_199_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_199_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_199_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_199_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_199_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_199_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_199_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_199_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_199_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_199_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_199_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_199_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_199_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_199_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90120,35 +89844,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_199_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_199_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_199_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_199_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_199_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_199_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90156,19 +89880,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_199_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_199_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_199_551 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_199_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90176,23 +89904,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_199_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_199_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_6 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90200,27 +89928,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_199_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_199_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_199_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_199_651 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_654 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90228,23 +89948,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_199_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_709 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_199_713 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90252,15 +89964,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_199_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_199_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90268,79 +89980,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_748 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_199_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_756 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_199_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_199_770 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_199_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_199_775 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_199_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_199_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_199_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_826 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_199_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_199_849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_8 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_855 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_199_817 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_199_825 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_199_832 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_84 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_841 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_851 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_859 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_866 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90348,35 +90032,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_199_876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_199_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_880 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_884 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_199_890 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_199_906 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_199_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_199_914 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_908 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_924 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_922 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_928 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_199_941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90384,31 +90072,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_199_956 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_199_955 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_199_972 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_199_978 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_988 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_199_987 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_199_994 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_199_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_10 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_1002 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_19_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90416,11 +90100,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90436,51 +90120,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_145 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_151 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_155 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_16 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_161 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90488,67 +90152,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_17 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_267 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_271 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_274 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90556,15 +90208,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_3 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90572,59 +90220,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_19_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_19_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90632,31 +90280,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_5 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_490 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90664,111 +90308,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_19_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_19_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_19_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_656 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_66 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_662 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_668 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_675 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_687 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_698 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_7 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_710 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_19_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90776,151 +90384,131 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_19_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_772 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_19_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_19_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_810 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_817 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_863 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_848 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_860 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_875 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_884 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_946 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_921 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_924 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_985 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_95 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_969 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_975 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_99 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_990 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_996 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90932,27 +90520,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1011 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_1012 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1017 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_1018 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1025 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_1026 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1033 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_1034 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_1041 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_1042 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -90960,47 +90548,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_1_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_1_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_171 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_1_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91008,47 +90600,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_1_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_1_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_261 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_265 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91056,139 +90640,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_1_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_1_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_1_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_1_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_1_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_1_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_1_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91196,83 +90780,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_1_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_1_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_626 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_632 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_1_640 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_646 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_65 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_650 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_654 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_1_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91284,23 +90840,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_1_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_714 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_1_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91308,95 +90868,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_1_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_817 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_1_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_879 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_855 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_1_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_921 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_903 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_915 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_927 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_1_939 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_1_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91420,23 +90988,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_200_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_200_1008 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_1003 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_200_1020 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_1015 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_200_1027 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_200_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_1032 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91444,6 +91004,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_200_104 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_8 FILLER_200_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -91452,59 +91016,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_200_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_200_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_200_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_200_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_200_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_200_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_200_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_18 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_200_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_200_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_200_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_200_207 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_200_215 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_200_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_200_227 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_200_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91512,23 +91092,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_200_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_200_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_200_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_200_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91536,11 +91116,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_200_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_286 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_200_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91548,19 +91132,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_200_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_200_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_200_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_328 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_200_334 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_200_340 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_200_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91572,167 +91168,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_200_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_200_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_200_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_200_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_200_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_200_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_200_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_200_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_5 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_200_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_200_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_200_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_200_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_200_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_200_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_200_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_200_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_200_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_200_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_200_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_200_531 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_533 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_545 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_557 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_200_569 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_200_581 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_200_587 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_200_589 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_592 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_598 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_604 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_61 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_610 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_618 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_200_624 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_200_630 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91744,43 +91276,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_200_649 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_200_65 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_652 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_200_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_68 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_683 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91788,23 +91300,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_200_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_200_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_200_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_711 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_721 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_200_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_200_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91812,35 +91316,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_200_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_200_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_200_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_200_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_200_768 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_200_776 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_780 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_787 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91848,43 +91336,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_200_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_200_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_819 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_855 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_200_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_863 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_200_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_842 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_852 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_200_860 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_200_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_882 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91892,39 +91372,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_893 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_200_915 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_200_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_961 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_200_974 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_200_957 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_200_997 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_200_963 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_201_1005 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_200_971 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_200_975 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_200_979 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_200_990 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_200_996 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_201_1006 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91932,11 +91432,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_201_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_201_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91952,35 +91452,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_107 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_201_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_201_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_201_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_201_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_201_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_201_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_201_157 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_201_164 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_201_171 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_201_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -91988,15 +91504,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_201_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_201_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_201_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_201_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92004,47 +91524,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_201_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_201_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_201_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_201_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_201_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_201_306 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_201_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92056,91 +91572,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_201_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_201_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_201_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_201_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_201_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_366 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_201_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_201_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_201_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_201_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_201_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_201_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_201_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_201_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_201_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_438 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_444 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_449 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_201_473 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_201_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92152,7 +91652,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92160,19 +91660,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_201_544 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_201_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92180,27 +91676,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_201_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_201_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_201_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_594 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_201_598 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_60 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_201_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92208,143 +91692,143 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_201_619 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_201_623 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_201_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_201_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_201_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_201_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_201_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_201_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_201_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_201_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_201_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_201_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_201_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_201_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_201_719 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_702 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_201_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_201_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_201_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_201_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_201_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_201_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_758 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_201_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_201_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_201_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_201_796 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_201_804 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_201_831 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_201_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_201_854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_201_861 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_201_867 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92356,23 +91840,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_201_901 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_918 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_932 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_201_930 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_201_938 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_942 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92380,43 +91860,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_201_955 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_960 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_201_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_980 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_201_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_201_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_201_986 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_201_997 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_201_998 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_1002 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_202_1008 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_1014 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_202_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_202_1020 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_202_1026 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_202_1034 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_1032 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92432,67 +91908,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_202_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_202_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_202_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_202_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_202_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_202_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_202_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_202_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_202_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_202_218 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92500,31 +91972,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_241 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_202_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_202_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_202_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92532,19 +92000,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_202_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_202_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_202_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_336 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_321 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_202_327 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_202_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92552,15 +92028,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92568,11 +92044,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_376 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_202_38 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_202_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92580,127 +92064,135 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_202_394 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_202_400 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_202_404 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_202_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_202_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_202_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_202_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_202_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_202_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_202_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_202_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_202_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_202_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_202_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_202_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_202_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_202_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_202_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_202_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_626 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92708,23 +92200,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_202_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_202_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_674 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_202_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_202_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_685 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_202_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92736,43 +92232,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_202_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_202_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_202_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_202_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_202_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_782 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_202_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_795 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_202_776 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_202_780 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_202_787 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_202_793 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_202_796 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92780,7 +92296,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_202_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92788,91 +92304,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_202_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_202_819 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_827 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_202_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_840 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_844 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_202_860 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_852 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_202_859 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_202_898 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_202_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_202_915 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_898 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_202_918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_202_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_202_939 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_930 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_202_954 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_962 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_202_943 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_202_972 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_202_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_202_984 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_957 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_202_990 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_963 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_203_1000 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_202_969 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_202_975 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_202_979 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_202_984 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_202_996 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_203_1006 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92880,10 +92416,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_102 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_203_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -92892,6 +92424,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_203_104 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_203_1045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -92904,71 +92440,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_203_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_203_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_203_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_203_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_203_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_203_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_203_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_203_18 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_203_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_203_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_203_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_203_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_211 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_203_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92976,11 +92508,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_203_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_258 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_203_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -92988,135 +92524,143 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_203_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_203_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_203_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_203_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_203_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_203_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_203_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_203_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_203_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_203_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_203_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_203_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_203_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_203_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_203_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_203_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_203_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_203_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_203_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_203_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_203_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_203_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_203_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_203_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_203_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_203_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_5 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_203_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_203_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_203_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_203_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_203_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_526 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_203_530 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_203_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93128,23 +92672,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_203_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_203_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_203_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_203_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_598 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_203_602 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_203_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_203_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93152,23 +92688,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_203_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_203_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_203_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_203_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_203_644 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_203_66 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_203_661 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_203_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93176,47 +92724,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_203_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_203_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_203_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_746 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_203_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_203_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_203_754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_203_801 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_203_757 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_203_765 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_203_773 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_203_779 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_203_783 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_203_787 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_203_795 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_203_798 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_203_8 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_203_804 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_203_807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93224,39 +92812,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_203_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_203_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_203_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_203_848 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_203_845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_203_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_203_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_203_858 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_858 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_203_866 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_870 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93264,11 +92852,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_882 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_203_882 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_203_888 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_203_888 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_203_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93280,83 +92872,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_909 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_203_915 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_203_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_203_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_922 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_203_931 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_203_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_203_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_203_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_203_942 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_203_957 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_203_946 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_203_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_203_971 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_203_959 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_203_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_203_988 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_203_994 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_203_982 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_204_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_203_988 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_204_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_10 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_1008 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_102 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_1020 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_204_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93372,23 +92944,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_204_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_12 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_124 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_130 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_204_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93396,31 +92960,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_16 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_204_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_204_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_204_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_204_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_18 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_180 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_204_184 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_204_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93428,23 +93000,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_204_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_204_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93452,43 +93024,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_204_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_204_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_204_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_204_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_204_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_204_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_204_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_281 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_204_29 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_294 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_3 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_204_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93496,27 +93052,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_204_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_204_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_354 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_204_360 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_204_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93524,123 +93088,151 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_204_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_204_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_204_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_204_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_204_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_204_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_204_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_204_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_204_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_204_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_204_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_204_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_204_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_204_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_204_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_204_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_204_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_204_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_204_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_204_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_204_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_204_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_204_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_204_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_204_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_204_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_204_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_204_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_6 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_204_601 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_204_62 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_204_625 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_204_638 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_204_661 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_204_672 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_204_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93648,27 +93240,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_204_693 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_204_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_204_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_204_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_719 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93676,27 +93264,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_746 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_204_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_204_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_204_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_204_786 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_204_795 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_781 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_204_787 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_204_793 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_204_796 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93704,7 +93304,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_204_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_802 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_204_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93712,7 +93316,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_204_817 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_204_824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93720,51 +93328,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_204_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_204_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_204_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_204_844 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_204_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_204_860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_879 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_883 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_204_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_204_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_906 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_204_905 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_908 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93784,43 +93388,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_204_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_204_939 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_204_954 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_204_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_204_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_204_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_204_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_204_971 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_204_964 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_204_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_204_972 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_984 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_204_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_204_996 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_204_997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_10 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_205_1005 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_205_1002 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93832,6 +93432,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_205_103 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_205_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -93844,7 +93448,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_205_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93852,23 +93456,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_205_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_205_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_205_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_138 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_144 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93876,11 +93472,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_205_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_16 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_205_162 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_205_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93892,51 +93492,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_205_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_205_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_205_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_205_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_205_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_205_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_205_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_28 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -93944,51 +93544,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_205_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_205_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_205_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_205_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_205_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_205_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_380 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_205_384 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94000,51 +93592,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_205_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_205_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_205_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_205_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_205_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_205_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_205_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_205_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_205_503 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_505 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94052,15 +93636,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_205_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_205_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_205_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94068,131 +93652,131 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_205_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_205_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_205_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_588 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_205_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_205_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_205_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_205_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_205_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_205_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_205_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_205_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_205_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_205_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_205_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_205_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_205_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_205_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_205_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_205_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_205_748 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_205_756 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_205_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_205_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_205_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_205_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_205_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_205_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_205_804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_205_803 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_814 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_205_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_205_826 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_205_831 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_205_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94200,55 +93784,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_205_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_205_844 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_852 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_859 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_863 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_205_866 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_205_874 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_870 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_876 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_884 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_882 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_205_890 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_205_888 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_924 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_930 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_936 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_942 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_205_923 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_205_929 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_205_939 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_205_943 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94256,35 +93852,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_205_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_205_963 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_205_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_205_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_983 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_205_993 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_205_996 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_206_1008 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_206_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_206_1020 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_206_1033 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_1032 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94300,15 +93896,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_206_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_206_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_11 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_206_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_12 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94316,31 +93908,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_206_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_206_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_206_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_206_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_206_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_18 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_206_158 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_175 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_206_179 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_206_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_206_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94348,11 +93928,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_206_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94360,11 +93940,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_230 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_206_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94372,7 +93948,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_206_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94380,91 +93956,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_206_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_206_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_206_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_206_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_206_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_206_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_206_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_206_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_206_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_206_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_206_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_206_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_206_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_206_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_381 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_388 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_206_394 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_206_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_206_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94476,23 +94040,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_206_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_206_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_206_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_206_459 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_206_47 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_206_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94508,11 +94080,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_5 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_206_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_206_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94544,55 +94116,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_206_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_206_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_206_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_206_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_206_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_6 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_206_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_206_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_206_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_206_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_206_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_206_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_206_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_206_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_206_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_206_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_206_649 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_206_659 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_206_67 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_206_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94600,19 +94184,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_206_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_206_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_206_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_716 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94620,47 +94200,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_206_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_206_746 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_206_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_206_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_206_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_765 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_771 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_206_775 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_778 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_206_784 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_206_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_206_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94668,87 +94228,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_206_804 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_206_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_206_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_206_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_206_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_206_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_206_827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_206_854 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_844 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_863 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_206_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_206_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_887 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_912 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_206_907 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_206_918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_206_917 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_206_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_206_929 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_939 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_947 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_206_943 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_960 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_206_961 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_206_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_206_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_206_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_206_997 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_206_990 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_206_996 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_207_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94764,6 +94328,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_207_104 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_207_1045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -94772,55 +94340,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_207_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_207_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_207_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_207_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_207_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_207_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_207_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_207_184 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_207_201 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_207_207 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_207_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94832,67 +94388,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_207_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_207_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_207_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_207_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_207_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_207_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_207_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_207_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_207_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_207_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_207_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_207_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_207_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_207_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_207_307 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_207_311 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_207_317 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_207_324 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_207_330 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_207_340 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_207_344 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_207_354 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_207_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94900,35 +94488,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_207_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_207_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_207_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_207_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_207_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_207_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_207_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_207_408 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_207_412 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_207_42 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_207_432 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_207_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -94944,10 +94548,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_207_48 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_207_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -94968,6 +94568,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_207_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_207_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -94992,31 +94596,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_207_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_207_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_207_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_207_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_207_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_207_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_207_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_207_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_207_617 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_207_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95028,15 +94628,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_644 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_207_650 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_207_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95044,79 +94636,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_207_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_207_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_207_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_207_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_702 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_207_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_207_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_207_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_207_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_207_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_207_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_207_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_207_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_207_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_207_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_207_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_207_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_752 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_758 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_764 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_207_770 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_207_770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95124,75 +94704,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_207_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_804 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_207_810 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_207_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_207_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_207_859 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_207_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_854 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_868 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_207_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_874 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_207_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_207_880 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_207_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_207_888 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_207_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_207_906 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_922 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_93 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_207_930 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_207_938 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_942 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95200,31 +94772,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_961 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_985 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_207_998 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_207_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_1002 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_207_995 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_1014 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_208_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_208_1026 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_208_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_208_1034 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_103 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_208_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95240,35 +94816,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_208_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_208_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_208_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_208_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_208_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_14 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_208_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_208_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_208_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_208_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_160 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_208_180 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_208_187 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_208_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95276,23 +94864,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_208_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_208_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_208_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_208_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_208_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_208_238 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_208_244 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_208_248 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_208_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95300,35 +94900,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_208_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_208_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_208_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_303 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_208_307 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_208_312 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_208_318 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_208_324 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_208_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95336,75 +94956,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_34 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_208_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_208_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_208_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_208_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_208_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_208_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_208_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_208_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_208_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_208_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_208_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_208_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_208_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95460,23 +95076,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_208_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_208_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_208_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_208_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_208_616 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_208_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_208_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95488,75 +95104,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_208_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_208_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_716 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_722 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_208_726 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_729 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_208_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95564,35 +95164,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_208_743 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_746 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_208_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_208_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_208_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_770 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_786 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_776 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_208_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95600,23 +95188,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_208_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_208_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_208_816 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_208_822 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_208_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95624,39 +95204,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_208_860 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_886 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_208_890 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_208_883 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_208_904 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_908 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_914 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95664,39 +95244,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_208_941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_940 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_947 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_960 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_208_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_208_964 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_208_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_208_973 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_959 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_208_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_968 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_984 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_208_972 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_990 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_208_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_208_996 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_208_997 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_209_1001 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_209_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95704,7 +95292,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95724,27 +95312,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_209_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_209_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_209_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_209_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_13 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_209_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_209_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95752,11 +95336,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_157 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_209_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95764,95 +95344,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_209_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_209_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_209_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_209_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_209_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_209_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_209_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_209_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_209_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_209_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_209_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_209_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_209_3 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_209_302 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_313 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_323 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_209_329 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_209_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95860,27 +95420,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_34 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_209_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_209_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_209_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95888,27 +95444,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_209_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_209_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_209_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_209_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_209_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_209_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -95920,10 +95476,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_209_47 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_209_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -95932,6 +95484,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_209_49 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_209_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -95948,6 +95504,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_209_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_209_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -95956,10 +95516,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_209_55 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_209_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -95972,10 +95528,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_209_57 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_209_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -95984,47 +95536,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_209_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_209_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_209_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_209_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_209_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_209_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_209_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_209_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_209_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_209_638 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_641 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_647 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_209_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96032,39 +95572,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_209_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_209_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_209_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_209_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_209_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_209_71 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_209_712 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_717 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_209_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96072,27 +95600,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_209_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_209_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_209_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_209_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_762 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_209_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_209_775 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_209_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96100,23 +95628,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_209_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_209_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_813 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96132,23 +95656,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_209_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_209_845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_859 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_855 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_879 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_868 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_209_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_87 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_209_880 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_209_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96156,27 +95688,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_209_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_901 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_908 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_912 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_915 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_209_922 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_935 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_925 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_209_932 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_209_939 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_209_94 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_209_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96184,51 +95732,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_209_953 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_209_956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_209_968 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_209_960 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_209_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_209_983 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_971 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_209_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_978 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_984 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_209_990 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_209_996 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_1003 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_102 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_1023 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_20_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96244,55 +95780,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_20_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_2 FILLER_20_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_12 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_144 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_15 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_154 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_160 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_168 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96304,27 +95824,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_20_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96332,51 +95852,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_20_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_20_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96384,43 +95904,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_20_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_20_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_401 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_409 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96428,79 +95940,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_20_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_20_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_5 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_20_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_561 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96508,83 +96016,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_6 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_20_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_20_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_20_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_20_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_745 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96596,79 +96100,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_775 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_20_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_804 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_20_859 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_848 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_860 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_903 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_915 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_896 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_932 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_908 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_920 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_93 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_942 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96676,31 +96168,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_964 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_967 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_971 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_974 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_983 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_987 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_997 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_1002 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_210_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96708,15 +96196,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_1014 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_210_1013 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_210_1026 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_210_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_210_1034 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_210_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96732,75 +96220,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_210_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_210_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_210_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_210_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_12 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_210_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_210_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_210_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_210_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_210_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_210_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_210_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_210_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_210_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_18 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_210_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_210_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_216 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_236 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_24 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96808,15 +96284,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_210_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_210_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_210_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_210_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96824,91 +96300,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_210_3 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_210_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_210_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_210_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_210_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_210_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_210_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_210_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_210_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_210_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_210_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_210_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_210_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_210_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_210_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_210_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_45 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_210_457 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_210_469 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_210_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -96920,6 +96380,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_210_49 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_210_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -96928,10 +96392,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_52 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_210_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -96952,10 +96412,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_210_56 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_210_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -96968,31 +96424,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_210_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_210_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_210_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_210_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_210_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_210_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_210_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97000,23 +96456,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_210_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_210_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_210_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_210_669 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_210_672 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_210_679 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_210_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97024,51 +96492,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_210_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_210_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_210_735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_210_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_210_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_210_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_210_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_210_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_795 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_210_763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_210_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_210_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_783 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_210_789 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_210_797 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_210_806 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_210_813 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_210_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97076,15 +96564,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_854 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_846 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_210_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_210_850 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_210_859 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97092,171 +96584,179 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_911 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_210_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_210_917 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_210_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_210_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_210_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_210_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_210_932 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_210_940 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_990 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_210_950 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_210_996 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_960 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_211_1002 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_966 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_1011 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_210_972 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_1023 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_210_983 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_210_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_1047 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_211_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_211_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_211_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_211_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_211_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_211_1045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_211_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_211_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_211_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_211_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_211_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_211_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_211_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_211_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_211_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_16 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_211_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_211_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_211_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_211_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_211_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_211_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_211_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_211_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_211_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_211_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_211_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_211_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_211_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_211_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97264,11 +96764,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_211_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_211_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_211_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97276,43 +96776,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_211_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_211_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_211_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_211_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_211_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_211_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_211_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_211_409 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_211_426 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_211_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_211_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97320,10 +96832,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_45 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_211_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -97336,6 +96844,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_211_49 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_211_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -97348,10 +96860,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_51 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_211_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -97380,7 +96888,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_211_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_211_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97392,11 +96900,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_211_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_211_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_211_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97404,23 +96912,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_63 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_211_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_211_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_211_645 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_211_648 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_211_659 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_211_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97428,63 +96944,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_211_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_211_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_211_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_211_775 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_211_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_211_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_8 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_211_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_211_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_801 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_741 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_211_755 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_211_759 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_211_780 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_211_788 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_211_792 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_211_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97492,11 +97032,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_814 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_211_821 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_211_829 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_211_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97504,27 +97052,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_211_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_868 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_863 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_211_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_211_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_211_875 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_211_882 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97532,7 +97080,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_9 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_211_900 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_211_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97540,11 +97096,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_927 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_931 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97552,7 +97104,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_211_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_947 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97560,15 +97112,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_211_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_211_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_211_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_211_964 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_211_971 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_211_977 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_211_983 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97576,23 +97140,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_212_1003 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_211_995 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_212_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_212_1008 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_212_1015 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_212_1020 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_212_1027 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_212_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_1032 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97608,43 +97172,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_212_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_212_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_212_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_212_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_212_171 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_174 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_212_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97656,83 +97212,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_212_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_212_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_212_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_212_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_212_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_212_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_212_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_212_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_212_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_212_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_212_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_212_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_212_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_212_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_212_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_212_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_212_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_212_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_212_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_212_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_212_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97740,11 +97296,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_368 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_212_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97752,39 +97304,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_212_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_212_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_212_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_212_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_212_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_212_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_212_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_212_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_212_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_212_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_212_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_212_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_212_457 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_212_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97804,7 +97352,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_212_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_212_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97836,6 +97384,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_212_57 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_212_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -97844,87 +97396,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_212_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_212_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_212_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_212_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_212_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_212_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_212_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_212_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_212_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_212_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_212_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_212_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_212_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_212_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_212_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_212_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_212_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_786 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_212_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_212_735 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_212_739 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_212_747 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_212_755 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_212_761 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_212_769 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_212_782 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_212_795 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_212_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_212_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97932,19 +97520,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_212_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_212_824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_839 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_852 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -97956,59 +97540,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_871 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_212_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_890 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_896 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_212_902 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_911 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_212_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_212_917 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_212_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_212_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_929 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_949 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_942 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_212_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_212_966 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_963 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_212_972 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_212_974 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_212_997 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_212_990 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_212_996 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_213_1004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98036,39 +97628,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_213_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_213_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_213_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_213_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_213_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_213_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_213_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_213_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_213_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98076,131 +97668,127 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_213_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_213_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_213_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_213_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_213_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_213_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_213_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_28 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_213_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_213_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_213_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_213_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_213_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_213_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_213_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_213_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_213_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_213_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_213_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_213_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_213_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_213_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_213_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_213_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_213_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_213_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_213_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_213_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_213_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_213_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_43 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_213_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98216,11 +97804,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_213_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_213_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_213_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_213_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98240,11 +97828,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_213_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_213_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98256,10 +97844,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_213_55 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_213_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -98276,15 +97860,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_213_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_213_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_597 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_213_601 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_213_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98292,31 +97884,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_213_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_213_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_213_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_213_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_213_663 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_213_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98324,79 +97912,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_213_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_213_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_706 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_213_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_213_758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_758 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_213_770 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_213_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_213_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_213_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_213_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_213_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_213_826 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_795 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_213_805 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_817 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_82 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_213_824 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_213_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98404,91 +97976,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_213_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_213_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_863 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_862 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_876 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_882 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_213_888 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_213_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_213_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_213_918 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_213_919 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_213_926 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_928 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_936 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_213_943 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_213_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_213_972 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_213_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_213_992 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_213_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_214_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_978 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_984 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_213_990 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_213_996 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_10 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_214_1001 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_214_1013 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_214_1025 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_214_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98508,39 +98052,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_214_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_214_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_214_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_214_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_214_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_17 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_214_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_214_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_214_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_214_15 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_214_162 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_214_169 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_214_187 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_214_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98552,11 +98112,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_214_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_214_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_214_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98568,23 +98132,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_214_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_214_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_214_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_214_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_214_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_214_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_280 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_214_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_214_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98592,83 +98164,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_214_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_214_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_214_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_214_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_214_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_214_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_214_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_214_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_214_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_214_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_214_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_214_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_214_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_214_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_214_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_214_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_214_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_214_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_214_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_214_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_214_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_214_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_214_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_214_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_214_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_214_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_214_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_214_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98724,31 +98296,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_214_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_214_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_597 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_603 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_609 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_214_615 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_214_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_214_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98756,47 +98312,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_214_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_214_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_214_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_214_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_214_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_214_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_214_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_214_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_214_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_214_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_214_747 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_722 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_214_733 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_214_741 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_214_751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98812,23 +98380,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_214_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_786 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_778 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_214_784 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_214_790 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98836,35 +98392,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_801 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_214_804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_214_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_214_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_844 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_214_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98872,23 +98424,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_214_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_214_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_214_884 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_214_890 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_214_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98896,43 +98440,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_214_929 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_214_940 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_214_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_949 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_956 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_214_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_214_971 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_214_983 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_214_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_214_989 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_214_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_10 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_214_997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_215_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_215_1002 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98944,6 +98488,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_215_103 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_215_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -98956,27 +98504,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_215_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_215_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_215_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_215_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_215_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_215_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_16 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -98984,39 +98532,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_215_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_215_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_215_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_215_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_215_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_215_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_215_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_215_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_215_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_215_272 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_215_283 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_215_290 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_215_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_215_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99024,11 +98588,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_215_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_215_324 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_215_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99036,51 +98604,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_215_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_215_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_215_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_215_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_215_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_215_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_215_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_215_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_215_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_215_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_215_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_215_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_215_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_215_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_215_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_215_428 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_215_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99096,11 +98668,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_215_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_215_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_215_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_215_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99128,10 +98700,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_215_55 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_215_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -99144,31 +98712,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_57 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_215_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_215_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_215_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_215_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_598 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_215_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99176,19 +98736,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_215_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_215_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99196,95 +98756,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_215_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_215_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_215_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_215_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_215_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_215_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_215_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_215_702 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_215_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_215_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_215_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_215_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_215_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_215_756 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_215_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_215_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_215_787 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_798 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_818 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_824 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_215_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_215_828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99296,71 +98840,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_215_852 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_874 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_855 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_215_859 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_886 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_215_887 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_215_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_926 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_215_930 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_215_901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_947 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_215_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_915 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_215_959 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_928 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_975 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_215_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_215_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_215_987 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_971 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_215_999 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_215_984 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_215_99 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_215_990 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99368,19 +98920,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_216_1005 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_216_1008 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_216_1017 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_216_1020 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_216_1029 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_216_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_1032 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99388,10 +98936,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_216_104 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_8 FILLER_216_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -99400,15 +98944,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_12 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_216_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_125 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_216_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99420,59 +98960,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_216_15 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_216_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_216_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_216_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_216_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_18 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_216_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_216_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_216_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_216_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_216_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_216_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_216_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_247 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_216_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99480,71 +99020,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_216_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_216_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_216_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_216_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_216_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_216_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_216_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_216_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_216_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_216_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_216_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_216_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_216_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_216_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_216_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_216_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_216_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_216_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_216_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99552,27 +99092,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_216_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_216_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_216_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_216_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_216_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_216_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_216_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_216_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_216_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_216_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99584,6 +99124,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_216_48 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_216_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -99608,7 +99152,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_216_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99624,10 +99168,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_216_57 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_216_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -99636,39 +99176,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_216_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_216_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_216_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_216_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_216_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_603 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_216_607 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_610 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_621 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_216_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99680,27 +99204,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_216_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_667 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_674 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99708,59 +99224,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_216_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_216_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_730 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_216_734 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_743 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_216_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_216_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_216_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_216_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_795 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_785 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_216_792 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_216_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99768,51 +99292,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_216_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_848 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_216_817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_216_854 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_863 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_216_828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_216_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_844 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_884 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_852 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_904 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_216_856 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_216_908 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_216_860 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_216_871 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_216_878 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_216_884 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_216_894 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_216_900 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_216_906 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_216_912 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99820,7 +99372,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99828,23 +99380,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_947 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_216_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_216_956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_959 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_216_960 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_216_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_216_972 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_216_971 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_216_975 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99852,11 +99404,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_216_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_216_984 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_216_993 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_216_996 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99868,6 +99420,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_217_102 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_217_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -99884,27 +99440,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_217_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_217_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_12 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_217_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_217_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_217_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_217_149 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_217_155 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_217_158 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_217_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99912,19 +99480,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_18 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_217_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -99936,6 +99504,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_217_22 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_217_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -99944,59 +99516,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_217_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_217_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_217_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_217_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_217_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_217_298 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_217_3 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_30 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_217_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_217_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100004,35 +99564,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_217_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_217_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_217_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_217_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_217_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_217_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_217_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_217_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_217_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_217_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_217_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100040,27 +99604,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_217_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_217_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_217_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_217_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_217_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_217_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_217_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_217_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_217_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_217_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_217_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100080,11 +99644,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_217_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_217_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_217_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_217_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100132,47 +99696,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_217_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_217_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_217_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_217_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_217_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_217_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_217_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_217_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_217_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_217_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_217_644 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_217_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_217_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_217_653 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_217_656 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_217_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100180,55 +99752,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_217_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_217_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_217_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_217_702 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_217_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_217_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_217_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_217_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_217_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_217_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_747 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_217_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_753 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_217_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_756 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_217_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_766 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_217_770 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_217_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100236,87 +99816,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_217_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_217_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_217_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_217_795 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_796 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_810 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_217_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_217_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_217_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_217_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_217_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_217_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_858 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_856 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_217_860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_884 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_217_888 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_217_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_217_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_217_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_217_917 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_217_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_217_931 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_217_938 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_217_944 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_217_947 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_217_95 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_217_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100324,11 +99924,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_968 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_217_974 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_217_968 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100340,19 +99936,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_218_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_218_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_218_1019 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_218_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_103 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_1031 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_218_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100372,15 +99964,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_218_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_116 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100396,7 +99984,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_218_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_218_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100404,47 +99992,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_218_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_218_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_218_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_218_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_218_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_18 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_218_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_218_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_218_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_200 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_214 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_218_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100472,31 +100052,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_218_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_218_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_218_285 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_218_29 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_218_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_218_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100504,59 +100076,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_218_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_218_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_218_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_218_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_218_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_218_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_218_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_218_367 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_218_379 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_39 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_218_391 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_218_403 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_218_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100604,6 +100156,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_218_51 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_218_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -100620,7 +100176,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_218_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_218_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100644,55 +100200,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_218_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_218_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_218_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_218_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_218_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_218_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_218_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_218_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_218_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_218_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_218_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_218_699 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_218_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100704,51 +100264,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_218_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_218_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_218_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_218_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_218_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_218_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_786 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_796 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_794 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_218_798 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100756,47 +100308,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_218_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_826 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_846 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_218_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_218_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_218_859 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_218_862 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_218_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_218_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_218_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_884 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_880 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_218_890 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_886 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_218_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100804,19 +100360,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_912 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_218_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_218_916 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_218_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100832,23 +100384,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_952 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_959 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_218_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_218_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_218_983 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_218_971 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_218_995 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_218_979 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_218_981 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_218_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100876,71 +100436,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_219_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_219_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_219_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_219_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_219_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_219_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_219_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_219_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_219_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_219_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_219_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_219_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_219_167 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_219_171 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_219_179 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_182 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_189 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -100948,55 +100488,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_219_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_219_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_219_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_219_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_219_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_219_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_219_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_219_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_219_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_219_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_219_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_266 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_27 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_219_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101004,27 +100536,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_219_285 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_219_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_219_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_219_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_219_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_219_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_320 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_219_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101032,47 +100564,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_219_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_219_366 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_219_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_219_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_219_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_219_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_219_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_219_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_219_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_219_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_219_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_219_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_219_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_219_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_219_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_219_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_219_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101080,10 +100612,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_45 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_219_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -101112,10 +100640,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_52 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_219_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -101136,6 +100660,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_219_57 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_219_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -101148,27 +100676,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_219_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_219_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_219_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_219_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_219_635 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_219_643 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_219_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101180,111 +100716,127 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_219_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_219_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_219_702 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_219_719 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_219_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_219_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_219_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_219_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_743 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_219_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_219_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_219_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_772 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_219_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_219_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_219_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_219_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_219_831 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_219_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_219_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_219_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_219_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_219_845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_219_862 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_874 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_855 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_880 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_219_86 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_219_861 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_219_867 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_219_875 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_219_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101296,47 +100848,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_901 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_219_907 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_219_919 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_219_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_931 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_930 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_219_936 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_219_943 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_219_944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_219_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_219_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_953 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_966 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_973 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_219_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_219_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101344,35 +100884,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_219_985 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_219_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_219_997 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_219_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_10 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_21_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_1025 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_1044 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_21_1056 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_1033 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_21_1045 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_21_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101380,31 +100928,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_21_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_14 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_150 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101412,71 +100956,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_21_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_260 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101484,71 +101024,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_21_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_21_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_417 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_21_437 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_21_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101556,27 +101104,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_21_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101584,39 +101132,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_573 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101624,83 +101168,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_21_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_21_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_21_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_21_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_21_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_754 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_739 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_21_745 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_21_755 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_21_761 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_21_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101708,75 +101268,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_8 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_831 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_859 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_846 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_866 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_890 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_902 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_914 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_884 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_922 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_926 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_930 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_21_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_940 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_907 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_947 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_913 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_21_92 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_21_927 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_21_933 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_21_942 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_21_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101784,43 +101364,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_958 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_963 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_966 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_967 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_970 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_985 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_977 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_997 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_220_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_220_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_220_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_220_1007 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_220_1019 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_1031 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_220_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101840,15 +101412,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_220_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_220_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_220_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101856,23 +101428,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_220_141 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_144 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_220_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_220_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101884,7 +101448,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_220_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_180 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_220_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101892,23 +101460,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_220_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_220_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_220_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_220_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_221 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_220_228 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_220_238 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_220_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101924,19 +101504,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_220_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_220_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_282 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_220_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -101948,63 +101524,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_220_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_220_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_220_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_220_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_220_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_220_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_220_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_220_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_220_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_220_377 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_220_389 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_220_401 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_41 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_220_413 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_220_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_220_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102040,6 +101596,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_220_49 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_220_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -102060,7 +101620,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_220_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102092,75 +101652,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_220_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_220_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_220_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_220_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_220_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_220_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_220_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_220_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_220_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_220_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_220_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_220_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_220_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_220_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_220_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_220_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_220_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_220_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102168,27 +101728,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_220_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_220_763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_220_772 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_775 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_782 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_220_796 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_793 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_801 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102196,19 +101752,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_220_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_220_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_220_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_220_846 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_841 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_220_849 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_220_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_220_855 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102216,71 +101784,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_220_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_893 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_907 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_220_915 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_220_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_220_918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_931 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_220_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_220_947 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_220_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_220_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_220_968 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_220_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_975 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_220_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_220_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_220_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_983 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_220_989 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_220_995 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_221_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_1004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102304,27 +101864,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_221_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_221_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_221_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_221_128 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_221_136 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_221_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102332,43 +101884,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_221_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_221_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_221_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_221_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_221_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_221_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_221_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_221_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_221_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_221_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_221_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_238 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_221_251 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_221_27 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_221_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102376,15 +101940,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_221_288 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102392,19 +101952,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_221_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_221_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_319 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_221_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_221_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102412,39 +101968,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_221_366 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_221_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_221_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_221_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_221_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_221_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_221_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_221_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_221_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_221_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_221_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_221_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_221_395 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_221_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_221_419 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_221_431 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_221_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102456,6 +102028,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_221_45 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_221_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -102472,10 +102048,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_221_50 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_221_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -102488,6 +102060,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_221_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_221_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -102520,39 +102096,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_221_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_221_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_221_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_221_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_221_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_221_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_221_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_221_645 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_221_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102560,15 +102132,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_221_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_221_700 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_221_701 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_221_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102576,75 +102152,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_743 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_221_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_221_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_221_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_221_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_221_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_221_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_221_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_221_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_221_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_221_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_221_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_221_807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_221_801 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_221_807 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_221_812 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_221_818 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_822 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_828 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_221_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102652,31 +102204,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_221_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_854 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_221_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_221_858 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_221_847 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_221_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_856 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_221_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_221_875 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_221_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_221_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102684,11 +102236,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_913 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_221_917 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102696,7 +102244,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_221_933 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_221_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102704,27 +102256,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_221_957 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_221_962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_974 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_221_968 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_221_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_221_980 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_221_987 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_221_999 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_221_992 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102740,10 +102288,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_103 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_222_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -102760,7 +102304,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_109 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_222_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102768,51 +102316,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_222_144 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_222_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_222_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_222_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_222_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_222_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_222_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_222_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_222_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_222_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_222_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_222_225 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_222_231 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_222_235 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_222_248 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_222_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102820,15 +102384,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_222_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_222_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_222_288 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_222_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102836,19 +102404,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_222_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_222_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_222_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_222_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_222_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_222_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102856,43 +102424,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_222_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_222_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_222_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_222_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_222_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_222_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_222_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_222_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_222_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_222_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_222_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_222_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_222_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_222_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_222_409 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_222_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -102940,6 +102512,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_222_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_222_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -102968,79 +102544,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_222_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_222_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_222_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_222_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_222_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_222_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_222_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_222_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_222_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_222_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_222_694 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_701 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_222_705 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_709 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_716 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_222_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103048,7 +102604,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_222_743 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_737 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_222_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103056,35 +102616,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_222_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_222_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_762 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_222_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_769 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_777 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_784 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_790 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_222_794 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103092,19 +102632,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_222_803 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_222_811 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_815 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103112,15 +102640,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_222_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_222_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_844 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_222_847 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103128,11 +102656,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_869 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103140,31 +102664,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_222_911 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_903 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_222_916 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_222_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_222_928 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_222_934 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_222_968 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_222_957 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_222_974 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_222_963 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_222_975 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_222_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103176,7 +102708,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_223_1006 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_1004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103196,23 +102728,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_223_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_2 FILLER_223_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_223_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_223_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_223_126 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_223_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103220,35 +102752,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_223_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_223_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_223_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_223_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_223_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_223_206 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_223_212 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_223_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_223_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103256,35 +102780,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_223_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_223_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_223_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_223_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_223_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_223_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_223_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_223_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_223_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_223_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103292,51 +102816,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_223_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_223_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_223_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_223_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_223_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_223_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_223_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_223_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_223_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_223_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_223_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_223_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_223_366 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_223_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_223_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_39 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_223_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103376,10 +102904,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_223_48 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_223_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -103400,6 +102924,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_223_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_223_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -103432,10 +102960,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_223_60 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_223_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -103444,31 +102968,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_223_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_223_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_223_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_649 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_655 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_661 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_223_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103476,27 +102988,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_223_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_223_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_223_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_223_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_223_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_223_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_223_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103504,27 +103016,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_223_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_223_743 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_223_759 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_769 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_223_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_223_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103532,27 +103036,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_796 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_223_804 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_223_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_223_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_223_831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_223_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_223_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103564,35 +103068,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_223_863 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_223_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_870 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_883 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_879 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_223_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_223_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_906 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_223_904 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_912 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_926 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103600,10 +103104,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_932 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_223_946 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -103612,23 +103112,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_223_968 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_223_968 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_223_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_223_980 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_223_974 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_223_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_223_986 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_223_998 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_223_992 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103636,11 +103132,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_224_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_224_1017 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103664,15 +103160,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_224_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_224_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_122 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_224_126 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_224_136 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_224_143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_224_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103680,39 +103192,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_224_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_224_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_224_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_224_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_224_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103720,19 +103232,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_224_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_224_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_224_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_224_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_262 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_224_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103740,11 +103256,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_224_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_224_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103752,11 +103268,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_224_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_224_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_224_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_224_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103764,47 +103280,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_224_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_224_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_224_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_224_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_224_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_224_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_224_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_224_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_224_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_224_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_224_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_224_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_224_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_224_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_224_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_224_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_224_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103864,10 +103380,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_54 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_224_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -103880,6 +103392,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_224_58 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_224_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -103892,47 +103408,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_224_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_224_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_224_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_224_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_224_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_224_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_224_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_224_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_224_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_224_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_224_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_677 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_224_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -103940,59 +103452,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_224_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_706 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_224_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_224_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_224_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_224_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_224_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_730 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_224_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_224_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_795 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_224_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_224_796 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_224_803 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104000,51 +103512,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_817 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_224_845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_224_859 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_224_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_224_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_871 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_224_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_224_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_224_849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_224_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_224_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_224_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_224_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_907 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_880 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_224_891 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_224_897 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_224_914 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_224_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104052,23 +103580,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_224_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_224_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_958 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_931 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_224_964 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_224_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_224_970 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_224_944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_224_978 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_224_961 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_224_968 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104112,19 +103644,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_225_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_225_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_225_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104132,31 +103664,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_225_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_225_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_225_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_225_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_225_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_225_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_225_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_225_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_225_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104164,55 +103696,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_225_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_225_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_225_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_225_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_225_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_225_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_225_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_225_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_225_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_225_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_225_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_283 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_225_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104220,15 +103748,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_225_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_225_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_225_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_225_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104236,27 +103764,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_225_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_225_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_225_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_225_366 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_225_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_225_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_225_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_225_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_225_382 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_225_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104288,6 +103820,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_225_45 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_225_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -104308,31 +103844,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_225_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_225_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_225_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_225_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_225_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_225_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_225_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_225_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_225_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_225_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_225_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104348,10 +103884,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_225_59 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_225_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -104364,79 +103896,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_225_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_225_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_225_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_225_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_225_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_225_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_225_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_225_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_225_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_225_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_225_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_225_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_702 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_225_706 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_225_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_225_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_729 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_225_742 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104448,15 +103972,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_801 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_225_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_225_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_225_807 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_225_814 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_225_820 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_225_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104464,27 +104004,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_225_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_225_858 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_225_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_875 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_225_863 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_225_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_225_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_225_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_878 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_225_882 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_225_890 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104492,43 +104040,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_905 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_225_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_225_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_225_927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_225_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_225_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_928 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_934 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_940 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_225_946 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_962 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_225_968 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_225_962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104544,19 +104072,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_226_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_226_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_226_1019 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_226_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_102 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_1031 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_226_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104576,27 +104100,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_226_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_226_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_226_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_226_141 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_226_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104604,31 +104124,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_226_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_226_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_226_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_226_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_226_182 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_226_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104636,39 +104152,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_226_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_226_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_226_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_226_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_226_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_226_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_226_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_226_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_226_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104676,23 +104196,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_226_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_226_281 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_285 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_226_29 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_226_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104704,51 +104212,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_226_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_226_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_226_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_226_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_226_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_226_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_226_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_226_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_226_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_226_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_226_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_226_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_226_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_226_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_226_413 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_226_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_226_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104760,10 +104264,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_44 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_226_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -104800,6 +104300,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_226_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_226_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -104820,10 +104324,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_57 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_226_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -104836,19 +104336,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_226_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_226_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_226_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_226_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_226_625 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104860,71 +104356,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_226_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_226_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_226_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_226_674 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_226_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_226_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_226_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_226_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_226_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_226_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_226_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_226_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_743 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_226_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_226_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_226_786 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_769 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_226_781 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_226_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104932,7 +104436,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_226_803 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_800 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_226_807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -104940,91 +104448,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_226_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_226_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_226_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_226_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_226_847 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_846 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_226_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_226_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_226_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_226_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_226_873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_882 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_904 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_226_910 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_226_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_912 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_226_918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_949 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_962 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_226_968 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_939 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_226_974 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_226_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_226_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_226_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_226_983 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_226_959 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_226_995 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_226_971 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_226_979 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_226_981 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_226_993 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_227_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105036,10 +104560,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_102 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_227_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -105060,23 +104580,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_126 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_132 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105084,7 +104596,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_227_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105092,23 +104604,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_227_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_227_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_227_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_227_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105116,31 +104628,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_227_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_227_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_227_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_227_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_227_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_227_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_227_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_227_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_290 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_227_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105148,67 +104664,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_227_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_227_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_227_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_227_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_227_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_227_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_227_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_227_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_227_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_227_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_227_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_227_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_227_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_227_405 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_227_417 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_227_429 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_227_441 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_227_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105240,11 +104740,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_227_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_227_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105256,6 +104756,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_227_55 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_227_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -105288,27 +104792,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_227_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_227_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_227_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_227_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_227_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_653 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_227_657 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_227_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105320,19 +104816,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_227_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_697 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_227_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105344,35 +104844,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_227_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_736 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_747 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_754 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_227_756 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_227_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_768 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_227_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_227_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105380,15 +104876,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_227_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_810 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_227_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105400,19 +104900,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_227_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_227_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_852 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_227_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_864 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_227_868 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_227_876 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_227_883 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_227_887 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105420,23 +104936,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_926 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_227_919 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_227_930 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_227_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_940 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_227_926 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_227_946 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_227_932 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_227_942 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_227_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105444,23 +104968,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_227_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_227_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_227_975 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_227_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_227_987 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_227_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_227_99 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_227_999 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_227_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105484,6 +105004,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_228_104 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_8 FILLER_228_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -105492,23 +105016,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_228_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_228_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_228_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_143 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_228_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_228_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105516,63 +105036,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_228_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_228_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_228_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_228_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_228_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_228_210 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_227 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_240 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_247 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_228_251 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_255 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_228_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_228_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105580,7 +105076,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_228_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105588,11 +105084,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_29 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_228_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105608,23 +105100,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_228_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_228_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_228_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_228_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_228_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105632,31 +105124,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_228_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_228_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_228_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_228_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_228_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_228_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_228_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_228_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_228_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_415 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_228_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_228_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105676,6 +105164,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_228_46 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_228_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -105724,10 +105216,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_57 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_228_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -105740,6 +105228,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_228_59 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_228_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -105752,19 +105244,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_228_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_228_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_228_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_228_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_228_653 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_228_657 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_228_663 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_228_670 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_228_679 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_228_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105772,67 +105284,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_228_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_228_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_228_747 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_228_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_228_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_228_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_762 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_796 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_228_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_228_783 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_787 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_228_791 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_795 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_228_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105840,23 +105336,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_228_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_228_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_228_848 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_228_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_228_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_228_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_228_862 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_228_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105864,47 +105364,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_228_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_228_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_228_916 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_894 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_228_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_914 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_228_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_228_957 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_228_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_228_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_228_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_228_965 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_228_972 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_228_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105912,10 +105408,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_228_99 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_228_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -105932,11 +105424,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_229_1033 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_229_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_229_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_229_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105948,6 +105440,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_229_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_229_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -105960,11 +105456,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_229_134 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_229_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -105972,43 +105464,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_229_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_229_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_229_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_229_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_229_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_229_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_229_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_229_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_229_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_229_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_229_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106016,7 +105512,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_229_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106024,15 +105520,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_229_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_229_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_229_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_229_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106040,47 +105536,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_229_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_229_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_229_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_229_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_229_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_229_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_229_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_229_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_229_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_229_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_229_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_229_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_229_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_229_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_229_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106164,10 +105660,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_229_57 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_229_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -106180,6 +105672,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_229_60 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_229_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -106192,19 +105688,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_229_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_229_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_229_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_229_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_229_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_654 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_229_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106216,31 +105716,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_229_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_229_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_229_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_706 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_229_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_229_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_229_729 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106248,27 +105744,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_753 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_76 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_229_766 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_229_772 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_229_775 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_229_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106284,87 +105764,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_229_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_229_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_229_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_229_848 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_229_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_862 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_229_863 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_868 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_884 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_874 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_229_890 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_880 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_886 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_229_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_229_927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_903 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_229_944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_910 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_229_955 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_930 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_229_943 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_229_951 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_961 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_967 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_229_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_229_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106380,67 +105840,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_1017 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_22_1030 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_1039 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_1051 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_12 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_22_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_22_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_18 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_186 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_22_192 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_22_207 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_22_224 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_22_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106448,51 +105924,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_22_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_325 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_22_330 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_22_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106500,39 +105984,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_22_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_22_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_437 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_22_450 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_22_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106544,87 +106036,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_506 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_560 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_22_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_647 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106632,27 +106120,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_22_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_743 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_740 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_22_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106660,15 +106152,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_795 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_769 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_22_778 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_22_782 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_22_793 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_22_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106676,79 +106184,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_802 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_22_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_817 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_22_847 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_860 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_884 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_898 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_910 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_22_922 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_932 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_934 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_942 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_947 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_22_973 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_971 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106756,11 +106260,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_22_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_993 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106768,10 +106272,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_101 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_230_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -106788,11 +106288,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_230_1049 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_230_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_230_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_230_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106800,19 +106300,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_230_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_230_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_230_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_230_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106820,47 +106320,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_230_159 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_230_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_230_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_230_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_230_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_230_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_230_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_230_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_230_243 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_230_246 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_230_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106868,23 +106380,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_230_269 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_230_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_230_275 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_279 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_230_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_230_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106892,7 +106392,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_230_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_230_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106904,23 +106404,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_230_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_230_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_230_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_336 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_230_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_230_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_230_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_230_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_230_350 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_230_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_230_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106940,7 +106448,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_230_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_230_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -106960,10 +106468,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_44 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_230_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -106988,6 +106492,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_230_49 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_230_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -107020,10 +106528,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_58 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_230_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -107048,75 +106552,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_230_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_230_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_230_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_230_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_230_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_230_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_230_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_230_748 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_734 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_230_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_775 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_230_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_230_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_230_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_230_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_230_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_230_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_230_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_771 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_230_777 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_230_785 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_230_788 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_230_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107124,27 +106644,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_230_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_230_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_846 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_838 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_230_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_844 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_857 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_863 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_230_859 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107160,31 +106672,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_230_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_883 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_230_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_890 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_230_899 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_230_903 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_230_903 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_230_909 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_230_917 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_230_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_230_918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107196,19 +106700,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_230_952 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_958 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_230_958 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_230_964 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_230_970 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_230_978 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_230_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107220,7 +106720,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_231_1001 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_1003 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107244,6 +106744,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_231_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_2 FILLER_231_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -107252,11 +106756,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_231_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_231_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107264,55 +106768,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_158 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_231_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_231_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_231_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_231_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_231_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_231_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_231_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_231_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_231_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_231_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_231_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_231_235 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_231_241 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_231_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107328,7 +106820,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_231_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_290 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_231_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107336,43 +106832,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_231_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_231_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_231_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_231_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_231_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_231_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_366 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_231_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_231_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_231_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_231_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_231_385 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_231_39 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_231_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_231_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107408,10 +106896,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_231_47 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_231_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -107432,11 +106916,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_231_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_231_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107448,6 +106932,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_231_55 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_231_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -107460,6 +106948,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_231_57 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_231_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -107492,43 +106984,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_231_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_231_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_231_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_231_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_706 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_231_671 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_231_680 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_689 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_698 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_231_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107536,51 +107012,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_231_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_231_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_231_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_772 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_231_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_231_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_801 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_231_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_812 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_231_814 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_231_822 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_231_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107588,15 +107068,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_231_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_231_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_863 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_231_866 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_874 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_231_880 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_231_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107604,43 +107092,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_231_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_231_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_915 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_907 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_231_947 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_231_922 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_231_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_231_926 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_231_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_231_946 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_231_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_231_955 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_231_977 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_231_967 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_231_989 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_231_979 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_231_99 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_231_991 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107652,10 +107148,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_232_102 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_232_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -107676,15 +107168,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_232_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_232_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_232_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_232_129 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_232_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_232_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107692,75 +107196,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_232_145 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_232_149 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_232_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_232_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_232_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_232_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_232_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_232_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_232_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_232_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_232_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_232_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_216 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_222 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_228 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_234 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_240 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_232_246 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_232_253 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_232_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107768,7 +107252,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107776,7 +107260,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_232_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107788,51 +107272,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_232_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_232_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_232_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_232_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_336 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_232_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_232_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_232_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_232_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_232_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_232_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_232_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_232_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_232_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_232_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_232_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_232_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_232_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_232_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_232_413 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_232_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_232_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107872,10 +107352,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_51 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_232_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -107884,6 +107360,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_232_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_232_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -107904,10 +107384,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_57 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_232_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -107932,31 +107408,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_232_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_232_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_232_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_232_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_232_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_232_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_232_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_232_685 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_232_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107964,23 +107436,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_232_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_232_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_232_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_232_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_232_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_232_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_232_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_232_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_232_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_721 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_232_725 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_232_728 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_232_734 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_232_74 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_232_742 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_232_751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -107988,43 +107484,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_232_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_232_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_232_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_232_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_791 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_232_786 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_232_804 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_232_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_232_804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_817 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_232_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_232_829 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_232_835 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_232_838 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_232_847 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_232_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_232_853 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_232_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108032,59 +107552,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_232_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_232_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_882 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_908 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_232_894 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_232_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_232_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_232_918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_232_931 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_232_927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_935 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_232_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_232_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_232_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_232_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_232_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_232_943 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_232_977 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_232_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_232_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_232_961 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_232_973 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_232_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108108,10 +107636,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_101 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_233_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -108124,10 +107648,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_233_105 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_2 FILLER_233_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -108136,27 +107656,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_233_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_233_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_233_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_233_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_140 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_233_144 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_233_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_233_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108164,31 +107676,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_233_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_233_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_233_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_233_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_233_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_233_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_233_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_233_191 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_233_194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_233_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108200,11 +107720,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_233_229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_233_246 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_233_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108216,7 +107744,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_233_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_233_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108224,39 +107752,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_233_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_233_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_233_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_233_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_233_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_233_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_233_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_233_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_233_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_233_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_233_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_233_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_233_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108264,10 +107792,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_233_391 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_233_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -108352,7 +107876,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_233_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108392,31 +107916,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_233_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_233_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_233_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_233_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_233_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_233_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_233_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_233_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_233_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_233_696 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_233_699 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_233_70 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_233_703 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_233_706 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_233_712 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_233_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108424,99 +107972,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_233_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_233_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_233_734 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_233_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_233_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_233_756 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_233_763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_233_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_790 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_233_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_233_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_810 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_233_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_233_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_233_804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_233_847 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_233_810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_233_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_863 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_233_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_233_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_233_875 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_233_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_233_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_233_843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_915 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_233_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_233_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_233_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_233_946 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_233_873 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_233_879 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_233_885 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_233_891 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_233_895 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_233_897 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_233_901 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_233_905 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_233_925 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_233_945 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_233_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108532,6 +108120,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_233_98 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_233_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -108564,19 +108156,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_234_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_234_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_234_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_234_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108584,47 +108180,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_234_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_234_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_234_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_234_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_234_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_234_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_234_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_234_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_234_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_234_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_234_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_234_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_234_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_234_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_234_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_234_251 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_234_255 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_234_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108632,11 +108240,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_234_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_234_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108644,7 +108252,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_234_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_234_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108652,27 +108260,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_234_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_234_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_234_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_234_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_234_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_234_333 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_234_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_234_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108680,19 +108284,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_234_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_234_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_234_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_234_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_234_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_234_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_234_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_234_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108700,11 +108304,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_234_413 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_234_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108752,7 +108352,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_234_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108788,10 +108388,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_59 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_234_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -108812,39 +108408,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_234_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_234_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_234_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_234_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_234_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_234_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_234_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_234_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_234_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_234_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_234_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_706 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_234_712 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_234_718 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_234_72 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_234_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108852,7 +108464,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108860,67 +108472,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_234_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_234_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_786 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_234_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_234_786 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_234_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_838 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_234_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_234_852 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_855 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_234_861 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_234_867 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_871 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_877 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_234_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_234_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108928,15 +108520,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_234_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_898 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_906 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_234_918 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_919 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_234_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108944,27 +108540,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_234_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_234_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_234_939 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_234_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_234_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_234_957 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_234_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_234_963 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_234_977 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_234_975 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_234_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -108996,10 +108596,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_235_104 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_235_1045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -109012,15 +108608,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_235_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_235_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109028,27 +108624,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_235_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_235_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_235_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_235_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_235_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_197 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_235_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109056,31 +108656,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_235_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_235_242 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_247 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_235_251 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_261 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109088,7 +108672,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_235_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_235_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109096,11 +108680,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_235_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_235_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109108,47 +108692,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_235_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_235_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_235_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_235_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_235_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_235_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_235_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_235_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_235_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_235_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_235_373 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_235_385 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_235_39 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_235_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_235_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109184,10 +108756,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_235_47 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_235_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -109208,11 +108776,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_235_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_235_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109224,6 +108792,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_235_55 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_235_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -109272,55 +108844,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_235_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_235_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_235_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_235_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_235_702 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_235_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_702 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_235_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_235_722 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_235_729 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_734 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_746 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109328,107 +108884,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_235_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_235_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_235_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_235_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_796 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_235_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_235_827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_235_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_235_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_235_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_235_851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_235_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_862 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_882 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_235_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_235_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_868 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_235_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_874 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_235_926 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_880 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_235_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_886 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_892 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_899 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_906 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_235_912 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_235_916 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_235_919 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_235_931 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_235_943 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_235_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_235_950 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109444,7 +108964,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_235_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_235_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109452,11 +108972,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_236_1005 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_236_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_236_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_236_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109476,6 +108996,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_236_104 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_8 FILLER_236_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -109484,19 +109008,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_236_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_236_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_236_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_236_132 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109504,47 +109024,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_236_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_236_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_236_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_236_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_236_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_236_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_236_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_236_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_236_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_236_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_236_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_236_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109552,15 +109076,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_236_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_236_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_236_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_236_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_279 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_236_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109568,27 +109096,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_236_292 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_236_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_236_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_236_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_236_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_236_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_236_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_236_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_236_326 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_236_330 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_236_336 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_236_346 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_236_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109608,7 +109156,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_236_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_236_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109636,10 +109184,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_46 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_236_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -109668,6 +109212,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_236_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_236_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -109688,6 +109236,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_236_58 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_236_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -109700,10 +109252,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_59 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_236_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -109724,27 +109272,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_236_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_236_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_236_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_236_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_236_673 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_676 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_236_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_236_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109752,87 +109292,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_236_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_719 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_236_743 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_236_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_236_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_236_786 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_236_786 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_236_803 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_236_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_236_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_236_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_236_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_236_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_236_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_236_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_236_884 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_908 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_878 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_884 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_890 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_896 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_236_902 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_236_908 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_236_914 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109848,6 +109368,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_236_94 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_236_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -109884,10 +109408,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_102 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_237_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -109908,11 +109428,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_237_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_237_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_237_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_117 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_237_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109920,7 +109444,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_237_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109932,11 +109456,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_237_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_237_200 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_237_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109944,23 +109472,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_237_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_237_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_237_257 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_261 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_237_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_237_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109968,15 +109488,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_237_271 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_237_275 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_237_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_237_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_237_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -109984,27 +109512,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_237_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_237_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_237_334 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_237_337 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_237_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_237_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110020,7 +109540,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_237_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_237_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110060,10 +109580,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_237_47 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_237_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -110084,11 +109600,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_237_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_237_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110100,6 +109616,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_237_55 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_237_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -110112,6 +109632,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_237_57 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_237_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -110136,19 +109660,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_237_62 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_237_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_237_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_237_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_237_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_237_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_237_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110156,135 +109684,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_237_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_237_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_237_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_237_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_237_719 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_237_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_237_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_237_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_237_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_747 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_754 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_772 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_237_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_237_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_237_831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_237_804 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_237_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_237_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_237_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_237_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_237_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_859 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_237_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_879 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_237_907 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_237_919 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_237_931 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_237_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_237_943 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_906 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_237_912 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_237_924 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_237_936 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_237_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_237_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110316,6 +109828,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_238_103 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_238_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -110332,7 +109848,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_238_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110340,15 +109860,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_238_141 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_238_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_238_152 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_238_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110360,43 +109888,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_238_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_238_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_238_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_238_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_238_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_238_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_238_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_238_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_238_251 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_238_255 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_238_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_238_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110404,7 +109924,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_238_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_238_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_238_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110412,11 +109936,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_238_291 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110424,23 +109944,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_238_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_238_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_238_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_238_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_238_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_238_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_238_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_238_336 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_238_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110448,27 +109972,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_238_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_238_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_238_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_238_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_238_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_238_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_238_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_238_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_238_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_238_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_238_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110508,10 +110032,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_238_49 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_238_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -110524,6 +110044,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_238_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_238_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -110564,6 +110088,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_238_62 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_238_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -110576,63 +110104,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_238_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_238_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_238_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_238_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_238_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_238_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_238_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_238_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_238_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_238_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_238_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_238_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_238_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_238_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_719 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_238_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_238_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_238_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_238_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_238_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_238_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110640,31 +110168,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_238_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_238_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_779 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_785 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_791 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_797 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110672,43 +110184,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_238_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_238_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_238_817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_238_848 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_238_854 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_238_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_238_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_238_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_238_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_238_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_238_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_238_860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_908 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_238_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_238_915 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_238_884 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_238_905 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_238_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110728,7 +110248,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_238_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_238_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110748,10 +110268,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_238_99 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_238_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -110784,19 +110300,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_239_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_239_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_239_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110804,39 +110324,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_239_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_239_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_239_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_239_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_239_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_239_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_239_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_213 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_239_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110844,27 +110360,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_239_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_239_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_239_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_239_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_239_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_239_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_239_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_239_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110872,23 +110388,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_239_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_239_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_239_281 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_239_284 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110896,31 +110404,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_239_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_239_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_239_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_239_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_239_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_239_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_239_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_239_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_239_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_239_377 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_239_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -110928,10 +110440,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_239_391 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_239_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -111016,6 +110524,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_239_57 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_239_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -111028,10 +110540,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_239_60 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_239_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -111056,31 +110564,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_239_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_239_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_239_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_239_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_239_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_239_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_239_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_239_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_239_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111096,27 +110604,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_239_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_76 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_239_761 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_764 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_239_770 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111124,39 +110620,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_239_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_239_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_239_795 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_239_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_239_803 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_239_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_239_824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_239_825 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_239_831 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_239_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111164,11 +110652,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_859 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_852 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_239_863 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_239_856 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_239_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111176,27 +110668,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_886 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_239_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_239_880 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_239_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_239_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_239_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_239_894 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_239_932 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_239_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_239_944 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_239_909 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_239_921 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_239_933 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_239_945 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_239_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111208,10 +110716,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_239_97 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_239_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -111220,27 +110724,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_10 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_1000 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_1000 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_1025 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_1045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_1049 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111248,27 +110752,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_16 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_137 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_23_143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_23_149 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_23_156 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_23_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111276,31 +110796,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_23_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111308,11 +110828,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_23_256 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_23_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111320,119 +110848,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_23_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_458 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_462 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_475 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_48 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_488 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_495 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111444,15 +110948,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111460,15 +110964,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_597 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_23_6 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_23_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111476,7 +110988,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111484,83 +110996,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_706 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_23_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_724 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_742 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_748 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_752 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_758 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_772 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111568,83 +111056,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_23_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_817 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_826 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_846 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_852 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_863 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_884 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_875 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_879 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_886 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_23_894 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_903 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_940 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_929 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_23_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_941 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_23_948 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_23_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111652,23 +111148,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_964 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_971 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_975 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_979 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_992 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_988 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111692,10 +111180,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_240_104 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_8 FILLER_240_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -111704,23 +111188,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_240_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_240_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_240_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_240_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111728,55 +111212,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_240_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_240_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_240_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_240_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_240_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_240_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_240_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_240_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_240_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_240_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_240_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_240_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_240_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_240_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_240_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_240_251 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_240_255 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_240_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111784,11 +111276,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_240_282 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_240_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111796,7 +111284,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111804,7 +111292,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_240_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111812,11 +111300,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_333 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_240_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_240_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -111964,47 +111448,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_240_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_240_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_240_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_240_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_240_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_240_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_240_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_240_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_746 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_240_735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_240_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_240_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112012,123 +111496,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_240_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_240_784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_240_804 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_240_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_240_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_240_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_240_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_847 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_240_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_240_854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_240_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_863 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_240_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_840 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_240_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_240_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_240_879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_240_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_240_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_240_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_240_885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_240_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_871 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_240_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_240_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_884 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_240_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_240_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_904 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_240_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_240_917 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_240_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_240_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_240_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_240_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_240_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_240_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_240_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_240_945 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_240_957 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_240_96 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_240_969 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_240_977 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_240_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112164,31 +111632,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_241_105 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_2 FILLER_241_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_241_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_241_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_241_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_141 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_241_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112196,19 +111664,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_241_150 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_153 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_241_159 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_241_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112220,47 +111676,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_241_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_241_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_241_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_241_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_241_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_241_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_241_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_241_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_241_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_248 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112272,7 +111724,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_241_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_241_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112280,43 +111736,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_241_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_241_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_241_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_241_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_241_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_241_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_241_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_241_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_241_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_241_361 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_241_373 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_241_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_241_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112464,35 +111912,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_241_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_241_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_241_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_241_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_241_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_241_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_241_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_241_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_241_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112500,11 +111948,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_241_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_241_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_772 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_241_776 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_241_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112512,19 +111968,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_241_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_241_807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_814 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_241_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_241_820 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112532,47 +111984,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_241_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_241_859 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_241_880 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_241_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_241_886 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_856 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_241_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_241_862 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_241_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_241_870 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_241_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_241_921 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_241_880 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_241_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_241_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_241_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_241_894 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_241_939 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_241_897 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_241_909 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_241_921 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_241_933 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_241_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112624,15 +112092,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_242_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_242_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112640,7 +112108,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112648,47 +112116,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_242_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_242_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_242_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_242_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_242_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_242_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_242_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_242_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_242_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112696,11 +112164,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_242_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_242_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112708,11 +112176,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_290 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112720,35 +112184,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_242_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_242_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_242_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_242_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_242_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_242_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_242_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_242_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_242_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_242_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_242_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112900,27 +112364,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_242_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_242_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_242_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_242_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_242_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_242_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_242_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_242_748 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_734 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_242_747 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_242_755 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_242_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112928,23 +112404,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_242_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_242_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_783 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_796 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_242_803 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_242_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112952,11 +112420,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_242_819 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_242_822 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_242_828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -112964,51 +112440,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_242_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_242_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_242_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_852 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_242_852 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_863 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_242_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_242_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_242_871 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_242_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_887 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_242_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_893 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_242_907 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_906 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_919 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_242_912 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_242_918 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_242_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113024,11 +112496,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_242_961 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_242_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_242_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_242_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113076,19 +112548,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_243_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_243_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_243_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_243_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_243_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_243_123 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_243_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113096,27 +112572,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_151 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_243_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_243_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_243_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_243_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_243_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_243_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_243_197 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_243_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113124,15 +112600,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_243_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_243_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_243_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_243_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_243_259 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_243_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113140,11 +112624,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_243_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_243_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_243_279 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_243_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_243_287 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_243_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113152,15 +112648,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_243_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_243_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_243_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_243_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_243_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_243_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113336,15 +112832,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_243_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_243_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_243_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_243_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_243_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_243_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113352,31 +112848,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_243_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_243_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_243_748 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_243_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_752 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_243_758 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_243_764 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_243_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113384,27 +112868,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_243_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_243_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_243_796 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_243_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_243_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_243_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_243_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_243_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_243_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_243_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_243_826 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_243_830 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_243_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113412,47 +112904,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_863 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_243_854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_243_874 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_243_858 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_243_882 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_243_870 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_243_887 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_243_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_906 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_243_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_243_912 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_243_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_243_924 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_243_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_243_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_243_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_243_936 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_243_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_243_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_243_94 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_243_945 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_243_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113500,11 +113000,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_244_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_244_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_244_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_116 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_244_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113512,15 +113016,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_244_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_244_182 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_244_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113528,39 +113028,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_244_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_244_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_244_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_244_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_244_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_244_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_244_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_244_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_244_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_244_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_244_262 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_244_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_244_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113568,15 +113064,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_244_275 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_244_278 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_244_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_244_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113584,7 +113072,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_244_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_290 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_244_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113592,10 +113084,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_244_305 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_244_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -113780,27 +113268,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_244_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_244_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_244_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_244_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_244_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_244_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_244_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_244_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_244_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_752 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_244_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113808,19 +113300,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_244_790 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_244_803 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_244_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_244_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_244_804 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_244_808 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_244_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113828,19 +113328,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_244_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_244_844 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_244_841 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_244_85 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_244_858 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_244_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113848,15 +113340,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_244_871 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_244_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_244_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_244_874 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_244_904 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_244_886 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_244_898 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113884,10 +113380,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_244_97 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_244_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -113928,19 +113420,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_245_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_2 FILLER_245_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_245_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_245_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_245_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_245_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113948,11 +113444,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_245_154 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_245_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -113960,43 +113452,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_245_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_245_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_245_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_245_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_245_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_245_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_245_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_245_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_245_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_245_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_245_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_245_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_245_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_245_250 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_245_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -114004,15 +113492,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_245_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_245_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_245_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_245_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_245_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_245_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -114020,19 +113508,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_245_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_245_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_245_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_245_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_245_329 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_245_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -114216,43 +113700,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_245_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_245_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_245_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_245_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_245_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_245_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_245_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_245_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_245_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_245_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_245_801 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_245_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_245_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_245_810 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_245_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_245_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_245_794 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_245_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_245_818 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_245_826 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -114260,39 +113756,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_245_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_245_868 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_245_845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_245_890 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_245_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_245_912 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_245_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_245_924 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_245_882 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_245_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_245_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_245_936 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_245_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_245_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_245_909 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_245_921 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_245_933 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_245_945 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_245_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -114336,7 +113848,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_246_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_246_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -114344,23 +113856,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_246_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_246_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_246_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_246_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_246_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_246_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_246_144 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_246_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_246_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -114368,35 +113876,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_246_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_246_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_246_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_246_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_246_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_246_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_246_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_246_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_246_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_246_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_246_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_246_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_246_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_246_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_246_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_246_214 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_246_219 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_246_231 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_246_243 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_246_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -114404,7 +113928,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_246_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_246_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -114412,11 +113936,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_246_277 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_246_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_246_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -114424,11 +113944,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_246_291 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_246_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_246_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_246_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -114620,19 +114144,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_246_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_246_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_246_735 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_246_741 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_246_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_246_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -114644,7 +114160,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_246_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_246_759 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_246_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -114652,23 +114172,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_246_772 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_246_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_246_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_246_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_246_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_246_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_246_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_246_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_246_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_246_806 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_246_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -114676,43 +114200,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_246_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_246_842 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_246_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_246_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_246_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_246_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_246_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_246_854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_246_863 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_246_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_246_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_246_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_246_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_246_883 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_246_887 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_246_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_246_899 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_246_907 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_246_911 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_246_919 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -114732,11 +114256,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_246_961 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_246_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_246_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_246_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -114756,6 +114280,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_247_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_247_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -114780,35 +114308,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_247_105 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_2 FILLER_247_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_247_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_247_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_247_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_247_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_247_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_247_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_247_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_247_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_247_135 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_247_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_247_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -114816,7 +114336,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_247_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_247_153 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_247_157 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_247_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -114824,23 +114352,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_247_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_247_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_247_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_247_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_247_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_247_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_247_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_247_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_247_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_247_186 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_247_198 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_247_210 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_247_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -114848,15 +114388,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_247_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_247_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_247_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_247_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_247_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_247_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -114864,6 +114404,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_247_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_247_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -115084,27 +114628,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_247_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_247_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_247_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_247_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_247_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_247_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_247_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_247_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_247_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_247_763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_247_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_247_767 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_247_770 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_247_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -115112,15 +114664,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_247_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_247_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_247_801 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_247_804 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_247_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -115128,47 +114676,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_247_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_247_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_247_824 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_247_824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_247_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_247_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_247_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_247_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_247_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_247_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_247_845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_247_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_247_852 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_247_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_247_858 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_247_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_247_870 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_247_879 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_247_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_247_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -115188,7 +114728,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_247_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_247_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -115280,11 +114820,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_248_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_248_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_248_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_248_173 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_248_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -115576,15 +115120,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_248_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_248_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_248_801 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_248_804 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_248_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -115592,35 +115132,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_248_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_248_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_248_817 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_248_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_248_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_248_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_248_827 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_248_830 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_248_836 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_248_843 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_248_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_248_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -115628,11 +115152,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_248_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_248_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_248_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_248_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -115696,23 +115220,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_1003 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_10 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_1019 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_1025 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_24_1033 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_1029 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_24_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -115728,71 +115256,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_12 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_18 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_20 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_277 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_24_280 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_24_288 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_24_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_24_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -115800,51 +115344,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_24_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -115852,7 +115396,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_403 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_24_409 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_24_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -115860,175 +115412,187 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_24_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_24_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_24_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_24_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_24_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_24_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_24_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_746 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_24_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_786 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_803 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_24_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_796 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_840 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_818 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_24_824 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_24_845 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_24_852 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -116036,75 +115600,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_873 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_901 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_24_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_902 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_908 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_912 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_956 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_974 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_997 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_952 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_1018 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_1025 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_1049 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_101 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_25_1021 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_25_1033 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_25_1045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -116112,27 +115688,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_12 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_14 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_25_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -116140,55 +115720,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_247 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_25_264 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_25_27 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_25_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -116196,115 +115788,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_25_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_25_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_25_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_25_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_521 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -116312,99 +115900,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_25_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_25_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_25_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_25_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_25_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_736 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_766 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_25_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_25_770 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_25_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -116412,23 +116012,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_8 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_817 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -116436,99 +116036,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_852 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_844 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_856 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_876 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_884 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_903 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_25_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_939 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_916 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_928 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_940 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_978 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_982 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_963 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_992 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_975 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_998 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_987 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_1006 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_999 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_1012 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_10 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_1039 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_1051 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_12 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_1037 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_26_1049 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_26_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_26_1057 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_26_113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_26_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_26_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -116536,19 +116160,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_18 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_16 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_26_169 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_26_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -116560,35 +116192,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_26_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_26_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_26_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_26_243 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_26_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -116596,11 +116236,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_27 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_26_270 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_26_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -116608,11 +116256,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_294 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -116620,19 +116264,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_26_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_345 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -116640,11 +116280,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_26_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -116652,67 +116292,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_26_39 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_26_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_26_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_26_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_26_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -116720,27 +116364,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_572 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_26_578 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_26_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -116748,15 +116400,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_26_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_610 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_26_630 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_26_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -116764,47 +116424,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_26_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_26_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_26_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_26_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_73 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_743 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -116812,11 +116468,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_790 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_761 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_26_775 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_26_782 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_26_786 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_26_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -116828,147 +116500,131 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_852 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_854 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_858 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_860 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_26_866 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_880 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_901 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_912 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_907 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_26_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_939 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_943 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_949 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_952 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_26_974 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_972 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_984 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_990 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_996 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_27_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_27_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_1015 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_1048 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_1045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_27_1056 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_27_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_139 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_145 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_15 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_151 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -116976,7 +116632,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -116984,23 +116640,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_21 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_211 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117008,31 +116656,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_263 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_269 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117040,39 +116680,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_27_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_353 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_27_359 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_27_362 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_27_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117080,99 +116732,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_27_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_27_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_27_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117180,67 +116832,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_27_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_683 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_27_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117252,95 +116908,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_756 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_795 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_826 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_848 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_860 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_846 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_866 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_852 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_870 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_876 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_883 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_879 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_890 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_889 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_895 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_9 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_90 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_902 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117348,55 +116988,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_943 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_956 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_980 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_966 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_993 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_985 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_999 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_1008 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_1013 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_1019 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_28_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_1046 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_1037 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_28_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117404,227 +117048,159 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_1052 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_28_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_1058 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_13 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_14 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_28_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_28_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_28_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_355 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_363 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_367 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_371 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_374 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_38 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_382 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_390 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_41 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_410 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_416 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_28_421 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_426 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_446 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_450 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_461 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_470 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117632,23 +117208,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_28_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_519 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117656,27 +117228,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_560 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_564 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117684,95 +117248,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_28_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_770 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_8 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_779 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_28_787 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_28_791 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_28_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117780,47 +117356,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_804 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_819 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_824 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_846 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_852 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_840 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_845 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_85 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_852 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_859 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117828,11 +117388,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_877 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117840,11 +117396,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_903 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_911 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_28_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117852,35 +117412,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_959 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_967 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_972 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_983 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_981 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_988 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_994 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117888,27 +117440,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_1019 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_1025 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_1042 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_1054 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_1045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_1058 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_29_1057 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_29_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_29_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117916,23 +117476,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117940,43 +117500,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_29_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_243 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_29_251 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_29_255 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_29_26 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_29_262 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_29_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -117984,27 +117564,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_325 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118012,35 +117588,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_379 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118048,55 +117620,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_29_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_29_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_466 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_474 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_484 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118108,51 +117668,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_588 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118164,59 +117724,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_29_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_29_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_702 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_71 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118224,147 +117780,135 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_29_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_812 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_29_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_848 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_852 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_860 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_871 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_9 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_29_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_915 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_929 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_931 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_939 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_947 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_968 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_978 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_985 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_957 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_968 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_976 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_98 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_982 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_992 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118396,6 +117940,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_2_104 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_2_1042 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -118404,95 +117952,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_13 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_2_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_18 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_2_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_2_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118508,79 +118056,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_2_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_2_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_498 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_5 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_504 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_514 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_520 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118588,67 +118116,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_2_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_2_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_616 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_2_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_64 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_2_642 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_665 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118660,23 +118176,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_732 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_736 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118684,11 +118192,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_769 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_2_796 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118696,23 +118208,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_2_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_859 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118720,15 +118228,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_2_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_2_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_887 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_887 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_899 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_9 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118740,31 +118248,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_928 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_934 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_946 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_949 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_2_958 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_961 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_973 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_970 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_2_978 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118776,15 +118284,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_30_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_30_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_1025 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_1011 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_1031 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_1023 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118792,43 +118304,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_1046 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_1052 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_30_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_1058 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_12 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_30_143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_30_15 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_30_151 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_30_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_30_170 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_30_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118840,11 +118372,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_21 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_30_215 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_30_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118852,7 +118392,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118860,11 +118400,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_30_267 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_30_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_30_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118872,111 +118424,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_30_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_30_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_30_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_30_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_30_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_30_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_433 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_445 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_467 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_475 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_477 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_487 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -118984,19 +118512,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_30_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -119004,15 +118532,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_30_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_547 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_30_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -119020,15 +118552,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_560 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_30_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -119036,39 +118568,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_30_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_30_6 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_30_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_627 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_64 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -119076,15 +118600,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_30_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_30_682 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_30_69 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_30_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -119092,19 +118624,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_30_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_728 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -119112,10 +118644,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_748 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_30_751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -119124,19 +118652,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_30_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_30_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_778 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_30_782 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_30_787 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_30_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -119144,23 +118684,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_804 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_848 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_30_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_854 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -119168,55 +118708,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_875 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_893 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_914 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_905 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_917 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_30_932 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_936 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_955 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_942 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_950 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_963 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_966 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -119224,35 +118760,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_997 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_1003 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_31_1013 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_1022 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_1042 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_1011 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_31_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_1023 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_1054 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_1058 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_1047 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_31_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -119260,15 +118800,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_31_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_31_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_31_131 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_31_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -119276,6 +118824,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_31_15 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_31_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -119284,19 +118836,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_31_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_205 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_31_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -119304,47 +118860,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_31_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_285 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_31_288 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_31_312 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_31_320 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_31_324 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_31_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -119352,27 +118928,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_31_35 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_352 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_372 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_31_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -119380,35 +118944,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_31_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -119416,63 +118980,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_31_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_31_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_31_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_597 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_31_60 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_31_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -119480,171 +119052,171 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_31_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_31_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_31_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_706 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_31_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_758 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_31_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_8 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_824 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_846 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_874 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_844 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_31_893 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_906 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_921 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_929 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_932 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_932 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_939 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_942 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_31_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_958 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_964 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_31_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_974 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_982 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -119652,83 +119224,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_1016 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_1022 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_1013 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_1031 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_32_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_1039 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_1051 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_32_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_13 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_203 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_32_209 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_32_22 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_32_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -119736,19 +119320,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_32_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_27 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -119756,11 +119336,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_32_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_302 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_32_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -119768,31 +119352,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_329 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_335 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_345 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -119800,91 +119372,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_32_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_553 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -119892,91 +119460,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_32_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_735 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_744 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -119984,31 +119544,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_32_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_784 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_798 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_32_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_32_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120016,107 +119584,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_819 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_842 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_856 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_879 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_888 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_896 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_906 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_912 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_32_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_954 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_974 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_952 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_990 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_33_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_33_1019 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_1025 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_1047 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_1045 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_33_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_33_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120124,23 +119700,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_13 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_33_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_13 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_153 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_33_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120148,7 +119720,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_33_178 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_33_188 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_33_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120156,19 +119740,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_33_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_220 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_33_225 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_33_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120176,19 +119768,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_256 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_262 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120196,59 +119780,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_33_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_33_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_33_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_33_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_33_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_33_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_366 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_33_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_33_374 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_33_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_33_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120256,63 +119848,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_33_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_33_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_33_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_505 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_33_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120320,39 +119916,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_33_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_33_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_33_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_33_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_604 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_33_610 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_33_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120360,19 +119964,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_654 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_33_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120380,27 +119980,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_33_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_687 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_695 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_33_703 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_33_702 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120408,99 +119996,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_33_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_743 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_33_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_33_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_33_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_33_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_848 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_856 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_33_894 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_883 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_9 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_33_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_922 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_33_930 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_930 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_936 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_943 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_33_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120508,47 +120088,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_956 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_33_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_975 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_978 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_985 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_980 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_1001 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_34_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_1014 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_1006 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_34_1022 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_1014 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_1026 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_1019 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_34_1034 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_1031 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_34_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120564,79 +120148,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_17 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_34_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_195 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_202 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_212 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_218 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_222 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_230 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120644,55 +120204,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_34_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_34_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_34_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120700,35 +120260,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_34_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_34_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_423 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_34_433 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_34_453 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_34_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120736,91 +120308,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_506 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_34_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_560 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_34_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_34_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_34_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120828,71 +120400,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_34_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_34_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_34_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_755 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_760 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_764 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_785 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120900,91 +120456,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_817 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_855 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_846 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_862 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_34_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_34_880 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_9 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_917 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_34_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_942 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_955 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_962 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_963 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -120996,47 +120544,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_983 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_983 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_35_1002 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_994 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_1013 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_10 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_35_1005 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_1011 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_1045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_1023 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_1038 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_1050 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_1058 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_35_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -121044,27 +120580,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_35_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_35_148 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_154 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_157 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -121072,91 +120596,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_35_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_35_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_35_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_35_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_35_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_35_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_35_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_35_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_35_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_346 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_353 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_35_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -121164,75 +120680,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_35_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_35_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_35_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_35_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_35_491 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_499 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_503 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_510 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -121240,7 +120740,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_522 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_35_535 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_35_541 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_35_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -121252,27 +120764,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_35_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_35_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_35_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_588 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_35_600 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_35_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -121280,179 +120796,171 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_35_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_35_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_706 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_35_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_35_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_35_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_35_763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_35_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_35_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_812 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_810 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_35_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_35_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_35_866 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_852 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_9 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_906 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_876 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_914 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_888 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_35_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_929 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_902 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_915 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_969 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_97 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_975 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_960 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -121460,19 +120968,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_993 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_35_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_1010 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_1013 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_1020 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_36_1028 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_1026 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -121480,11 +120984,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_1040 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_1046 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_1052 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -121492,35 +120996,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_13 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_150 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_156 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -121528,23 +121024,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_181 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_36_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_225 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_36_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -121552,6 +121048,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_36_242 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_36_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -121560,75 +121060,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_36_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_36_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_416 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_36_421 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_36_435 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_36_455 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_36_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -121636,31 +121152,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_498 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_501 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_507 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_514 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -121668,87 +121168,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_36_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_36_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_36_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_643 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_650 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_658 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_662 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -121756,19 +121240,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_718 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_36_721 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_36_729 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_36_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -121776,31 +121272,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_789 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_787 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_36_795 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_36_807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -121808,51 +121312,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_846 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_856 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_893 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_899 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_906 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_902 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_915 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -121860,39 +121356,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_928 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_949 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_946 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_966 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_973 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_960 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_966 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_984 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_36_978 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_998 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_36_989 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_36_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -121900,19 +121400,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_1018 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_1022 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_1038 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_1052 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_1050 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -121920,99 +121420,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_37_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_37_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_37_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_28 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_37_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -122020,27 +121520,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_37_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_359 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_36 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_376 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -122048,19 +121536,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_37_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -122068,43 +121556,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_514 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_37_518 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_37_528 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_37_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_37_549 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_37_55 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_37_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -122112,159 +121624,127 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_702 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_37_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_37_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_812 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_820 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_789 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_793 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_796 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_800 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_803 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_810 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_816 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_822 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -122272,107 +121752,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_862 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_37_863 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_868 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_37_893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_915 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_37_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_901 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_929 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_914 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_936 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_943 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_962 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_966 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_999 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_980 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_1008 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_984 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_1028 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_998 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1000 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_1046 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_1020 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_1058 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_1028 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_1053 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_38_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_13 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_38_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -122380,15 +121864,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_38_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_38_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -122396,43 +121880,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_38_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_258 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_38_266 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_38_27 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_38_272 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_38_278 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_38_284 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_38_290 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_38_294 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_38_297 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_38_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -122440,23 +121960,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_311 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_8 FILLER_38_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_33 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -122464,27 +121976,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_416 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_38_423 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_38_429 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_38_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -122492,39 +122016,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_38_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_38_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_38_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -122532,75 +122056,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_38_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_38_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_672 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_682 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -122608,19 +122124,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_732 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_38_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_38_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -122628,75 +122140,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_38_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_770 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_796 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_38_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_840 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_38_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_873 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_876 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_38_866 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_903 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_907 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -122704,191 +122212,187 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_38_918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_38_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_38_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_936 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_939 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_958 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_952 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_975 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_959 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_38_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_989 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_990 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_1002 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1025 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_1014 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_1027 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_1052 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_1047 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_1058 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_376 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_39_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -122900,19 +122404,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_427 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_39_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -122920,27 +122428,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_45 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_39_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_48 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_39_480 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_39_500 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_39_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -122948,19 +122464,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_52 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_520 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_540 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -122968,23 +122472,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_39_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -122992,19 +122496,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_39_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -123012,47 +122516,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_719 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_73 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_741 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_752 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -123060,43 +122552,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_812 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_39_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_39_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_855 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_869 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_87 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -123108,55 +122592,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_899 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_39_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_907 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_915 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_915 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_39_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_940 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_947 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_962 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_963 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_970 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_974 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_39_971 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_983 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -123172,10 +122652,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_101 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_3_1015 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -123196,31 +122672,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_16 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -123228,39 +122704,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_207 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_218 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_225 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_229 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -123268,7 +122728,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_268 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_3_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -123276,47 +122740,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_28 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_3_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_3_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_3_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -123324,63 +122792,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_3_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_525 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_535 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -123388,51 +122848,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_590 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_631 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_644 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -123440,63 +122892,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_3_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_736 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_858 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_841 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_3_844 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_3_856 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_3_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -123504,35 +122968,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_89 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_3_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_906 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_921 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_929 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_3_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -123544,10 +123004,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_3_97 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_3_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -123556,31 +123012,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1001 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_1005 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_1018 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_1028 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_1022 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_1040 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_1029 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_1035 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_1046 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_1052 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -123588,23 +123036,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_125 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_13 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -123616,147 +123056,127 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_38 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_381 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_40_389 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_393 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_400 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -123772,31 +123192,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_40_429 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_40_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_453 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_46 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_465 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_469 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -123804,23 +123212,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_505 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_518 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -123828,23 +123228,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_567 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_40_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -123852,15 +123256,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_62 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_40_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -123868,151 +123276,127 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_728 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_734 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_40_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_40_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_803 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_859 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_40_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_40_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_887 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_860 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_40_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_878 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_88 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_882 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_886 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_894 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_902 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_905 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_903 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124020,35 +123404,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_935 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_956 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_960 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_968 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_965 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_971 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_972 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124056,35 +123436,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_990 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_1000 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_996 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_999 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_41_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_1002 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_1019 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_1020 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_1033 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_1031 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_1045 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_1037 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_41_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124096,15 +123480,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_41_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_13 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124120,215 +123504,195 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_17 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_41_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_366 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_41_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_514 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_520 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_523 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_530 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_542 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124336,27 +123700,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_577 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_585 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124368,79 +123724,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_41_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_41_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_734 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_740 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_746 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124448,19 +123796,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_771 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124468,19 +123812,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_801 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_818 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_41_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124492,15 +123840,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_854 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_886 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_869 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_41_881 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_41_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124508,63 +123864,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_41_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_910 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_907 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_922 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_932 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_946 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_943 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_960 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_966 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_974 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_967 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_986 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_994 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_971 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_1000 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_988 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_41_996 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_1017 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1013 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_1029 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_1021 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_42_1027 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124572,31 +123932,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_1046 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_1049 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_1058 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_42_1057 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_108 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_112 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_116 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124604,7 +123948,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124612,7 +123956,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_148 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_42_154 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_42_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124624,19 +123976,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_202 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124644,27 +123992,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_262 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_42_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124672,11 +124024,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124684,19 +124036,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_316 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124704,39 +124052,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124744,99 +124092,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_42_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_42_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_552 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_558 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_564 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124844,27 +124180,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_616 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_622 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124872,31 +124204,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_651 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_657 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_664 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_668 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_674 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124904,15 +124220,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_684 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_688 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124920,35 +124228,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_706 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_723 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_729 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_739 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -124956,75 +124252,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_772 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_42_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_795 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_42_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_42_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_42_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_831 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_42_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_42_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_846 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_862 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_858 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_42_866 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_890 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_890 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_901 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_910 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -125032,35 +124328,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_929 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_937 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_94 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_942 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_950 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_954 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_962 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_959 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -125068,11 +124344,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_985 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_990 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_993 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_996 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -125080,43 +124356,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_1019 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_43_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_1033 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_1051 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_1046 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_1058 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_141 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -125124,11 +124396,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -125136,27 +124408,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_201 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_207 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -125164,15 +124428,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_250 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_43_254 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_43_257 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_43_26 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_43_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -125180,87 +124460,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_43_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_359 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_379 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_383 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_388 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_43_393 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -125268,47 +124528,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -125316,63 +124576,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_43_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_590 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_43_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_612 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_43_622 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_43_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -125384,199 +124652,183 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_702 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_758 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_43_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_814 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_817 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_826 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_43_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_43_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_43_849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_852 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_866 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_890 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_883 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_43_921 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_932 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_946 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_930 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_957 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_943 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_963 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_962 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_991 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_970 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_1000 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1003 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_988 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_1016 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_998 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_1010 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_1020 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_44_1028 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_103 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -125584,11 +124836,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -125596,39 +124848,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_220 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_44_230 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_44_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -125640,35 +124900,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_284 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_44_29 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_297 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -125676,19 +124924,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_340 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_44_347 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_44_353 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_44_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -125696,27 +124956,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_408 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_41 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -125724,19 +124976,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_44_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_44_456 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_44_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -125744,75 +125008,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_44_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_624 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_44_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -125820,39 +125088,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_695 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_699 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -125860,71 +125120,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_44_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_790 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_804 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_840 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_85 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_44_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -125932,71 +125184,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_874 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_880 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_901 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_915 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_9 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_910 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_44_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_964 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_972 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_985 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_954 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_992 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_958 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_998 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_1019 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_44_981 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_44_992 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_45_1006 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_45_1012 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_45_1020 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_45_1026 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -126012,7 +125284,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -126020,15 +125292,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_13 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_130 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_45_136 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_151 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -126036,19 +125320,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_184 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_19 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -126056,67 +125332,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_45_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_308 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -126124,99 +125404,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_45_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_45_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_51 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_45_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_45_530 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_45_547 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_45_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -126224,7 +125520,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_570 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_45_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -126232,15 +125532,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_45_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_600 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_45_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -126248,11 +125552,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_636 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_642 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_649 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_656 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -126260,19 +125580,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_702 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_683 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_45_689 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_45_695 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_699 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_708 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_717 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_45_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -126280,43 +125624,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_756 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_800 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_796 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -126324,67 +125672,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_45_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_890 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_863 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_903 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_906 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_45_884 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_895 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_9 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_900 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_910 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_92 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_930 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -126392,47 +125720,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_957 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_963 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_973 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_975 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_989 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_985 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_995 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_991 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_998 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_994 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_1001 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_1013 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_1030 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_1024 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_46_103 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_46_1032 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_46_1046 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -126440,7 +125780,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_109 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_46_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -126448,39 +125792,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_13 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_188 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_46_197 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_46_201 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_46_205 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_46_213 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_46_217 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_46_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -126488,19 +125856,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_46_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -126508,15 +125876,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_299 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_46_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -126524,35 +125896,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_35 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_351 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_359 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -126564,7 +125924,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -126572,15 +125932,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -126588,39 +125948,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_5 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_504 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -126636,63 +125992,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_46_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_46_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_674 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_686 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_46_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -126700,19 +126060,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_73 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_46_731 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_46_735 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_46_741 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_46_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -126720,19 +126096,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_772 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_46_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_784 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_786 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_46_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -126740,107 +126120,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_46_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_46_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_859 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_46_865 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_871 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_879 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_882 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_906 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_910 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_957 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_974 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_954 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_962 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_973 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_47_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_983 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_1020 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_991 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_997 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1004 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1025 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_1038 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_1031 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -126852,31 +126216,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_117 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_121 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_142 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -126888,7 +126240,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_178 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_47_185 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_47_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -126896,39 +126256,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_235 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_239 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_249 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_256 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -126936,75 +126280,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -127016,31 +126360,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_482 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_488 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -127048,87 +126384,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_47_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_6 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_584 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_590 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_610 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_617 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_630 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_643 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_647 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_650 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -127136,27 +126440,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -127164,27 +126468,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_748 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_754 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_770 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_769 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_47_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -127192,55 +126500,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_827 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_851 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_47_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_848 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_856 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_877 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_883 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -127248,79 +126544,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_904 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_910 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_916 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_914 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_930 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_929 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_962 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_946 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_988 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_995 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_1002 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_966 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_986 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_1019 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_47_994 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_1027 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_999 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1019 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_1032 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_1049 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_1053 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_48_1057 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -127328,55 +126620,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_48_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_206 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_212 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_221 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -127384,23 +126664,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_251 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -127408,7 +126684,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -127424,91 +126700,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_463 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_466 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_48_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -127516,91 +126784,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_48_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_609 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_613 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_623 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_629 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_637 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_640 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_654 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -127608,55 +126848,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_706 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_706 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_751 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_48_755 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_48_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -127664,11 +126912,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_48_777 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_48_790 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_48_796 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_48_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -127676,19 +126940,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_819 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_827 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_836 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_48_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -127696,75 +126956,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_863 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_871 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_48_883 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_48_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_906 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_918 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_930 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_936 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_931 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_956 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_968 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_972 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_48_952 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_960 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_989 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_970 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_996 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_48_978 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_1001 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_985 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_48_989 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_48_999 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -127772,23 +127040,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_1011 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_1017 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_1013 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_1029 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_1030 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_1041 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_1038 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_1053 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_1051 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -127796,27 +127064,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_12 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_131 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -127824,47 +127088,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_49_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_25 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_253 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_259 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -127872,79 +127124,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_28 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_49_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_404 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_49_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_49_416 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_49_42 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_49_424 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_49_427 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_49_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -127952,35 +127228,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -127988,35 +127264,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_49_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_585 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_49_592 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_49_600 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_49_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -128024,19 +127312,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_49_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_636 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_49_644 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_49_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -128044,63 +127340,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_67 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_49_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_49_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_735 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_74 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_741 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -128108,91 +127388,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_796 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_795 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_801 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_49_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_846 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_856 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_868 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_882 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_49_893 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_899 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_905 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_908 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_92 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_920 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_932 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_49_924 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -128200,11 +127464,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_939 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_943 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -128212,23 +127472,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_960 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_967 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_966 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_973 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_971 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_98 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_995 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_984 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -128240,7 +127496,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_1025 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_102 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_4_1023 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_4_1026 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -128260,35 +127524,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_4_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_16 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_175 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_4_182 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_4_189 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_4_195 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_4_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -128300,15 +127580,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -128316,23 +127596,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_4_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_4_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -128340,23 +127620,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -128364,67 +127644,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_4_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_4_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_481 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_498 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_504 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -128432,23 +127700,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_560 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -128456,39 +127724,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_4_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_651 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_4_675 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_4_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -128496,7 +127772,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -128504,87 +127780,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_747 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_4_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_770 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_795 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_863 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_4_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_858 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_880 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_907 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_893 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_905 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_917 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_919 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -128596,11 +127864,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_4_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -128628,39 +127896,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_1003 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_1013 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_1010 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_1019 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_1018 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_1031 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_1022 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_1032 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_50_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_1055 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_1049 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_50_1057 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -128668,15 +127936,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_12 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_50_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_13 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_50_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -128684,119 +127952,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_50_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_50_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_336 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_38 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_50_385 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_50_389 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_50_395 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_50_399 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_50_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -128804,35 +128092,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_475 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_50_480 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_50_486 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_50_492 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_50_496 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_50_499 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_50_505 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_50_514 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_50_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -128840,23 +128160,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_50_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -128864,95 +128184,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -128960,103 +128280,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_803 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_804 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_842 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_846 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_846 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_862 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_50_858 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_863 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_880 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_904 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_916 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_903 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_915 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_957 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_963 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_958 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_50_967 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129064,23 +128388,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_990 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_997 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_1004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_1002 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_51_1019 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_1025 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129092,19 +128416,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_51_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_51_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_133 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_51_137 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_51_146 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_51_152 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_51_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_51_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129112,19 +128456,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_18 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_51_205 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_51_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129132,43 +128484,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_51_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_51_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_28 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_51_283 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_51_291 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_51_302 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_51_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129176,39 +128544,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_353 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_366 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129216,27 +128576,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_395 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_406 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_410 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_414 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_421 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129244,39 +128584,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_438 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_51_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_51_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_51_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_51_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_5 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_51_503 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_51_507 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_51_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129284,11 +128632,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_51_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129300,35 +128648,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_51_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_639 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_51_648 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_51_654 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_51_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129336,35 +128696,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_51_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_7 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_70 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_706 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_712 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129372,27 +128716,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_51_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_768 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_51_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129400,23 +128748,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_829 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_51_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129424,179 +128768,171 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_51_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_51_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_846 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_51_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_924 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_932 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_51_942 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_947 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_957 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_964 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_961 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_51_971 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_985 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_987 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_994 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_51_999 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_1001 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1002 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_1012 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_52_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_1016 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_1020 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_52_1022 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_52_1023 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_1031 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1032 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_1046 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_1049 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_52_1053 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_52_1057 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_52_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_52_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_52_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_18 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_214 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_227 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129604,59 +128940,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_52_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_52_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_52_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_52_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_52_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_327 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_52_335 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_52_339 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_52_344 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_52_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129664,23 +129016,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_41 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_52_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129688,19 +129044,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_52_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_52_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_52_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129708,35 +129068,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_52_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_52_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_553 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_52_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129744,35 +129108,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_52_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_616 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_52_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_52_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_658 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_52_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129780,23 +129148,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_52_701 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129804,7 +129168,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_52_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_72 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_52_727 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_52_734 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_52_740 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_52_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129812,15 +129192,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_52_796 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_767 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_52_775 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_52_784 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_52_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129828,83 +129220,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_819 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_52_810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_52_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_854 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_52_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_863 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_883 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_890 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_894 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_883 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_899 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_52_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_52_907 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_912 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_52_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_52_931 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_947 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129916,59 +129308,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_963 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_52_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_990 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_10 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_998 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1011 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_1018 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1019 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_1042 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_1026 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_1054 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_1039 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_1058 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_1051 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_126 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_53_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -129976,27 +129368,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_196 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_53_202 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_53_205 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_53_213 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_53_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -130004,23 +129412,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_253 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -130028,7 +129432,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -130036,79 +129440,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_346 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_364 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_377 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_387 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_391 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_401 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_416 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_423 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -130116,27 +129488,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_53_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_496 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -130144,15 +129512,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_530 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -130160,43 +129524,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_53_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -130204,15 +129568,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_694 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_53_700 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_53_706 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_53_712 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_53_719 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_53_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -130220,67 +129604,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_53_73 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_53_737 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_53_743 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_53_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_759 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_763 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_8 FILLER_53_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_53_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_824 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_848 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_866 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_870 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_882 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_53_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -130288,19 +129680,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_917 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_906 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_914 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_943 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_938 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_53_94 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_53_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -130312,59 +129712,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_974 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_983 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_980 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_996 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_988 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_996 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_1015 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1005 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_1028 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1025 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_1039 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_1029 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_1051 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_1046 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_1058 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_118 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_126 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -130372,59 +129764,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_18 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_204 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_208 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_214 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_218 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_231 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -130432,47 +129804,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_279 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_285 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_294 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_3 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -130484,15 +129840,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_353 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -130500,75 +129852,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_469 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_475 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_54_477 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_482 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_495 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -130576,43 +129908,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_54_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_54_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_616 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -130620,51 +129952,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_734 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_717 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_54_72 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_54_725 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_54_733 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_54_745 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_54_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -130676,63 +130028,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_54_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_54_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_805 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_808 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_813 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_819 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_83 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_830 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_836 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_54_844 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -130744,23 +130068,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_54_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_9 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_894 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_906 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -130772,7 +130092,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_938 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_94 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_54_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -130780,39 +130104,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_971 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_968 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_988 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_994 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_995 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_1000 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_1004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_1013 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_1020 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_1028 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_1013 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_1045 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_1030 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_55_1036 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_55_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -130824,47 +130156,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_18 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_180 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_55_188 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_55_192 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_55_205 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_55_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -130876,83 +130224,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_374 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -130960,63 +130304,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_447 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_451 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_464 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_471 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_477 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -131024,35 +130348,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_55_497 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_55_5 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_55_50 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_55_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_518 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_52 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_528 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_538 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -131060,115 +130380,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_55_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_762 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_775 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_797 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_809 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -131176,63 +130488,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_865 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_858 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_55_873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_899 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_914 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_919 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_929 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_940 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_944 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_948 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_972 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -131240,71 +130548,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_988 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_1000 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_994 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_1008 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1016 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_1023 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_1019 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_1032 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_56_1030 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_56_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_1046 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_1055 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_1058 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_18 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_56_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -131312,123 +130620,143 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_56_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_56_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_56_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_56_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_56_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_438 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_56_444 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_56_448 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_56_45 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_56_461 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_56_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -131440,23 +130768,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_510 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -131464,7 +130788,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_56_533 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_56_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -131472,59 +130804,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_560 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_628 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_56_636 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -131532,27 +130856,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_56_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_671 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -131560,15 +130880,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_56_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_746 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_71 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_56_722 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_56_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -131576,27 +130904,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_56_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_56_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_818 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_56_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -131604,19 +130936,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_838 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_56_844 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_859 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -131624,47 +130956,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_875 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_916 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_56_940 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_949 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_957 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_934 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_971 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_940 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_957 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_56_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_987 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_968 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_56_976 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_56_981 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_57_1000 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -131672,35 +131016,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_1011 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_1013 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_1024 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_1044 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1023 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1030 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1037 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_1043 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_1055 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_1051 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -131712,15 +131040,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_136 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -131728,23 +131052,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_191 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -131756,19 +131076,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_57_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_57_252 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_57_258 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_57_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -131780,59 +131112,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_57_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_57_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_364 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_57_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -131840,155 +131176,183 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_644 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_6 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_63 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_57_650 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_57_656 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_57_664 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_57_67 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_57_675 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_57_683 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_57_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -131996,119 +131360,159 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_57_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_750 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_756 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_743 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_746 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_754 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_773 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_791 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_814 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_57_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_854 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_57_862 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_57_849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_863 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_910 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_57_918 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_922 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_57_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_946 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_902 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_956 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_914 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_962 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_928 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_970 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_934 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_982 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1001 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1025 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_948 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_57_95 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_57_957 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_57_963 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_57_966 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_57_986 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_57_992 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_58_1006 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_58_1012 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_58_1018 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_58_1026 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -132116,47 +131520,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_1039 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_1045 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_1050 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_58_1057 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_1058 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_12 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_58_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_58_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_58_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -132164,79 +131568,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_58_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_20 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_58_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_58_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_58_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_309 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_313 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_316 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_320 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_323 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -132244,31 +131628,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_373 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_58_380 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_58_390 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_58_396 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_58_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_58_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -132280,63 +131684,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_58_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_58_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_531 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_58_533 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_58_537 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_58_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_58_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -132344,7 +131764,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_58_566 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_58_57 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_58_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -132352,19 +131780,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_58_607 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_58_613 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_58_618 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_58_625 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_58_631 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_58_635 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_58_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -132372,31 +131824,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_58_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_689 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_58_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -132404,43 +131860,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_734 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_748 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_762 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_771 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_58_783 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_58_789 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_58_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -132448,23 +131916,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_58_810 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_817 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_58_824 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_58_83 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_58_833 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_58_839 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_58_847 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -132476,35 +131964,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_58_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_58_876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_58_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_901 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_907 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_904 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_914 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_920 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_929 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_916 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -132512,27 +131988,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_58_954 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_58_941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_964 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_947 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_950 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_985 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_958 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_58_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_965 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_58_972 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_58_984 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_58_990 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_58_994 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -132540,23 +132032,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_59_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_1013 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_1018 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_1030 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_1050 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_1058 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_59_1032 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_59_1038 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_59_1055 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -132564,19 +132064,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -132588,15 +132088,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_59_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -132608,39 +132108,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_59_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -132648,19 +132148,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -132668,51 +132168,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_59_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_59_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_507 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_59_513 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_59_519 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_59_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_59_525 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_59_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -132720,79 +132240,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_590 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_6 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_59_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_59_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_685 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_59_706 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_59_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -132804,47 +132332,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_758 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_59_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_796 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_802 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_59_814 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_59_821 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_59_830 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_59_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -132852,31 +132396,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_884 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_59_905 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_914 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -132884,7 +132428,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_927 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_59_933 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_59_941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -132892,23 +132444,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_59_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_956 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_958 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_962 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_973 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_972 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_984 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -132916,6 +132468,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_5_10 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_5_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -132928,11 +132484,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_5_1021 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1025 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -132948,11 +132500,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_1055 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_1055 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -132960,103 +132512,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_16 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_20 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_5_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_5_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_30 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_5_303 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_5_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -133064,75 +132624,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_5_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_5_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_5_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_5_449 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_453 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_47 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_473 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -133140,47 +132684,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_5_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_5_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_589 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_5_599 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_5_6 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -133192,23 +132744,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_634 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_5_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -133216,99 +132772,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_5_67 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_2 FILLER_5_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_801 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_814 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_855 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_847 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_856 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_868 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_880 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -133316,27 +132864,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_906 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_912 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_921 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_924 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_936 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_945 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -133352,39 +132896,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_5_98 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_5_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1006 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1003 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_1013 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_1030 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_1011 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_1041 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_60_1019 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_1047 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_12 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_1032 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_1053 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_109 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_60_121 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_60_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -133392,51 +132948,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_228 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_60_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -133444,83 +133004,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_60_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_336 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_425 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_433 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -133528,59 +133080,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_584 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_60_592 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_60_618 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_60_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -133588,31 +133152,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -133620,27 +133184,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_728 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_731 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_60_737 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_60_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -133648,23 +133220,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_60_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -133672,23 +133244,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_60_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_60_828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_60_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_844 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_60_847 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_60_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -133696,63 +133276,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_60_893 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_906 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_902 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_914 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_910 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_60_922 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_936 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_940 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_950 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_942 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_954 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_964 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_957 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_96 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_965 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_975 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_971 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -133760,79 +133328,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_985 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_983 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_989 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_990 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_60_998 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_1011 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_1003 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_1017 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_1020 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_61_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_1030 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_1023 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_1043 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_1055 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_1046 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_1058 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_16 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_61_167 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_61_169 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_61_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_61_181 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_61_193 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_61_199 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_61_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -133844,19 +133440,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_61_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_242 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -133864,35 +133456,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_262 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_61_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_61_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_61_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_289 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_295 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -133900,63 +133480,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_325 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_61_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_61_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_417 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_425 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_43 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -133972,47 +133536,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_61_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_51 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_513 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_530 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_536 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -134024,63 +133572,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_597 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_603 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_606 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_612 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_617 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_638 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_644 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_649 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -134092,91 +133608,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_61_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_61_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_61_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_61_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_848 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_856 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_856 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_61_868 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_859 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_870 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_61_886 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -134184,27 +133700,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_904 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_61_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_918 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_928 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_935 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_943 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_931 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -134212,95 +133720,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_957 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_61_963 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_967 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_971 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_991 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_982 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_990 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_998 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_1003 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_1024 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_62_1017 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_62_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_1042 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_62_1033 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_1055 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_1049 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_62_1057 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_12 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_62_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_139 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_150 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_162 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_168 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -134308,27 +133800,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_62_20 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -134336,155 +133828,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_62_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_62_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_62_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_62_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_511 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_520 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_527 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_531 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_535 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_539 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_543 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_56 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_567 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -134496,63 +133952,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_62_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_62_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_62_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_728 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_729 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_62_738 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_62_742 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_62_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -134560,11 +134028,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_62_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_766 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_62_778 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -134576,39 +134048,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_62_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_62_810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_816 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_828 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_840 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_856 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_862 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_62_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_873 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_62_880 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -134616,103 +134092,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_910 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_894 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_916 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_931 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_929 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_62_943 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_947 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_967 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_975 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_988 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_999 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_987 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_1003 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_997 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_1000 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_1012 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_1011 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_1020 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_1019 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_1032 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_1029 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_1038 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_1048 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_1041 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_1055 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_1053 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -134720,31 +134196,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_184 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_196 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_20 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_200 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -134752,59 +134212,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -134812,87 +134272,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_63_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_366 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_63_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_466 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_487 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_493 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -134900,7 +134348,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -134908,39 +134356,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_577 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_6 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -134948,35 +134392,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_606 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_63_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_652 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -134984,19 +134420,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_63_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_700 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_694 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_63_702 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -135004,7 +134444,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_719 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_63_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -135016,19 +134460,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_743 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_755 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -135040,43 +134476,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_831 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_844 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_870 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_879 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_89 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_63_894 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -135084,31 +134524,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_902 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_908 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_914 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_914 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_921 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_922 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_930 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_93 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_936 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_63_944 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -135116,23 +134548,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_63_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_958 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_972 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_990 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_986 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_994 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -135140,19 +134568,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_1010 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_1006 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_1016 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_1011 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_1026 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_1018 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -135160,23 +134584,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_1040 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_64_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_1046 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_1055 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_1052 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_1058 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -135184,35 +134600,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_167 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_179 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -135220,23 +134628,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_64_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_234 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -135244,11 +134648,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_64_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_64_268 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_64_277 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_64_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -135256,7 +134676,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -135264,39 +134684,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_351 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -135304,47 +134720,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_64_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_445 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_64_458 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_64_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -135352,27 +134776,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_64_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_64_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_517 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -135380,151 +134800,159 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_560 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_64_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_784 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_64_795 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_782 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_795 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_826 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_64_803 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_847 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_64_853 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_64_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -135540,31 +134968,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_64_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_899 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_911 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_64_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_918 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_894 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_947 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_910 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_916 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_64_927 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_64_931 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_64_934 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -135572,43 +135012,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_961 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_968 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_971 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_984 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_992 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_988 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_1003 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_994 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_1025 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_1013 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_1045 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_1023 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_1051 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_1027 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_65_1031 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_65_1055 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -135616,47 +135064,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_65_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_65_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_198 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_208 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_65_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -135664,11 +135104,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_65_252 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_65_259 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_65_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -135676,43 +135128,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_65_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_65_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_65_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_65_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_65_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_324 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -135724,67 +135172,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_65_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_65_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_65_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_482 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_65_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -135792,43 +135244,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_65_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_576 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_65_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -135836,35 +135292,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_65_600 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_65_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_655 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_659 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -135872,11 +135324,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_685 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_65_691 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_65_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -135884,11 +135344,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_708 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -135896,23 +135352,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_748 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_65_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_754 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_65_760 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_65_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -135920,91 +135384,135 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_65_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_887 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_65_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_65_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_846 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_901 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_65_860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_917 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_65_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_957 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_972 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_65_915 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_924 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_983 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_65_930 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_987 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_940 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_996 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_947 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_953 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_65_957 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_65_960 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_65_966 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_65_97 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_65_972 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_65_980 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_65_987 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_65_995 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_66_1006 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_66_1012 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_66_1019 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -136016,19 +135524,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_1048 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_105 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_66_1055 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_66_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_66_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -136036,11 +135540,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_66_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -136048,39 +135552,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_66_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_218 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -136088,23 +135588,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_66_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_66_284 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_66_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -136116,23 +135612,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_66_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -136140,87 +135636,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_66_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_66_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_66_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_66_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_66_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_66_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_66_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_66_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_66_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_66_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_66_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_538 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_66_544 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_66_547 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_66_572 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_66_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -136228,71 +135740,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_66_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_66_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_66_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_66_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_728 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_740 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_66_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -136300,95 +135816,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_66_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_66_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_66_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_66_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_842 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_856 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_846 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_66_862 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_890 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_66_896 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_907 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_894 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_914 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_66_960 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_957 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_970 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_965 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_66_968 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -136396,15 +135916,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_66_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_985 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_988 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_994 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_999 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -136416,19 +135936,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_1009 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_67_1019 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_1038 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_1042 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -136444,63 +135960,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_67_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_67_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_281 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -136508,43 +136020,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -136552,43 +136064,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_67_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_67_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_507 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_67_51 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_67_517 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_67_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -136596,51 +136120,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_67_542 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_67_546 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_67_55 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_67_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_67_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_67_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_65 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -136648,23 +136180,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_69 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_691 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_67_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -136672,23 +136196,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_705 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_717 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_723 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_727 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_67_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_67_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -136696,59 +136204,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_747 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_67_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_756 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_67_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_790 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_827 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_67_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_848 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_67_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_67_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -136756,39 +136272,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_888 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_67_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_904 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_911 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_919 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_933 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_939 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_67_946 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -136796,11 +136300,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_67_955 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_67_963 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_971 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -136808,19 +136316,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_990 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_995 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_998 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_1003 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_1014 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_1022 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_68_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -136828,15 +136332,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_68_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_1047 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_1055 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_68_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_1054 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_68_1058 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_68_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -136844,7 +136356,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_68_121 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_68_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -136852,31 +136368,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_68_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_68_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_222 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_68_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -136884,27 +136404,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_68_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_276 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -136912,23 +136428,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_68_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -136940,139 +136456,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_37 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_68_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_68_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_68_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_68_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_68_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_68_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_68_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_68_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_68_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_625 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_68_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -137080,39 +136596,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_68_645 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_68_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_68_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_68_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_68_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_68_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_721 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_68_727 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_68_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -137120,75 +136648,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_796 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_68_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_863 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_68_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_847 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_879 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_883 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_886 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_893 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_902 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_68_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_894 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_68_899 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_68_903 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_68_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -137196,23 +136736,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_935 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_947 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_931 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_943 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_954 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_968 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_961 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_68_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -137220,15 +136764,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_981 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_68_991 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_997 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_68_990 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -137236,123 +136772,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_1015 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_1034 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_1023 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_1038 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_1027 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_1030 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_1055 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_1050 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_1058 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_281 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_303 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_311 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -137364,123 +136888,127 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_35 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_69_366 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_69_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_69_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_69_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_69_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_590 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_598 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_69_604 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_69_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -137488,19 +137016,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_620 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_626 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -137508,11 +137028,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -137520,55 +137040,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_69_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_819 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_797 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_69_803 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_69_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_69_810 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_69_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -137576,23 +137112,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_847 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_69_844 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_69_856 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_882 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_868 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_888 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -137600,11 +137136,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_901 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_907 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -137612,11 +137148,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_939 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_931 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_935 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_69_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -137624,27 +137164,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_959 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_984 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_965 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_974 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_983 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -137656,11 +137184,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_1029 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_6_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_6_103 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_6_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -137680,23 +137212,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_6_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -137704,23 +137236,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_6_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -137728,7 +137260,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_225 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_6_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -137736,19 +137272,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -137756,27 +137292,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_301 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_6_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_6_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_6_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_346 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_6_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -137784,7 +137320,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -137792,15 +137328,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_6_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_394 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_6_397 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_6_409 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_6_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -137808,7 +137356,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_6_430 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_6_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -137816,15 +137368,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_456 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -137832,19 +137380,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_491 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_6_50 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_6_500 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_6_515 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_6_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -137856,23 +137420,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_562 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_573 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -137880,79 +137436,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_6_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_6_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_6_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_734 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_748 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_6_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_784 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_6_783 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_6_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -137960,59 +137520,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_6_802 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_6_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_6_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_6_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_852 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_858 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_856 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_6_866 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_914 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_893 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_905 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_917 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_6_922 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -138048,19 +137600,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_1011 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_1017 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_1013 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_1025 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_1031 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_1023 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -138068,19 +137616,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_70_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_1039 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_1048 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_1043 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_1055 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_1046 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_1058 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_70_106 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_70_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -138088,55 +137644,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_70_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_70_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_213 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_220 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_227 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_233 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -138144,19 +137684,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_70_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_70_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -138164,27 +137704,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_29 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_290 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -138192,23 +137724,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_70_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_70_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_70_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_329 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_70_336 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_70_347 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_70_353 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_70_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -138216,27 +137764,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_70_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_70_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_389 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_70_398 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_70_404 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_70_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -138244,55 +137804,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_70_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_70_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_70_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_70_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_70_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_509 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_70_518 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -138300,51 +137852,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_70_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_70_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_70_606 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_612 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_617 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_627 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_70_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -138356,51 +137892,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_70_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_70_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_790 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_755 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_70_757 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_70_765 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_70_778 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_70_791 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_70_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -138408,27 +137964,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_70_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_70_810 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_70_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_70_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_847 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_828 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_70_859 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -138436,63 +137988,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_70_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_70_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_906 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_908 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_70_917 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_929 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_935 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_944 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_70_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_960 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_70_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_968 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_990 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_70_981 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_70_987 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_997 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_70_997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -138500,127 +138044,127 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_1019 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_1019 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_1027 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_1055 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_1041 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_1051 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_71_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -138628,51 +138172,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_71_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_71_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_71_413 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_71_420 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_71_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -138680,35 +138236,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_71_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_488 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_495 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -138716,23 +138264,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_537 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_549 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -138740,107 +138280,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_71_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_71_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_71_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_71_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_71_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_700 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_711 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_724 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_71_731 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_737 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_740 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_752 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_764 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -138852,123 +138364,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_804 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_71_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_848 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_852 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_858 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_875 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_888 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_71_894 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_901 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_907 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_915 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_910 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_916 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_71_924 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_929 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_942 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_936 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_957 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_957 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_962 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_964 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_970 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_970 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_974 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_974 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_986 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_989 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_994 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_997 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_1000 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_1008 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_72_1013 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -138976,55 +138488,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_72_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_1041 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_1048 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_1055 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_1054 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_1058 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_72_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_72_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_163 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_175 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -139032,131 +138536,159 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_72_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_72_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_72_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_72_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_72_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_72_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_72_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_72_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_72_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_72_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_426 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_72_433 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_72_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_72_444 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_72_448 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_72_451 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_72_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_72_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -139164,99 +138696,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_72_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_72_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_72_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_72_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_72_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_72_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_72_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_72_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_671 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_72_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -139272,15 +138800,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_726 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_72_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -139288,47 +138820,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_72_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_72_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_762 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_786 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_72_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_799 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_811 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_813 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_72_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -139336,39 +138856,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_72_831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_859 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_72_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_72_844 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_72_854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_884 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_72_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_901 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_905 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_887 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_72_899 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_72_905 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_72_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -139380,67 +138912,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_72_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_932 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_939 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_950 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_959 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_957 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_72_971 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_964 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_972 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_72_983 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_992 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_985 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_1004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_72_990 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_73_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_996 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_1015 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_73_1002 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_1011 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_1048 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_102 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_1031 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -139448,91 +138976,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_73_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_73_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_73_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_310 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_313 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -139540,19 +139060,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_73_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -139576,135 +139100,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_73_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_73_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_73_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_73_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_588 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_73_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_73_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_73_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_73_706 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_69 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_73_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -139712,163 +139240,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_73_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_73_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_736 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_764 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_775 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_789 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_73_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_73_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_790 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_870 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_73_833 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_880 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_890 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_879 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_931 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_902 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_943 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_73_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_73_918 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_73_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_924 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_958 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_966 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_983 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_987 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_1015 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_957 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_965 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_982 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_995 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_1010 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_1024 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_1031 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_1028 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -139876,39 +139380,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_1052 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_1053 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_1058 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_12 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_74_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -139916,15 +139420,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_74_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_221 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_74_23 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_74_234 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_74_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -139932,35 +139448,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_278 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_285 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_297 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_3 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -139968,15 +139468,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_329 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_333 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -139996,55 +139488,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_74_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_74_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_74_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_487 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -140052,111 +139540,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_74_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_74_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_74_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_674 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_734 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -140164,7 +139652,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -140172,15 +139660,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_74_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_784 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_74_792 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_74_802 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_74_810 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_74_813 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_74_819 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_74_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -140188,79 +139700,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_74_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_846 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_74_842 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_871 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_890 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_904 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_898 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_911 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_918 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_934 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_929 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_954 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_968 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_972 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_973 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_990 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_74_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_999 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_1003 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -140268,75 +139776,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_1026 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_1039 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_75_1052 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_1058 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_1053 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_75_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_75_12 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_14 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_75_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_75_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_175 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -140348,43 +139852,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_75_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_75_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_3 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_75_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -140396,47 +139896,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_75_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_366 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_75_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_417 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_75_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -140444,19 +139940,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_75_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_75_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -140464,31 +139960,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_75_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_75_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_546 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -140496,75 +139988,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_75_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_75_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_75_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_75_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_75_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_75_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_75_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -140572,167 +140064,159 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_75_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_75_803 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_75_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_75_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_835 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_884 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_75_885 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_906 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_912 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_75_893 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_934 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_75_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_75_902 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_908 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_911 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_75_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_76_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_927 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_1030 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_75_956 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_983 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_76_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_76_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_1028 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_1053 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_12 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_76_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_76_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_220 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_226 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -140740,83 +140224,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_76_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_76_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_76_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_398 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_406 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -140824,83 +140300,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_76_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_76_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_515 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_521 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_76_529 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_536 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_540 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_543 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -140912,75 +140364,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_616 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_76_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_751 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -140988,7 +140436,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_773 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_76_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -140996,27 +140448,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_76_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_801 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_76_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_816 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_829 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -141024,15 +140472,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_847 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -141040,59 +140484,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_878 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_876 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_882 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_887 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_899 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_916 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_956 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_961 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_963 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_974 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_990 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_981 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_988 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_996 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_76_997 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -141100,27 +140536,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_1018 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_77_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_1024 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_1013 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_1045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_1055 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_77_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -141128,23 +140564,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_150 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -141152,155 +140584,131 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_77_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_18 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_77_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_77_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_431 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_437 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_77_445 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_449 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_461 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_468 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -141312,27 +140720,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_520 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_541 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_55 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -141340,27 +140736,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_6 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_607 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_77_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -141368,39 +140768,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_638 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_77_646 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_656 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_667 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -141408,15 +140792,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_7 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -141424,59 +140804,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_747 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_754 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_770 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_778 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_794 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_806 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -141484,59 +140852,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_847 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_858 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_875 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_859 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_863 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_918 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_871 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_924 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_877 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_889 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_895 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_899 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_907 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_92 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_936 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_942 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_934 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -141544,35 +140884,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_962 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_970 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_980 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_1011 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_1024 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_992 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_1028 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_1010 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_78_1018 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_78_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_1023 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_78_1035 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_78_1046 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -141580,95 +140928,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_1055 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_1058 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_78_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_78_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_273 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_277 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -141680,15 +141020,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_311 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_319 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_78_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -141696,135 +141028,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_78_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_78_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_78_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_78_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_78_586 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_591 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_597 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_609 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_616 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -141832,83 +141148,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_78_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_78_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_78_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_739 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_78_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_745 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_762 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_78_781 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -141916,99 +141228,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_857 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_855 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_874 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_878 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_882 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_896 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_906 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_916 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_928 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_940 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_940 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_954 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_950 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_966 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_954 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_970 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_957 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_78_978 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_963 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_971 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_78_974 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_983 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_987 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_10 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -142016,27 +141316,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_1011 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_1017 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_1023 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_1029 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_1054 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_1058 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_1055 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -142044,31 +141340,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_12 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_145 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_153 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -142080,75 +141368,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_79_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_227 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_233 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_239 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_24 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_245 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_251 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_255 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_267 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -142156,91 +141412,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_79_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_366 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_79_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_79_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_470 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_79_474 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_79_48 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_79_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -142248,71 +141516,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_79_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_598 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_61 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_612 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_79_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -142320,63 +141576,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_775 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_743 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_79_751 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_79_755 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_79_766 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_79_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_79_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -142384,67 +141660,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_870 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_876 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_79_884 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_875 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_890 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_906 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_903 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_912 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_924 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_934 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_938 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_942 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_936 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -142452,43 +141720,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_961 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_967 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_79_977 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_972 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_983 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_978 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_987 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_986 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_991 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_989 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_996 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_1001 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_7_1006 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -142496,10 +141752,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_101 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_7_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -142524,27 +141776,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -142556,35 +141808,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_7_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_20 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_200 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_206 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -142592,35 +141832,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_279 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_291 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -142628,91 +141860,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_7_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_7_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_366 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_7_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_7_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_480 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_7_486 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_7_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -142720,31 +141960,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_7_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -142752,171 +141992,171 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_7_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_644 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_7_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_706 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_753 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_774 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_7_849 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_874 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_886 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_7_894 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_888 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_904 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_911 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_921 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -142924,59 +142164,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_7_943 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_974 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_986 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_977 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_998 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_989 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_1000 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_1005 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_1006 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_1014 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_1011 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_1019 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_1019 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_1032 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_1022 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_80_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_1030 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_1037 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_1047 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_1042 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -142988,31 +142220,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_80_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -143024,35 +142256,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_80_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_231 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_237 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -143060,27 +142284,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_80_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_273 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_286 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -143088,91 +142304,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_80_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_80_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_80_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_80_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_80_453 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_80_456 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_80_463 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_80_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_80_475 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_80_486 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_80_49 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_80_499 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_80_505 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_80_508 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_80_514 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_80_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -143180,23 +142444,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_80_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_80_565 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_80_571 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_80_577 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_80_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -143204,15 +142484,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -143220,11 +142500,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_80_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -143240,15 +142520,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -143256,55 +142536,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_80_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_80_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_781 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_787 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_793 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_799 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_8 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_796 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -143316,51 +142584,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_80_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_828 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_842 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_838 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_846 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_848 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_856 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_859 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_80_862 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_875 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_80_879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_890 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_896 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_908 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_9 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_80_916 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_908 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_80_914 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -143368,23 +142640,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_931 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_942 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_959 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_954 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_963 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_96 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_80_964 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_80_972 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -143396,19 +142676,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_995 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_1002 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_1011 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_1000 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -143416,87 +142688,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_1026 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_1043 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_1041 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_1055 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_1051 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_81_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_81_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_220 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_241 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_249 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_266 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -143504,19 +142760,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_28 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_290 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_296 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -143524,51 +142768,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_417 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_81_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -143580,47 +142828,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_81_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -143628,347 +142876,435 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_81_561 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_81_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_588 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_81_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_81_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_81_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_81_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_81_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_81_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_733 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_81_737 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_81_743 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_81_749 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_81_761 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_81_767 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_81_770 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_81_777 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_81_783 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_81_785 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_81_789 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_81_793 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_81_82 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_81_821 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_81_833 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_81_839 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_81_841 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_81_847 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_81_859 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_81_874 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_81_88 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_81_887 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_81_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_81_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_9 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_8 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_915 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_929 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_819 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_942 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_81_950 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_81_838 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_959 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_968 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_880 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_888 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_983 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_10 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_904 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_1018 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_908 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_1026 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_1032 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_1040 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_81_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_1052 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_1058 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_996 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_1010 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_1030 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_1039 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_1047 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_1053 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_82_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_82_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_82_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -143976,23 +143312,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_403 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_416 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_430 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -144000,43 +143324,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_560 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_552 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_82_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_82_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_82_570 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_82_577 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_82_583 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_82_587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_82_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -144044,103 +143396,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_82_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_82_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_82_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_82_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_82_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_744 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_82_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_82_771 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_796 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_800 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_805 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -144148,23 +143496,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_827 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_83 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_839 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_82_843 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_82_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -144172,79 +143508,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_862 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_893 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_903 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_895 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_911 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_918 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_82_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_930 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_952 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_82_950 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_974 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_998 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_983 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_10 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_989 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_1004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_83_1002 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_83_1018 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_1018 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_1042 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_1038 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_83_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_1045 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_83_1052 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_1054 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -144252,43 +143584,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_83_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_83_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_139 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_83_145 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_151 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_155 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_16 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -144296,23 +143612,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_83_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_83_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_83_210 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_83_216 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_83_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -144320,167 +143648,179 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_83_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_83_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_83_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_83_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_83_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_83_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_83_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_366 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_83_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_83_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_83_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_83_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_83_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_83_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_83_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_83_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_83_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_83_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_83_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_512 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_83_536 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_83_544 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_83_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -144488,155 +143828,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_83_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_83_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_83_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_83_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_83_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_83_719 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_83_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_758 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_770 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_83_760 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_83_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_83_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_8 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_818 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_812 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_83_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_83_834 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_83_844 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_85 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_856 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_868 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_875 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_887 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_891 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -144644,11 +143968,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_907 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_906 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_914 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_83_919 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -144656,15 +143980,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_923 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_930 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_938 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_927 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -144672,27 +143988,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_83_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_958 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_978 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_987 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_83_995 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_1012 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -144700,23 +144016,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_84_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_1046 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_1055 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_1058 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_84_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_84_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_125 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_84_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -144724,15 +144044,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_84_14 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_84_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_149 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -144740,15 +144060,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -144756,7 +144076,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_200 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_84_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -144764,79 +144088,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_84_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_84_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_84_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_84_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_330 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_84_337 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_84_343 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_84_348 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_84_356 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_84_360 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_84_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_84_38 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_84_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_84_399 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_84_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -144844,23 +144208,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_84_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_438 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_84_45 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_459 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -144868,47 +144220,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_506 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_5 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_84_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_84_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_84_559 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -144916,7 +144264,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -144924,159 +144272,167 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_674 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_706 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_84_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_733 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_763 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_777 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_84_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_822 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_84_810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_856 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_863 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_84_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_879 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_852 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_858 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_84_866 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_902 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_912 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_883 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_903 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_910 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_930 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_84_922 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_942 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_928 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_84_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_84_950 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_935 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_962 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_960 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_966 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_84_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_999 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_84_985 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_84_994 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_84_998 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -145084,15 +144440,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_1019 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_1019 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_1023 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_1045 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_1040 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -145104,127 +144460,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_85_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_16 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_85_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_85_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_85_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_85_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_85_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_85_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_358 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_364 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -145232,19 +144580,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_431 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_85_437 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_85_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -145252,123 +144608,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_85_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_85_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_85_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_85_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_85_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_85_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_85_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_588 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_85_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_85_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_665 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_671 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_680 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -145376,63 +144720,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_85_705 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_85_713 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_85_717 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_85_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_85_729 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_85_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_734 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_756 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_770 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_85_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_795 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_85_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_85_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_883 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_853 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_85_866 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_85_873 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_85_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -145440,19 +144812,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_904 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_85_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_85_912 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_85_908 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_85_919 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_936 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_925 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_85_928 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -145460,51 +144836,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_943 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_970 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_959 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_990 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_963 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_994 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_974 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_1004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_998 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_1008 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_86_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_86_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_1041 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_1039 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -145512,35 +144888,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_86_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_86_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -145548,43 +144928,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_86_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_86_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_86_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_27 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_86_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -145592,11 +144968,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_86_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -145604,19 +144980,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_329 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_86_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -145624,123 +145004,131 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_86_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_86_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_86_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_86_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_86_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_86_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_86_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_86_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_599 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_86_608 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_86_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -145748,39 +145136,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_86_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_86_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_86_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_669 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_679 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -145788,27 +145168,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_86_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_86_742 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_748 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_74 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_86_740 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -145816,23 +145200,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_767 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_86_779 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_798 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -145840,47 +145220,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_819 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_86_827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_831 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_837 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_854 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_86_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_893 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_901 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_913 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_86_901 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -145888,39 +145264,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_928 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_938 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_934 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_970 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_958 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_971 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_988 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_983 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_10 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_987 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_87_1002 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_991 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_87_1004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -145928,43 +145308,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_1028 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_1035 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_1022 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_1028 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_1055 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_1040 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_1052 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_1058 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_87_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_87_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_17 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_141 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_87_150 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_87_154 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_87_164 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_87_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -145972,7 +145368,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_196 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_87_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -145980,23 +145380,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_87_246 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_252 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -146004,23 +145396,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_87_277 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_281 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_87_289 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -146028,75 +145408,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_87_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_431 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -146104,27 +145480,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_476 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -146132,11 +145504,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_87_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -146144,55 +145516,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_515 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_87_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_87_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_87_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_87_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_87_590 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_87_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_87_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_596 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_87_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -146200,23 +145572,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_87_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_657 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_87_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -146224,83 +145600,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_87_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_87_743 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_766 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_87_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_87_778 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_87_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_741 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_818 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_824 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_836 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_870 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_809 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_884 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_839 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_87_841 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_87_865 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_87_871 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_87_88 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_87_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -146308,27 +145704,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_904 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_908 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_903 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_912 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_923 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_931 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_938 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -146336,59 +145728,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_957 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_87_989 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_966 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_995 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_984 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_1012 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_1006 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_1022 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_1014 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_88_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_1024 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_1048 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_1055 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_88_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_88_120 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_88_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -146396,31 +145796,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_88_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_17 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_170 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_88_176 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -146428,15 +145820,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_215 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_88_227 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_88_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -146444,31 +145844,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -146476,35 +145876,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_88_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_88_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_88_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_382 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_88_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -146512,347 +145916,323 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_88_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_88_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_88_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_88_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_5 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_88_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_88_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_88_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_88_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_616 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_88_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_88_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_88_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_88_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_88_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_88_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_88_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_88_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_719 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_734 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_747 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_728 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_734 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_746 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_752 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_759 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_791 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_80 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_804 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_813 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_817 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_88_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_838 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_788 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_88_858 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_794 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_863 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_88_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_88_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_854 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_893 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_88_900 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_875 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_88_894 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_912 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_945 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_919 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_958 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_970 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_88_978 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_929 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_985 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_939 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_993 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_952 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_1003 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_960 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_970 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_1013 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_88_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_1017 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_986 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_1004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_89_1031 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_1046 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_1013 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_89_1052 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_1058 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_1045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_89_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -146860,27 +146240,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_89_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_89_155 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -146888,27 +146264,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_18 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_201 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_89_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -146916,7 +146296,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_89_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_227 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_89_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -146924,43 +146308,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_28 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_89_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_303 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_309 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -146968,79 +146344,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_89_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_474 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_89_480 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_89_484 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_89_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -147048,23 +146436,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_5 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_89_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -147072,11 +146460,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_89_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_566 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_89_572 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_89_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -147084,171 +146480,171 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_89_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_89_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_89_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_89_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_744 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_738 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_89_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_780 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_768 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_843 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_89_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_835 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_872 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_884 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_89_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_905 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_852 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_915 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_89_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_922 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_89_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_924 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_89_939 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -147256,23 +146652,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_89_95 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_89_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_955 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_967 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_967 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_974 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_991 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_980 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_89_988 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_89_991 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -147280,6 +146688,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_8_101 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_8_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -147296,19 +146708,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_8_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_1051 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_8_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_11 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -147320,23 +146728,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_16 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_170 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_8_180 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_8_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -147344,95 +146760,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_8_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_8_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_8_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_8_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_336 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_350 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_8_362 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_8_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -147440,71 +146864,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_8_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_8_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_8_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_8_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_5 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_8_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_495 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_8_50 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_8_502 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_8_508 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_8_512 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_8_516 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_8_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -147512,47 +146960,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_8_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_8_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_8_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_613 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_617 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -147564,27 +147004,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_8_669 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -147592,51 +147028,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_8_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_8_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_752 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_759 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_791 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_795 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_786 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_792 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -147644,67 +147080,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_808 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_8_804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_8_825 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_831 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_830 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_845 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_871 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_883 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_895 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_907 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_919 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_912 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -147716,23 +147136,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_949 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_961 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_973 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_974 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -147744,59 +147164,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_1013 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_1002 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_1026 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_1012 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_90_1034 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_1022 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_90_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_90_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_1055 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_90_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_162 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_90_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -147804,23 +147228,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_90_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -147828,19 +147252,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_90_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_90_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_274 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_90_282 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_90_287 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_90_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -147848,27 +147284,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_90_301 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_90_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -147880,27 +147320,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_90_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_90_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_90_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -147908,19 +147348,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -147928,111 +147368,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_90_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_90_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_616 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_90_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_652 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_676 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_685 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -148044,31 +147472,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_90_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_740 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_90_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_746 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_90_752 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_90_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -148076,19 +147512,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_795 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_790 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_90_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -148096,19 +147536,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_803 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_90_806 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_837 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_848 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_90_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -148120,23 +147564,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_90_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_875 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_899 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_905 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_912 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_90_917 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_919 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_90_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -148144,67 +147592,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_90_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_937 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_930 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_954 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_949 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_970 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_90_961 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_90_978 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_967 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_971 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_979 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_990 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_1003 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_1002 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_91_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_91_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_1021 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_1041 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_1048 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_1041 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_91_1056 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_91_1053 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -148212,47 +147660,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_91_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_17 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_91_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_202 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_91_208 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_91_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -148260,91 +147716,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_91_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_325 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_331 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_335 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_343 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_349 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_355 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_361 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -148356,163 +147784,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_91_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_91_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_91_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_91_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_6 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_91_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_91_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_587 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_59 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_594 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_601 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_609 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_612 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_637 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_645 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_65 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_651 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_657 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -148520,27 +147904,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_91_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -148548,75 +147932,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_91_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_91_737 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_738 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_747 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_91_753 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_758 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_788 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_91_806 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_832 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_803 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_91_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_815 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_859 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_821 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_833 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_839 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_843 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_851 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_863 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_871 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_866 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -148624,31 +147984,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_91_894 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_917 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_900 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_923 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_904 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_931 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_914 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_947 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_91_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -148656,23 +148016,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_982 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_973 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_995 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_980 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_1005 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_992 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_92_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -148680,7 +148044,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_1024 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_1019 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -148692,15 +148056,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_1046 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_1058 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_92_1057 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_92_109 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_92_11 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_92_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -148708,31 +148084,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_92_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -148740,31 +148116,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_92_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_239 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_92_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -148772,107 +148144,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_92_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_92_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_92_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -148880,51 +148252,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_92_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_548 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_555 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_561 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -148932,71 +148292,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_92_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_92_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_92_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_92_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_701 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_92_713 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_92_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -149004,47 +148372,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_748 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_745 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_752 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_760 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_767 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_773 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_787 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_801 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_92_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_817 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_815 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_92_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -149052,59 +148424,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_838 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_840 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_92_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_852 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_92_862 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_869 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_864 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_92_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_894 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_907 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_906 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_92_918 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_929 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_933 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_92_937 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_959 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_959 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -149112,23 +148480,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_983 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_997 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_995 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_1004 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_1000 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_1025 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_1021 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_93_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -149140,7 +148512,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_93_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_93_106 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_93_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -149148,47 +148524,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_93_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_93_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_93_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_191 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_200 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_209 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_213 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -149196,139 +148556,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_93_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_93_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_93_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_93_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_447 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_459 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_465 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_469 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -149336,11 +148680,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -149356,7 +148700,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_57 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_93_570 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_93_580 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_93_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -149364,31 +148720,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_93_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_93_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -149396,23 +148752,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_66 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_93_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_677 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_93_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -149420,63 +148768,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_93_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_742 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_747 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_754 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_758 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_762 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_779 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_766 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_93_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_797 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_93_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_93_804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_814 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_82 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_820 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_812 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -149484,19 +148824,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_847 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_871 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_93_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_883 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_871 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_93_881 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_93_887 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -149508,103 +148856,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_909 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_921 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_93_929 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_916 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_947 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_924 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_951 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_956 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_944 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_963 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_998 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_988 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_1013 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_994 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_1026 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_1001 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_1013 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_94_1034 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_1025 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_1040 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_94_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_1052 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_1058 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_94_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_13 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_163 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -149612,39 +148956,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_94_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_253 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_261 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -149652,31 +148988,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_29 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_292 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_296 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -149684,35 +149008,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_94_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_356 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -149720,23 +149040,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_401 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_405 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_408 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -149744,23 +149052,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_94_421 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_94_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_441 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_450 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_94_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -149772,35 +149076,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_94_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_553 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -149808,11 +149108,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_94_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -149820,203 +149120,211 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_94_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_6 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_94_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_94_674 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_94_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_734 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_746 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_94_754 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_761 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_791 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_771 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_94_805 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_813 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_94_810 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_821 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_824 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_831 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_838 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_839 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_850 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_846 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_94_862 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_861 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_871 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_883 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_904 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_905 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_910 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_908 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_94_922 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_920 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_94_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_929 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_931 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_946 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_941 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_950 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_948 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_969 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_955 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_94_962 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_94_966 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -150024,43 +149332,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_94_981 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_983 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_993 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_989 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_1003 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_1004 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_1007 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_95_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_95_1015 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_95_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_1030 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_1034 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_1045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_1055 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_95_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_95_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -150068,19 +149380,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_95_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_144 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_95_150 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_95_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -150088,31 +149408,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_95_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_95_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_95_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_220 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_95_228 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_95_234 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_95_255 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_95_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -150120,15 +149456,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_95_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_95_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_95_308 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_95_32 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_95_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -150136,19 +149484,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_95_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -150160,15 +149508,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_95_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_400 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_95_406 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_95_412 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_95_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -150176,87 +149536,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_95_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_95_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_95_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_95_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_95_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_95_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_95_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_509 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_515 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_52 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_521 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_95_527 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_533 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_536 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_542 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_95_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -150264,19 +149592,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_95_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_579 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_588 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -150284,75 +149608,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_6 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_95_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_95_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_95_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_95_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_95_729 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_95_73 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_733 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_95_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -150360,15 +149676,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_751 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_95_775 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_772 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -150376,367 +149692,371 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_795 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_802 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_804 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_95_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_812 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_95_821 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_95_819 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_95_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_95_838 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_833 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_849 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_839 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_855 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_847 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_95_879 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_95_859 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_877 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_95_887 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_95_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_892 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_95_894 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_906 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_906 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_917 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_912 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_921 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_922 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_95_931 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_928 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_940 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_962 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_95_943 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_975 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_951 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_982 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_95_953 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_986 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_972 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_992 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_1006 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_1007 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_1018 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_1019 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_1028 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_1031 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_1042 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_1048 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_1054 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_1058 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_96_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_96_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_96_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_14 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_96_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_96_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_96_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_205 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_96_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_96_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_96_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_96_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_96_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_96_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_96_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_96_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_96_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -150748,6 +150068,14 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_96_651 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_96_659 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_96_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -150756,7 +150084,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_676 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_96_679 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_96_686 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_96_698 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_96_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -150764,163 +150108,171 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_96_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_755 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_96_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_763 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_782 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_770 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_96_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_799 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_807 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_8 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_96_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_808 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_819 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_817 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_823 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_829 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_832 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_96_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_853 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_850 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_860 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_859 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_872 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_96_884 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_874 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_886 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_898 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_909 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_910 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_915 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_96_922 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_934 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_925 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_96_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_940 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_96_937 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_946 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_943 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_970 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_949 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_976 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_957 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_96_984 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_960 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_990 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_968 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_994 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_975 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_97_1005 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_979 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_983 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_1013 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_1016 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_995 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_1022 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_1050 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_1058 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_1033 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_97_1045 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_97_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -150928,35 +150280,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_97_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_14 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_97_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_181 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_97_193 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_97_201 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_97_204 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_97_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -150964,55 +150332,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_22 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_97_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_97_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_97_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_287 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_97_293 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_97_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_97_30 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_97_301 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_97_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -151020,19 +150404,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_97_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_97_366 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_97_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -151040,15 +150432,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_97_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_97_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -151064,23 +150456,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_46 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_461 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_468 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -151088,107 +150468,131 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_97_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_97_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_97_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_97_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_644 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_97_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_682 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_97_694 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_97_698 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_97_7 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_97_71 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_97_722 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_97_735 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -151196,47 +150600,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_758 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_748 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_764 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_97_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_770 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_776 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_780 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_792 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_793 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_804 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_801 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_816 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_815 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_827 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_830 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_97_838 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_836 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -151244,115 +150648,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_97_861 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_874 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_867 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_881 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_871 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_97_893 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_886 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_899 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_97_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_911 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_919 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_913 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_933 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_922 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_97_946 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_929 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_955 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_962 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_97_949 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_97_969 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_956 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_962 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_975 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_973 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_996 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_985 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_1005 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_1017 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_997 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_1029 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_1001 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_1035 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_1037 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_1012 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_1025 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_98_1049 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_1032 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_98_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_98_1037 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_1055 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_11 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_129 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -151360,59 +150752,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_98_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_183 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_189 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_192 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_197 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_204 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_211 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_220 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -151420,7 +150784,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_233 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_98_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -151428,19 +150796,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -151452,79 +150820,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_98_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_98_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -151532,55 +150908,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_98_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_98_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_98_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_98_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_98_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_560 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_98_584 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_98_594 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_98_598 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_98_60 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_98_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -151588,39 +150984,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_98_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_98_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_690 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -151628,11 +151020,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_98_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -151640,7 +151032,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_747 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_745 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_98_751 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -151648,135 +151044,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_762 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_759 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_768 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_774 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_769 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_782 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_776 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_794 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_804 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_813 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_807 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_817 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_811 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_826 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_823 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_834 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_840 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_98_858 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_98_866 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_851 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_98_869 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_863 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_98_881 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_867 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_889 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_910 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_884 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_917 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_891 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_923 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_899 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_98_928 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_920 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_928 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_945 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_935 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_955 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_970 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_941 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_976 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_958 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_981 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_962 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_973 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_979 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_984 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_988 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_991 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_997 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_993 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -151784,119 +151156,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_1009 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_1009 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_99_1013 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_1019 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_1021 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_1041 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_1033 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_1054 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_1045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_1058 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_99_1057 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_99_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_14 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_99_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_99_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_99_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_99_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_235 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_241 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_252 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -151904,15 +151264,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_99_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_305 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_99_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -151920,63 +151284,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_329 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_99_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_99_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_99_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_99_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_463 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_99_479 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_99_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -151988,7 +151356,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -151996,55 +151364,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_99_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_99_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_99_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_99_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_646 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_99_654 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_99_665 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_99_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -152052,83 +151432,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_99_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_99_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_99_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_702 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_743 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_739 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_749 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_750 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_757 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_761 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_99_765 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_770 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_775 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_99_782 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_783 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_785 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_790 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_798 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_798 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_803 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_810 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_812 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_820 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_822 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -152136,19 +151512,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_841 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_845 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_845 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_852 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_857 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_864 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_861 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_99_878 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_99_885 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -152156,59 +151540,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_99_897 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_901 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_908 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_925 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_916 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_936 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_926 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_942 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_948 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_946 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_953 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_956 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_957 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_978 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_961 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_965 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_98 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_982 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_995 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_1001 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_990 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -152228,6 +151592,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_9_104 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_9_1045 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -152240,23 +151608,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_9_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_9_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_145 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_9_149 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_9_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -152264,67 +151640,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_17 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_9_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_276 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_290 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_294 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_298 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -152332,99 +151692,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_9_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_9_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_9_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_9_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_9_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_9_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_9_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_9_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_5 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_9_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_515 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_9_522 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_9_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -152432,11 +151800,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -152444,23 +151812,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_9_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_584 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_9_593 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_9_597 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_9_606 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_9_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -152468,31 +151852,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_634 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_64 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_641 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_648 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_652 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -152500,43 +151864,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_9_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_9_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_9_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_702 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_9_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_9_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_735 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_9_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_756 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_736 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_9_740 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_9_747 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_9_75 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_9_761 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_9_771 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_9_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -152544,27 +151932,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_787 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_790 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_802 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_9_799 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_814 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_814 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_9_823 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_831 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_826 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -152572,39 +151952,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_844 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_841 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_853 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_865 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_877 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_889 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_9_873 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_879 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_895 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_892 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_9_897 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_904 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_9 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_901 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_915 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -152624,19 +152000,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_965 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_9_965 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_975 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_977 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_9_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_989 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_987 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_9_999 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -163918,751 +163298,761 @@
     .VPWR(vccd1));
  sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_999 (.VGND(vssd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _09658_ (.A(\u_usb_host.u_phy.state_q[5] ),
+ sky130_fd_sc_hd__inv_2 _09638_ (.A(\u_usb_host.u_phy.state_q[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05260_));
- sky130_fd_sc_hd__clkbuf_1 _09659_ (.A(\u_usb_host.u_core.u_sie.state_q[3] ),
+    .Y(_05241_));
+ sky130_fd_sc_hd__clkbuf_1 _09639_ (.A(\u_usb_host.u_core.u_sie.state_q[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05261_));
- sky130_fd_sc_hd__clkbuf_1 _09660_ (.A(\u_usb_host.u_core.u_sie.state_q[2] ),
+    .X(_05242_));
+ sky130_fd_sc_hd__clkbuf_1 _09640_ (.A(\u_usb_host.u_core.u_sie.state_q[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05262_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09661_ (.A(_05262_),
+    .X(_05243_));
+ sky130_fd_sc_hd__clkbuf_1 _09641_ (.A(_05243_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05263_));
- sky130_fd_sc_hd__or2b_1 _09662_ (.A(_05261_),
-    .B_N(_05263_),
+    .X(_05244_));
+ sky130_fd_sc_hd__or2b_1 _09642_ (.A(_05242_),
+    .B_N(_05244_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05264_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09663_ (.A(_05264_),
+    .X(_05245_));
+ sky130_fd_sc_hd__clkbuf_1 _09643_ (.A(_05245_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05265_));
- sky130_fd_sc_hd__inv_2 _09664_ (.A(\u_usb_host.u_core.u_sie.state_q[1] ),
+    .X(_05246_));
+ sky130_fd_sc_hd__inv_2 _09644_ (.A(\u_usb_host.u_core.u_sie.state_q[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05266_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09665_ (.A(_05266_),
+    .Y(_05247_));
+ sky130_fd_sc_hd__clkbuf_2 _09645_ (.A(_05247_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05267_));
- sky130_fd_sc_hd__and2b_1 _09666_ (.A_N(_05262_),
-    .B(_05261_),
+    .X(_05248_));
+ sky130_fd_sc_hd__and2b_1 _09646_ (.A_N(_05243_),
+    .B(_05242_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05268_));
- sky130_fd_sc_hd__nand2_1 _09667_ (.A(_05267_),
-    .B(_05268_),
+    .X(_05249_));
+ sky130_fd_sc_hd__nand2_1 _09647_ (.A(_05248_),
+    .B(_05249_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05269_));
- sky130_fd_sc_hd__clkbuf_2 _09668_ (.A(_05269_),
+    .Y(_05250_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09648_ (.A(_05250_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05270_));
- sky130_fd_sc_hd__clkbuf_1 _09669_ (.A(\u_usb_host.u_core.u_sie.state_q[1] ),
+    .X(_05251_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09649_ (.A(\u_usb_host.u_core.u_sie.state_q[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05271_));
- sky130_fd_sc_hd__nor2_1 _09670_ (.A(\u_usb_host.u_core.u_sie.state_q[3] ),
-    .B(_05262_),
+    .X(_05252_));
+ sky130_fd_sc_hd__nor2_1 _09650_ (.A(\u_usb_host.u_core.u_sie.state_q[3] ),
+    .B(_05243_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05272_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09671_ (.A(_05272_),
+    .Y(_05253_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09651_ (.A(_05253_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05273_));
- sky130_fd_sc_hd__nand2_1 _09672_ (.A(_05271_),
-    .B(_05273_),
+    .X(_05254_));
+ sky130_fd_sc_hd__nand2_1 _09652_ (.A(_05252_),
+    .B(_05254_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05274_));
- sky130_fd_sc_hd__clkbuf_2 _09673_ (.A(_05274_),
+    .Y(_05255_));
+ sky130_fd_sc_hd__and3_2 _09653_ (.A(_05246_),
+    .B(_05251_),
+    .C(_05255_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05275_));
- sky130_fd_sc_hd__and3_2 _09674_ (.A(_05265_),
-    .B(_05270_),
-    .C(_05275_),
+    .X(_05256_));
+ sky130_fd_sc_hd__clkbuf_2 _09654_ (.A(\u_usb_host.u_phy.state_q[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05276_));
- sky130_fd_sc_hd__clkbuf_2 _09675_ (.A(\u_usb_host.u_phy.state_q[1] ),
+    .X(_05257_));
+ sky130_fd_sc_hd__clkbuf_1 _09655_ (.A(_05257_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05277_));
- sky130_fd_sc_hd__clkbuf_1 _09676_ (.A(_05277_),
+    .X(_05258_));
+ sky130_fd_sc_hd__a22o_1 _09656_ (.A1(\u_usb_host.u_phy.send_eop_q ),
+    .A2(_05241_),
+    .B1(_05256_),
+    .B2(_05258_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05278_));
- sky130_fd_sc_hd__a22o_1 _09677_ (.A1(\u_usb_host.u_phy.send_eop_q ),
-    .A2(_05260_),
-    .B1(_05276_),
-    .B2(_05278_),
+    .X(_00691_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09657_ (.A(\u_uart_core.u_txfsm.divcnt[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00699_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09678_ (.A(\u_uart_core.u_txfsm.divcnt[0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05279_));
- sky130_fd_sc_hd__inv_2 _09679_ (.A(_05279_),
+    .X(_05259_));
+ sky130_fd_sc_hd__inv_2 _09658_ (.A(_05259_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_00100_));
- sky130_fd_sc_hd__or2_1 _09680_ (.A(\u_usb_host.u_core.status_rx_count_w[3] ),
-    .B(\u_usb_host.u_core.status_rx_count_w[2] ),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09659_ (.A(_05252_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05280_));
- sky130_fd_sc_hd__clkbuf_2 _09681_ (.A(\u_usb_host.u_core.u_sie.utmi_txready_i ),
+    .X(_05260_));
+ sky130_fd_sc_hd__clkbuf_1 _09660_ (.A(_05260_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05281_));
- sky130_fd_sc_hd__inv_2 _09682_ (.A(_05281_),
+    .X(_05261_));
+ sky130_fd_sc_hd__clkinv_2 _09661_ (.A(\u_usb_host.u_core.u_sie.utmi_txready_i ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05282_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09683_ (.A(\u_usb_host.u_core.status_rx_count_w[1] ),
+    .Y(_05262_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09662_ (.A(\u_usb_host.u_core.status_rx_count_w[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05283_));
- sky130_fd_sc_hd__or4_1 _09684_ (.A(_05282_),
-    .B(_05283_),
-    .C(\u_usb_host.u_core.status_rx_count_w[0] ),
+    .X(_05263_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09663_ (.A(\u_usb_host.u_core.status_rx_count_w[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05264_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09664_ (.A(\u_usb_host.u_core.status_rx_count_w[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05265_));
+ sky130_fd_sc_hd__or4_1 _09665_ (.A(\u_usb_host.u_core.status_rx_count_w[0] ),
+    .B(_05264_),
+    .C(_05265_),
     .D(\u_usb_host.u_core.status_rx_count_w[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05284_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09685_ (.A(\u_usb_host.u_core.status_rx_count_w[9] ),
+    .X(_05266_));
+ sky130_fd_sc_hd__or3_1 _09666_ (.A(_05262_),
+    .B(_05263_),
+    .C(_05266_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05285_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09686_ (.A(\u_usb_host.u_core.status_rx_count_w[8] ),
+    .X(_05267_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09667_ (.A(\u_usb_host.u_core.status_rx_count_w[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05286_));
- sky130_fd_sc_hd__clkbuf_1 _09687_ (.A(\u_usb_host.u_core.status_rx_count_w[10] ),
+    .X(_05268_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09668_ (.A(\u_usb_host.u_core.status_rx_count_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05287_));
- sky130_fd_sc_hd__or4_2 _09688_ (.A(_05285_),
-    .B(_05286_),
-    .C(\u_usb_host.u_core.status_rx_count_w[11] ),
-    .D(_05287_),
+    .X(_05269_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09669_ (.A(\u_usb_host.u_core.status_rx_count_w[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05288_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09689_ (.A(\u_usb_host.u_core.status_rx_count_w[12] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05289_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09690_ (.A(\u_usb_host.u_core.status_rx_count_w[5] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05290_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09691_ (.A(\u_usb_host.u_core.status_rx_count_w[4] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05291_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09692_ (.A(\u_usb_host.u_core.status_rx_count_w[6] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05292_));
- sky130_fd_sc_hd__or4_1 _09693_ (.A(_05290_),
-    .B(_05291_),
+    .X(_05270_));
+ sky130_fd_sc_hd__or4_2 _09670_ (.A(_05268_),
+    .B(_05269_),
     .C(\u_usb_host.u_core.status_rx_count_w[7] ),
-    .D(_05292_),
+    .D(_05270_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05293_));
- sky130_fd_sc_hd__or4_1 _09694_ (.A(_05289_),
+    .X(_05271_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09671_ (.A(\u_usb_host.u_core.status_rx_count_w[12] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05272_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09672_ (.A(\u_usb_host.u_core.status_rx_count_w[9] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05273_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09673_ (.A(\u_usb_host.u_core.status_rx_count_w[8] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05274_));
+ sky130_fd_sc_hd__clkbuf_1 _09674_ (.A(\u_usb_host.u_core.status_rx_count_w[10] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05275_));
+ sky130_fd_sc_hd__or4_1 _09675_ (.A(_05273_),
+    .B(_05274_),
+    .C(\u_usb_host.u_core.status_rx_count_w[11] ),
+    .D(_05275_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05276_));
+ sky130_fd_sc_hd__or4_1 _09676_ (.A(_05272_),
     .B(\u_usb_host.u_core.status_rx_count_w[15] ),
     .C(\u_usb_host.u_core.status_rx_count_w[14] ),
-    .D(_05293_),
+    .D(_05276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05294_));
- sky130_fd_sc_hd__or4_2 _09695_ (.A(_05280_),
-    .B(_05284_),
-    .C(_05288_),
-    .D(_05294_),
+    .X(_05277_));
+ sky130_fd_sc_hd__nor3_2 _09677_ (.A(_05267_),
+    .B(_05271_),
+    .C(_05277_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05295_));
- sky130_fd_sc_hd__nor2_1 _09696_ (.A(_05275_),
-    .B(_05295_),
+    .Y(_05278_));
+ sky130_fd_sc_hd__and3_1 _09678_ (.A(_05261_),
+    .B(_05254_),
+    .C(_05278_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05296_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09697_ (.A(\u_usb_host.u_core.u_sie.state_q[0] ),
+    .X(_05279_));
+ sky130_fd_sc_hd__clkbuf_1 _09679_ (.A(\u_usb_host.u_core.u_sie.state_q[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05297_));
- sky130_fd_sc_hd__clkbuf_2 _09698_ (.A(_05297_),
+    .X(_05280_));
+ sky130_fd_sc_hd__clkbuf_2 _09680_ (.A(_05280_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05298_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09699_ (.A(_05298_),
+    .X(_05281_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09681_ (.A(_05281_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05299_));
- sky130_fd_sc_hd__clkbuf_1 _09700_ (.A(_05299_),
+    .X(_05282_));
+ sky130_fd_sc_hd__clkbuf_1 _09682_ (.A(_05282_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05300_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09701_ (.A(_05300_),
+    .X(_05283_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09683_ (.A(_05283_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05301_));
- sky130_fd_sc_hd__clkbuf_1 _09702_ (.A(_05271_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05302_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09703_ (.A(_05302_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05303_));
- sky130_fd_sc_hd__or3_1 _09704_ (.A(\u_usb_host.u_core.u_sie.tx_ifs_q[0] ),
+    .X(_05284_));
+ sky130_fd_sc_hd__or3_1 _09684_ (.A(\u_usb_host.u_core.u_sie.tx_ifs_q[0] ),
     .B(\u_usb_host.u_core.u_sie.tx_ifs_q[1] ),
     .C(\u_usb_host.u_core.u_sie.tx_ifs_q[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05304_));
- sky130_fd_sc_hd__or2_1 _09705_ (.A(\u_usb_host.u_core.u_sie.tx_ifs_q[3] ),
-    .B(_05304_),
+    .X(_05285_));
+ sky130_fd_sc_hd__or2_1 _09685_ (.A(\u_usb_host.u_core.u_sie.tx_ifs_q[3] ),
+    .B(_05285_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05305_));
- sky130_fd_sc_hd__or2_1 _09706_ (.A(\u_usb_host.u_core.u_sie.wait_eop_q ),
-    .B(_05305_),
+    .X(_05286_));
+ sky130_fd_sc_hd__or2_1 _09686_ (.A(\u_usb_host.u_core.u_sie.wait_eop_q ),
+    .B(_05286_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05306_));
- sky130_fd_sc_hd__clkbuf_2 _09707_ (.A(_05306_),
+    .X(_05287_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09687_ (.A(_05287_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05307_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09708_ (.A(_05261_),
+    .X(_05288_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09688_ (.A(_05242_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05308_));
- sky130_fd_sc_hd__o311a_1 _09709_ (.A1(_05301_),
-    .A2(_05303_),
-    .A3(_05307_),
-    .B1(_05263_),
-    .C1(_05308_),
+    .X(_05289_));
+ sky130_fd_sc_hd__o311a_1 _09689_ (.A1(_05284_),
+    .A2(_05261_),
+    .A3(_05288_),
+    .B1(_05244_),
+    .C1(_05289_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05309_));
- sky130_fd_sc_hd__clkbuf_2 _09710_ (.A(_05281_),
+    .X(_05290_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09690_ (.A(\u_usb_host.u_core.u_sie.utmi_txready_i ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05310_));
- sky130_fd_sc_hd__clkbuf_1 _09711_ (.A(_05310_),
+    .X(_05291_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09691_ (.A(_05291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05311_));
- sky130_fd_sc_hd__or2b_1 _09712_ (.A(\u_usb_host.u_core.u_sie.send_sof_q ),
+    .X(_05292_));
+ sky130_fd_sc_hd__or2b_1 _09692_ (.A(\u_usb_host.u_core.u_sie.send_sof_q ),
     .B_N(\u_usb_host.u_core.u_sie.in_transfer_q ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .X(_05293_));
+ sky130_fd_sc_hd__nor2_1 _09693_ (.A(_05280_),
+    .B(_05250_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_05294_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09694_ (.A(_05294_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05295_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09695_ (.A(_05295_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05296_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09696_ (.A(_05246_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05297_));
+ sky130_fd_sc_hd__nand2_1 _09697_ (.A(_05283_),
+    .B(_05291_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_05298_));
+ sky130_fd_sc_hd__and2b_1 _09698_ (.A_N(_05297_),
+    .B(_05298_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05299_));
+ sky130_fd_sc_hd__inv_2 _09699_ (.A(\u_usb_host.u_core.transfer_start_q ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_05300_));
+ sky130_fd_sc_hd__nand2_1 _09700_ (.A(_05247_),
+    .B(_05253_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_05301_));
+ sky130_fd_sc_hd__or2_1 _09701_ (.A(_05282_),
+    .B(_05301_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05302_));
+ sky130_fd_sc_hd__nor2_1 _09702_ (.A(_05300_),
+    .B(_05302_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_05303_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09703_ (.A(_05303_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05304_));
+ sky130_fd_sc_hd__a311o_1 _09704_ (.A1(_05292_),
+    .A2(_05293_),
+    .A3(_05296_),
+    .B1(_05299_),
+    .C1(_05304_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05305_));
+ sky130_fd_sc_hd__or3_1 _09705_ (.A(_05279_),
+    .B(_05290_),
+    .C(_05305_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05306_));
+ sky130_fd_sc_hd__clkbuf_1 _09706_ (.A(_05306_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\u_usb_host.u_core.u_sie.next_state_r[2] ));
+ sky130_fd_sc_hd__and4_1 _09707_ (.A(\u_usb_host.u_core.u_sie.last_tx_time_q[0] ),
+    .B(\u_usb_host.u_core.u_sie.last_tx_time_q[2] ),
+    .C(\u_usb_host.u_core.u_sie.last_tx_time_q[1] ),
+    .D(\u_usb_host.u_core.u_sie.last_tx_time_q[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05307_));
+ sky130_fd_sc_hd__and3_1 _09708_ (.A(\u_usb_host.u_core.u_sie.last_tx_time_q[4] ),
+    .B(\u_usb_host.u_core.u_sie.last_tx_time_q[5] ),
+    .C(_05307_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05308_));
+ sky130_fd_sc_hd__and2_1 _09709_ (.A(\u_usb_host.u_core.u_sie.last_tx_time_q[6] ),
+    .B(_05308_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05309_));
+ sky130_fd_sc_hd__nand2_1 _09710_ (.A(\u_usb_host.u_core.u_sie.last_tx_time_q[7] ),
+    .B(_05309_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_05310_));
+ sky130_fd_sc_hd__inv_2 _09711_ (.A(_05310_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_05311_));
+ sky130_fd_sc_hd__o21a_1 _09712_ (.A1(\u_usb_host.u_core.u_sie.last_tx_time_q[8] ),
+    .A2(_05311_),
+    .B1(\u_usb_host.u_core.u_sie.wait_resp_q ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .X(_05312_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09713_ (.A(_05297_),
+ sky130_fd_sc_hd__or2b_2 _09713_ (.A(_05243_),
+    .B_N(_05242_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05313_));
- sky130_fd_sc_hd__nor2_1 _09714_ (.A(_05313_),
-    .B(_05269_),
+ sky130_fd_sc_hd__nand2_1 _09714_ (.A(\u_usb_host.u_core.u_sie.state_q[0] ),
+    .B(_05252_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_05314_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09715_ (.A(_05314_),
+ sky130_fd_sc_hd__nor2_2 _09715_ (.A(_05313_),
+    .B(_05314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05315_));
+    .Y(_05315_));
  sky130_fd_sc_hd__dlymetal6s2s_1 _09716_ (.A(_05315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05316_));
- sky130_fd_sc_hd__clkbuf_2 _09717_ (.A(_05265_),
+ sky130_fd_sc_hd__clkbuf_1 _09717_ (.A(_05316_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05317_));
- sky130_fd_sc_hd__nand2_1 _09718_ (.A(_05299_),
-    .B(_05310_),
+ sky130_fd_sc_hd__and2b_1 _09718_ (.A_N(_05312_),
+    .B(_05317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05318_));
- sky130_fd_sc_hd__and2b_1 _09719_ (.A_N(_05317_),
-    .B(_05318_),
+    .X(_05318_));
+ sky130_fd_sc_hd__nor2_1 _09719_ (.A(_05280_),
+    .B(_05245_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05319_));
- sky130_fd_sc_hd__inv_2 _09720_ (.A(\u_usb_host.u_core.transfer_start_q ),
+    .Y(_05319_));
+ sky130_fd_sc_hd__clkbuf_2 _09720_ (.A(_05319_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05320_));
- sky130_fd_sc_hd__nand2_1 _09721_ (.A(_05266_),
-    .B(_05272_),
+    .X(_05320_));
+ sky130_fd_sc_hd__nor2_1 _09721_ (.A(_05255_),
+    .B(_05278_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_05321_));
- sky130_fd_sc_hd__or2_1 _09722_ (.A(_05299_),
-    .B(_05321_),
+ sky130_fd_sc_hd__o22a_1 _09722_ (.A1(_05284_),
+    .A2(_05292_),
+    .B1(_05320_),
+    .B2(_05321_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05322_));
- sky130_fd_sc_hd__nor2_1 _09723_ (.A(_05320_),
-    .B(_05322_),
+ sky130_fd_sc_hd__nand2_1 _09723_ (.A(\u_usb_host.u_core.u_sie.utmi_txready_i ),
+    .B(_05295_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_05323_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09724_ (.A(_05323_),
+ sky130_fd_sc_hd__nor2_1 _09724_ (.A(_05246_),
+    .B(_05314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05324_));
- sky130_fd_sc_hd__a311o_1 _09725_ (.A1(_05311_),
-    .A2(_05312_),
-    .A3(_05316_),
-    .B1(_05319_),
-    .C1(_05324_),
+    .Y(_05324_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09725_ (.A(_05324_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05325_));
- sky130_fd_sc_hd__or3_1 _09726_ (.A(_05296_),
-    .B(_05309_),
-    .C(_05325_),
+ sky130_fd_sc_hd__a2bb2o_1 _09726_ (.A1_N(_05293_),
+    .A2_N(_05323_),
+    .B1(_05325_),
+    .B2(_05262_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05326_));
- sky130_fd_sc_hd__clkbuf_1 _09727_ (.A(_05326_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(\u_usb_host.u_core.u_sie.next_state_r[2] ));
- sky130_fd_sc_hd__or2b_2 _09728_ (.A(_05262_),
-    .B_N(_05261_),
+ sky130_fd_sc_hd__clkbuf_1 _09727_ (.A(_05248_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05327_));
- sky130_fd_sc_hd__nand2_1 _09729_ (.A(_05297_),
-    .B(_05271_),
+ sky130_fd_sc_hd__a21o_1 _09728_ (.A1(_05327_),
+    .A2(_05262_),
+    .B1(_05244_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05328_));
- sky130_fd_sc_hd__nor2_1 _09730_ (.A(_05327_),
-    .B(_05328_),
+    .X(_05328_));
+ sky130_fd_sc_hd__and3_1 _09729_ (.A(_05282_),
+    .B(_05327_),
+    .C(_05254_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05329_));
- sky130_fd_sc_hd__clkbuf_2 _09731_ (.A(_05329_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05330_));
- sky130_fd_sc_hd__clkbuf_1 _09732_ (.A(_05330_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05331_));
- sky130_fd_sc_hd__clkbuf_2 _09733_ (.A(_05331_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05332_));
- sky130_fd_sc_hd__and3_1 _09734_ (.A(\u_usb_host.u_core.u_sie.last_tx_time_q[0] ),
-    .B(\u_usb_host.u_core.u_sie.last_tx_time_q[2] ),
-    .C(\u_usb_host.u_core.u_sie.last_tx_time_q[1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05333_));
- sky130_fd_sc_hd__and2_1 _09735_ (.A(\u_usb_host.u_core.u_sie.last_tx_time_q[3] ),
-    .B(_05333_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05334_));
- sky130_fd_sc_hd__and3_1 _09736_ (.A(\u_usb_host.u_core.u_sie.last_tx_time_q[4] ),
-    .B(\u_usb_host.u_core.u_sie.last_tx_time_q[5] ),
-    .C(_05334_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05335_));
- sky130_fd_sc_hd__and2_1 _09737_ (.A(\u_usb_host.u_core.u_sie.last_tx_time_q[6] ),
-    .B(_05335_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05336_));
- sky130_fd_sc_hd__nand2_1 _09738_ (.A(\u_usb_host.u_core.u_sie.last_tx_time_q[7] ),
-    .B(_05336_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_05337_));
- sky130_fd_sc_hd__inv_2 _09739_ (.A(_05337_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_05338_));
- sky130_fd_sc_hd__o21a_4 _09740_ (.A1(\u_usb_host.u_core.u_sie.last_tx_time_q[8] ),
-    .A2(_05338_),
-    .B1(\u_usb_host.u_core.u_sie.wait_resp_q ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05339_));
- sky130_fd_sc_hd__inv_2 _09741_ (.A(_05339_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_05340_));
- sky130_fd_sc_hd__and3_1 _09742_ (.A(_05303_),
-    .B(_05273_),
-    .C(_05295_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05341_));
- sky130_fd_sc_hd__nor2_1 _09743_ (.A(_05313_),
-    .B(_05264_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_05342_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09744_ (.A(_05342_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05343_));
- sky130_fd_sc_hd__nor2_1 _09745_ (.A(_05311_),
-    .B(_05270_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_05344_));
- sky130_fd_sc_hd__o32a_1 _09746_ (.A1(_05341_),
-    .A2(_05343_),
-    .A3(_05344_),
-    .B1(_05311_),
-    .B2(_05301_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05345_));
- sky130_fd_sc_hd__nand2_1 _09747_ (.A(_05281_),
-    .B(_05315_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_05346_));
- sky130_fd_sc_hd__nor2_1 _09748_ (.A(_05265_),
-    .B(_05328_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_05347_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09749_ (.A(_05347_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05348_));
- sky130_fd_sc_hd__a2bb2o_1 _09750_ (.A1_N(_05312_),
-    .A2_N(_05346_),
-    .B1(_05348_),
-    .B2(_05282_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05349_));
- sky130_fd_sc_hd__clkbuf_2 _09751_ (.A(\u_usb_host.u_core.u_sie.data_ready_w ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05350_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09752_ (.A(_05350_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05351_));
- sky130_fd_sc_hd__a32o_1 _09753_ (.A1(_05300_),
-    .A2(_05308_),
-    .A3(_05263_),
-    .B1(_05351_),
-    .B2(_05331_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05352_));
- sky130_fd_sc_hd__nand2_2 _09754_ (.A(_05313_),
-    .B(_05267_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_05353_));
- sky130_fd_sc_hd__or2_1 _09755_ (.A(_05317_),
-    .B(_05353_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05354_));
- sky130_fd_sc_hd__clkbuf_2 _09756_ (.A(_05282_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05355_));
- sky130_fd_sc_hd__nor2_1 _09757_ (.A(\u_usb_host.u_core.u_sie.wait_resp_q ),
-    .B(_05355_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_05356_));
- sky130_fd_sc_hd__and3_1 _09758_ (.A(_05299_),
-    .B(_05267_),
-    .C(_05273_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05357_));
- sky130_fd_sc_hd__a2bb2o_1 _09759_ (.A1_N(_05354_),
-    .A2_N(_05356_),
-    .B1(_05357_),
+    .X(_05329_));
+ sky130_fd_sc_hd__a32o_1 _09730_ (.A1(_05283_),
+    .A2(_05289_),
+    .A3(_05328_),
+    .B1(_05329_),
     .B2(\u_usb_host.u_core.u_sie.rx_active_q[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05358_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09760_ (.A(_05267_),
+    .X(_05330_));
+ sky130_fd_sc_hd__nand2_1 _09731_ (.A(_05280_),
+    .B(_05248_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05359_));
- sky130_fd_sc_hd__or4_1 _09761_ (.A(_05300_),
-    .B(_05359_),
-    .C(_05327_),
-    .D(_05306_),
+    .Y(_05331_));
+ sky130_fd_sc_hd__or2_1 _09732_ (.A(_05297_),
+    .B(_05331_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05360_));
- sky130_fd_sc_hd__or4b_1 _09762_ (.A(_05349_),
-    .B(_05352_),
-    .C(_05358_),
-    .D_N(_05360_),
+    .X(_05332_));
+ sky130_fd_sc_hd__clkbuf_2 _09733_ (.A(_05262_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05361_));
- sky130_fd_sc_hd__a211o_1 _09763_ (.A1(_05332_),
-    .A2(_05340_),
-    .B1(_05345_),
-    .C1(_05361_),
+    .X(_05333_));
+ sky130_fd_sc_hd__nor2_1 _09734_ (.A(\u_usb_host.u_core.u_sie.wait_resp_q ),
+    .B(_05333_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_05334_));
+ sky130_fd_sc_hd__clkbuf_2 _09735_ (.A(\u_usb_host.u_core.u_sie.data_ready_w ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05335_));
+ sky130_fd_sc_hd__nand2_1 _09736_ (.A(_05335_),
+    .B(_05316_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_05336_));
+ sky130_fd_sc_hd__o21ai_1 _09737_ (.A1(_05332_),
+    .A2(_05334_),
+    .B1(_05336_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_05337_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09738_ (.A(_05327_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05338_));
+ sky130_fd_sc_hd__or4_1 _09739_ (.A(_05282_),
+    .B(_05338_),
+    .C(_05313_),
+    .D(_05287_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05339_));
+ sky130_fd_sc_hd__or4b_1 _09740_ (.A(_05326_),
+    .B(_05330_),
+    .C(_05337_),
+    .D_N(_05339_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05340_));
+ sky130_fd_sc_hd__or3_1 _09741_ (.A(_05318_),
+    .B(_05322_),
+    .C(_05340_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05341_));
+ sky130_fd_sc_hd__clkbuf_1 _09742_ (.A(_05341_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(\u_usb_host.u_core.u_sie.next_state_r[0] ));
- sky130_fd_sc_hd__clkbuf_2 _09764_ (.A(_05348_),
+ sky130_fd_sc_hd__clkbuf_2 _09743_ (.A(_05325_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05362_));
- sky130_fd_sc_hd__or3b_1 _09765_ (.A(_05350_),
-    .B(_05339_),
-    .C_N(_05331_),
+    .X(_05342_));
+ sky130_fd_sc_hd__inv_2 _09744_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05363_));
- sky130_fd_sc_hd__nand4b_1 _09766_ (.A_N(\u_usb_host.u_core.u_sie.crc_sum_q[14] ),
+    .Y(_05343_));
+ sky130_fd_sc_hd__or4_1 _09745_ (.A(_05343_),
+    .B(\u_usb_host.u_core.u_sie.crc_sum_q[1] ),
+    .C(\u_usb_host.u_core.u_sie.crc_sum_q[3] ),
+    .D(\u_usb_host.u_core.u_sie.crc_sum_q[5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05344_));
+ sky130_fd_sc_hd__or4_1 _09746_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[2] ),
+    .B(\u_usb_host.u_core.u_sie.crc_sum_q[4] ),
+    .C(\u_usb_host.u_core.u_sie.crc_sum_q[6] ),
+    .D(\u_usb_host.u_core.u_sie.crc_sum_q[7] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05345_));
+ sky130_fd_sc_hd__and4b_1 _09747_ (.A_N(\u_usb_host.u_core.u_sie.crc_sum_q[14] ),
     .B(\u_usb_host.u_core.u_sie.crc_sum_q[15] ),
     .C(\u_usb_host.u_core.u_sie.crc_out_w[4] ),
     .D(\u_usb_host.u_core.u_sie.crc_out_w[5] ),
@@ -164670,211 +164060,182 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05364_));
- sky130_fd_sc_hd__or4_1 _09767_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[8] ),
+    .X(_05346_));
+ sky130_fd_sc_hd__or4b_1 _09748_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[8] ),
     .B(\u_usb_host.u_core.u_sie.crc_out_w[1] ),
     .C(\u_usb_host.u_core.u_sie.crc_out_w[2] ),
-    .D(\u_usb_host.u_core.u_sie.crc_out_w[3] ),
+    .D_N(_05346_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05365_));
- sky130_fd_sc_hd__or4_1 _09768_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[3] ),
-    .B(\u_usb_host.u_core.u_sie.crc_sum_q[5] ),
-    .C(\u_usb_host.u_core.u_sie.crc_sum_q[6] ),
-    .D(\u_usb_host.u_core.u_sie.crc_sum_q[7] ),
+    .X(_05347_));
+ sky130_fd_sc_hd__or4_2 _09749_ (.A(\u_usb_host.u_core.u_sie.crc_out_w[3] ),
+    .B(_05344_),
+    .C(_05345_),
+    .D(_05347_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05366_));
- sky130_fd_sc_hd__inv_2 _09769_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_05367_));
- sky130_fd_sc_hd__or4_1 _09770_ (.A(_05367_),
-    .B(\u_usb_host.u_core.u_sie.crc_sum_q[1] ),
-    .C(\u_usb_host.u_core.u_sie.crc_sum_q[2] ),
-    .D(\u_usb_host.u_core.u_sie.crc_sum_q[4] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05368_));
- sky130_fd_sc_hd__o41a_2 _09771_ (.A1(_05364_),
-    .A2(_05365_),
-    .A3(_05366_),
-    .A4(_05368_),
-    .B1(\u_usb_host.u_core.u_sie.in_transfer_q ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05369_));
- sky130_fd_sc_hd__nor2_1 _09772_ (.A(\u_usb_host.u_core.status_response_w[3] ),
+    .X(_05348_));
+ sky130_fd_sc_hd__xnor2_1 _09750_ (.A(\u_usb_host.u_core.status_response_w[3] ),
     .B(\u_usb_host.u_core.status_response_w[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05370_));
- sky130_fd_sc_hd__and2_1 _09773_ (.A(\u_usb_host.u_core.status_response_w[3] ),
-    .B(\u_usb_host.u_core.status_response_w[7] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05371_));
- sky130_fd_sc_hd__or4bb_1 _09774_ (.A(\u_usb_host.u_core.u_sie.rx_active_q[0] ),
+    .Y(_05349_));
+ sky130_fd_sc_hd__or4_1 _09751_ (.A(\u_usb_host.u_core.u_sie.rx_active_q[0] ),
     .B(\u_usb_host.u_core.status_response_w[2] ),
-    .C_N(\u_usb_host.u_core.status_response_w[1] ),
-    .D_N(\u_usb_host.u_core.status_response_w[0] ),
+    .C(\u_usb_host.u_core.status_response_w[5] ),
+    .D(_05349_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05372_));
- sky130_fd_sc_hd__or3_1 _09775_ (.A(_05370_),
-    .B(_05371_),
-    .C(_05372_),
+    .X(_05350_));
+ sky130_fd_sc_hd__and2_1 _09752_ (.A(\u_usb_host.u_core.u_sie.state_q[0] ),
+    .B(_05254_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05373_));
- sky130_fd_sc_hd__and2_1 _09776_ (.A(_05297_),
-    .B(_05273_),
+    .X(_05351_));
+ sky130_fd_sc_hd__clkbuf_2 _09753_ (.A(_05351_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05374_));
- sky130_fd_sc_hd__nand2_1 _09777_ (.A(_05266_),
-    .B(_05374_),
+    .X(_05352_));
+ sky130_fd_sc_hd__and4b_1 _09754_ (.A_N(\u_usb_host.u_core.status_response_w[4] ),
+    .B(\u_usb_host.u_core.status_response_w[6] ),
+    .C(\u_usb_host.u_core.status_response_w[0] ),
+    .D(\u_usb_host.u_core.status_response_w[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05375_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09778_ (.A(_05375_),
+    .X(_05353_));
+ sky130_fd_sc_hd__and4b_1 _09755_ (.A_N(_05350_),
+    .B(_05327_),
+    .C(_05352_),
+    .D(_05353_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05376_));
- sky130_fd_sc_hd__clkbuf_4 _09779_ (.A(_05376_),
+    .X(_05354_));
+ sky130_fd_sc_hd__and3_1 _09756_ (.A(\u_usb_host.u_core.u_sie.in_transfer_q ),
+    .B(_05348_),
+    .C(_05354_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05377_));
- sky130_fd_sc_hd__or4b_1 _09780_ (.A(\u_usb_host.u_core.status_response_w[5] ),
-    .B(_05377_),
-    .C(\u_usb_host.u_core.status_response_w[4] ),
-    .D_N(\u_usb_host.u_core.status_response_w[6] ),
+    .X(_05355_));
+ sky130_fd_sc_hd__nand2_1 _09757_ (.A(\u_usb_host.u_core.u_sie.send_ack_q ),
+    .B(_05354_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05378_));
- sky130_fd_sc_hd__or4b_1 _09781_ (.A(_05369_),
-    .B(_05373_),
-    .C(_05378_),
-    .D_N(\u_usb_host.u_core.u_sie.send_ack_q ),
+    .Y(_05356_));
+ sky130_fd_sc_hd__or3b_1 _09758_ (.A(\u_usb_host.u_core.u_sie.data_ready_w ),
+    .B(_05312_),
+    .C_N(_05316_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05379_));
- sky130_fd_sc_hd__nand2_1 _09782_ (.A(_05363_),
-    .B(_05379_),
+    .X(_05357_));
+ sky130_fd_sc_hd__o21ai_2 _09759_ (.A1(_05355_),
+    .A2(_05356_),
+    .B1(_05357_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05380_));
- sky130_fd_sc_hd__nor2_2 _09783_ (.A(_05317_),
-    .B(_05353_),
+    .Y(_05358_));
+ sky130_fd_sc_hd__nor2_1 _09760_ (.A(_05297_),
+    .B(_05331_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05381_));
- sky130_fd_sc_hd__o211a_1 _09784_ (.A1(_05303_),
-    .A2(_05310_),
-    .B1(_05381_),
+    .Y(_05359_));
+ sky130_fd_sc_hd__o211a_1 _09761_ (.A1(_05261_),
+    .A2(_05291_),
+    .B1(_05359_),
     .C1(\u_usb_host.u_core.u_sie.wait_resp_q ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05382_));
- sky130_fd_sc_hd__a31o_1 _09785_ (.A1(_05268_),
-    .A2(_05318_),
-    .A3(_05328_),
-    .B1(_05382_),
+    .X(_05360_));
+ sky130_fd_sc_hd__a31o_1 _09762_ (.A1(_05249_),
+    .A2(_05298_),
+    .A3(_05314_),
+    .B1(_05360_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05383_));
- sky130_fd_sc_hd__a2111o_1 _09786_ (.A1(_05311_),
-    .A2(_05362_),
-    .B1(_05380_),
-    .C1(_05383_),
-    .D1(_05309_),
+    .X(_05361_));
+ sky130_fd_sc_hd__a2111o_1 _09763_ (.A1(_05292_),
+    .A2(_05342_),
+    .B1(_05358_),
+    .C1(_05361_),
+    .D1(_05290_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(\u_usb_host.u_core.u_sie.next_state_r[3] ));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09787_ (.A(\u_uart_core.u_rxfsm.offset[0] ),
+ sky130_fd_sc_hd__clkbuf_2 _09764_ (.A(\u_uart_core.u_rxfsm.offset[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05384_));
- sky130_fd_sc_hd__inv_2 _09788_ (.A(_05384_),
+    .X(_05362_));
+ sky130_fd_sc_hd__clkinv_2 _09765_ (.A(_05362_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_00096_));
- sky130_fd_sc_hd__and3_1 _09789_ (.A(\u_usb_host.u_core.sof_time_q[1] ),
+ sky130_fd_sc_hd__and3_1 _09766_ (.A(\u_usb_host.u_core.sof_time_q[1] ),
     .B(\u_usb_host.u_core.sof_time_q[0] ),
     .C(\u_usb_host.u_core.sof_time_q[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05385_));
- sky130_fd_sc_hd__and2_1 _09790_ (.A(\u_usb_host.u_core.sof_time_q[3] ),
-    .B(_05385_),
+    .X(_05363_));
+ sky130_fd_sc_hd__and2_1 _09767_ (.A(\u_usb_host.u_core.sof_time_q[3] ),
+    .B(_05363_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05386_));
- sky130_fd_sc_hd__and3_1 _09791_ (.A(\u_usb_host.u_core.sof_time_q[4] ),
+    .X(_05364_));
+ sky130_fd_sc_hd__and3_1 _09768_ (.A(\u_usb_host.u_core.sof_time_q[4] ),
     .B(\u_usb_host.u_core.sof_time_q[5] ),
-    .C(_05386_),
+    .C(_05364_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05387_));
- sky130_fd_sc_hd__and3_1 _09792_ (.A(\u_usb_host.u_core.sof_time_q[6] ),
+    .X(_05365_));
+ sky130_fd_sc_hd__and3_1 _09769_ (.A(\u_usb_host.u_core.sof_time_q[6] ),
     .B(\u_usb_host.u_core.sof_time_q[9] ),
     .C(\u_usb_host.u_core.sof_time_q[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05388_));
- sky130_fd_sc_hd__and4bb_1 _09793_ (.A_N(\u_usb_host.u_core.sof_time_q[7] ),
+    .X(_05366_));
+ sky130_fd_sc_hd__and4bb_1 _09770_ (.A_N(\u_usb_host.u_core.sof_time_q[7] ),
     .B_N(\u_usb_host.u_core.sof_time_q[10] ),
     .C(\u_usb_host.u_core.sof_time_q[13] ),
     .D(\u_usb_host.u_core.sof_time_q[12] ),
@@ -164882,252 +164243,269 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05389_));
- sky130_fd_sc_hd__and4b_1 _09794_ (.A_N(\u_usb_host.u_core.sof_time_q[14] ),
+    .X(_05367_));
+ sky130_fd_sc_hd__and4b_1 _09771_ (.A_N(\u_usb_host.u_core.sof_time_q[14] ),
     .B(\u_usb_host.u_core.sof_time_q[15] ),
-    .C(_05389_),
+    .C(_05367_),
     .D(\u_usb_host.u_core.sof_time_q[11] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05390_));
- sky130_fd_sc_hd__nand3_1 _09795_ (.A(_05387_),
-    .B(_05388_),
-    .C(_05390_),
+    .X(_05368_));
+ sky130_fd_sc_hd__nand3_1 _09772_ (.A(_05365_),
+    .B(_05366_),
+    .C(_05368_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05391_));
- sky130_fd_sc_hd__nor2_1 _09796_ (.A(\u_usb_host.u_core.u_sie.state_q[0] ),
-    .B(_05321_),
+    .Y(_05369_));
+ sky130_fd_sc_hd__nor2_1 _09773_ (.A(\u_usb_host.u_core.u_sie.state_q[0] ),
+    .B(_05301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05392_));
- sky130_fd_sc_hd__nand2_2 _09797_ (.A(\u_usb_host.u_core.usb_ctrl_enable_sof_out_w ),
-    .B(_05392_),
+    .Y(_05370_));
+ sky130_fd_sc_hd__nand2_2 _09774_ (.A(\u_usb_host.u_core.usb_ctrl_enable_sof_out_w ),
+    .B(_05370_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05393_));
- sky130_fd_sc_hd__or2_4 _09798_ (.A(_05391_),
-    .B(_05393_),
+    .Y(_05371_));
+ sky130_fd_sc_hd__or2_2 _09775_ (.A(_05369_),
+    .B(_05371_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05394_));
- sky130_fd_sc_hd__inv_2 _09799_ (.A(_05394_),
+    .X(_05372_));
+ sky130_fd_sc_hd__inv_2 _09776_ (.A(_05372_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05395_));
- sky130_fd_sc_hd__clkbuf_4 _09800_ (.A(_05395_),
+    .Y(_05373_));
+ sky130_fd_sc_hd__clkbuf_4 _09777_ (.A(_05373_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(\u_usb_host.u_core.send_sof_w ));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09801_ (.A(\u_uart_core.u_rxfsm.rxstate[0] ),
+ sky130_fd_sc_hd__clkbuf_1 _09778_ (.A(\u_uart_core.u_rxfsm.rxstate[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05396_));
- sky130_fd_sc_hd__clkbuf_1 _09802_ (.A(\u_uart_core.u_rxfsm.rxstate[1] ),
+    .X(_05374_));
+ sky130_fd_sc_hd__clkbuf_1 _09779_ (.A(\u_uart_core.u_rxfsm.rxstate[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05397_));
- sky130_fd_sc_hd__nor3_2 _09803_ (.A(\u_uart_core.u_rxfsm.rxstate[2] ),
-    .B(_05396_),
-    .C(_05397_),
+    .X(_05375_));
+ sky130_fd_sc_hd__clkbuf_1 _09780_ (.A(\u_uart_core.u_rxfsm.rxstate[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05398_));
- sky130_fd_sc_hd__xnor2_1 _09804_ (.A(\u_uart_core.u_rxfsm.offset[0] ),
+    .X(_05376_));
+ sky130_fd_sc_hd__or2_1 _09781_ (.A(_05375_),
+    .B(_05376_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05377_));
+ sky130_fd_sc_hd__nor2_1 _09782_ (.A(_05374_),
+    .B(_05377_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_05378_));
+ sky130_fd_sc_hd__xor2_1 _09783_ (.A(\u_uart_core.u_rxfsm.offset[0] ),
     .B(\u_uart_core.u_rxfsm.rxpos[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05399_));
- sky130_fd_sc_hd__xnor2_1 _09805_ (.A(\u_uart_core.u_rxfsm.offset[3] ),
+    .X(_05379_));
+ sky130_fd_sc_hd__xor2_1 _09784_ (.A(\u_uart_core.u_rxfsm.offset[3] ),
     .B(\u_uart_core.u_rxfsm.rxpos[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05400_));
- sky130_fd_sc_hd__xnor2_1 _09806_ (.A(\u_uart_core.u_rxfsm.offset[1] ),
+    .X(_05380_));
+ sky130_fd_sc_hd__xor2_1 _09785_ (.A(\u_uart_core.u_rxfsm.offset[1] ),
     .B(\u_uart_core.u_rxfsm.rxpos[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05401_));
- sky130_fd_sc_hd__xnor2_1 _09807_ (.A(\u_uart_core.u_rxfsm.offset[2] ),
+    .X(_05381_));
+ sky130_fd_sc_hd__xor2_1 _09786_ (.A(\u_uart_core.u_rxfsm.offset[2] ),
     .B(\u_uart_core.u_rxfsm.rxpos[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05402_));
- sky130_fd_sc_hd__and4_1 _09808_ (.A(_05399_),
-    .B(_05400_),
-    .C(_05401_),
-    .D(_05402_),
+    .X(_05382_));
+ sky130_fd_sc_hd__or4_2 _09787_ (.A(_05379_),
+    .B(_05380_),
+    .C(_05381_),
+    .D(_05382_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05403_));
- sky130_fd_sc_hd__clkbuf_1 _09809_ (.A(_05403_),
+    .X(_05383_));
+ sky130_fd_sc_hd__clkbuf_1 _09788_ (.A(_05383_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05404_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09810_ (.A(_05404_),
+    .X(_05384_));
+ sky130_fd_sc_hd__clkbuf_4 _09789_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_be0.gen_bit_reg[3].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05405_));
- sky130_fd_sc_hd__clkbuf_1 _09811_ (.A(\u_uart_core.u_rxfsm.rxstate[2] ),
+    .X(_05385_));
+ sky130_fd_sc_hd__clkbuf_4 _09790_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_be0.gen_bit_reg[4].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05406_));
- sky130_fd_sc_hd__clkbuf_1 _09812_ (.A(_05396_),
+    .X(_05386_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09791_ (.A(_05376_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05407_));
- sky130_fd_sc_hd__clkbuf_1 _09813_ (.A(_05397_),
+    .X(_05387_));
+ sky130_fd_sc_hd__and2b_1 _09792_ (.A_N(_05375_),
+    .B(_05374_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05408_));
- sky130_fd_sc_hd__and3b_1 _09814_ (.A_N(_05406_),
-    .B(_05407_),
-    .C(_05408_),
+    .X(_05388_));
+ sky130_fd_sc_hd__and2_1 _09793_ (.A(_05387_),
+    .B(_05388_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05409_));
- sky130_fd_sc_hd__and2b_2 _09815_ (.A_N(\u_uart_core.u_cfg.u_uart_ctrl_be0.gen_bit_reg[3].u_bit_reg.data_out ),
-    .B(\u_uart_core.u_cfg.u_uart_ctrl_be0.gen_bit_reg[4].u_bit_reg.data_out ),
+    .X(_05389_));
+ sky130_fd_sc_hd__and4bb_1 _09794_ (.A_N(_05384_),
+    .B_N(_05385_),
+    .C(_05386_),
+    .D(_05389_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05410_));
- sky130_fd_sc_hd__and3_1 _09816_ (.A(_05405_),
-    .B(_05409_),
-    .C(_05410_),
+    .X(_05390_));
+ sky130_fd_sc_hd__nor2_1 _09795_ (.A(_05378_),
+    .B(_05390_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05411_));
- sky130_fd_sc_hd__nor2_1 _09817_ (.A(_05398_),
-    .B(_05411_),
+    .Y(_05391_));
+ sky130_fd_sc_hd__clkbuf_1 _09796_ (.A(\u_uart_core.si_ss ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05412_));
- sky130_fd_sc_hd__or2b_1 _09818_ (.A(_05397_),
-    .B_N(_05406_),
+    .X(_05392_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09797_ (.A(_05392_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05413_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09819_ (.A(\u_uart_core.si_ss ),
+    .X(_05393_));
+ sky130_fd_sc_hd__and2b_1 _09798_ (.A_N(_05374_),
+    .B(_05375_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05414_));
- sky130_fd_sc_hd__clkbuf_1 _09820_ (.A(_05414_),
+    .X(_05394_));
+ sky130_fd_sc_hd__clkbuf_1 _09799_ (.A(_05394_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05415_));
- sky130_fd_sc_hd__or3b_1 _09821_ (.A(_05413_),
-    .B(_05415_),
-    .C_N(_05405_),
+    .X(_05395_));
+ sky130_fd_sc_hd__or3b_1 _09800_ (.A(_05393_),
+    .B(_05384_),
+    .C_N(_05395_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05416_));
- sky130_fd_sc_hd__inv_2 _09822_ (.A(\u_uart_core.rx_fifo_wr_full ),
+    .X(_05396_));
+ sky130_fd_sc_hd__inv_2 _09801_ (.A(\u_uart_core.rx_fifo_wr_full ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05417_));
- sky130_fd_sc_hd__or3_2 _09823_ (.A(_05406_),
-    .B(_05407_),
-    .C(_05408_),
+    .Y(_05397_));
+ sky130_fd_sc_hd__clkbuf_1 _09802_ (.A(_05374_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05418_));
- sky130_fd_sc_hd__clkbuf_2 _09824_ (.A(_05415_),
+    .X(_05398_));
+ sky130_fd_sc_hd__or2_1 _09803_ (.A(_05398_),
+    .B(_05377_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05419_));
- sky130_fd_sc_hd__a211oi_1 _09825_ (.A1(\u_uart_core.cfg_rx_enable ),
-    .A2(_05417_),
-    .B1(_05418_),
-    .C1(_05419_),
+    .X(_05399_));
+ sky130_fd_sc_hd__clkbuf_4 _09804_ (.A(_05393_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05420_));
- sky130_fd_sc_hd__a31o_1 _09826_ (.A1(\u_uart_core.rx_fifo_full_err ),
-    .A2(_05412_),
-    .A3(_05416_),
-    .B1(_05420_),
+    .X(_05400_));
+ sky130_fd_sc_hd__a211oi_1 _09805_ (.A1(\u_uart_core.cfg_rx_enable ),
+    .A2(_05397_),
+    .B1(_05399_),
+    .C1(_05400_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_05401_));
+ sky130_fd_sc_hd__a31o_1 _09806_ (.A1(\u_uart_core.rx_fifo_full_err ),
+    .A2(_05391_),
+    .A3(_05396_),
+    .B1(_05401_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00018_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09827_ (.A(\u_uart_core.u_txfsm.txstate[0] ),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09807_ (.A(\u_uart_core.u_txfsm.txstate[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05421_));
- sky130_fd_sc_hd__inv_2 _09828_ (.A(\u_uart_core.cfg_tx_enable ),
+    .X(_05402_));
+ sky130_fd_sc_hd__clkinv_2 _09808_ (.A(\u_uart_core.cfg_tx_enable ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05422_));
- sky130_fd_sc_hd__or4_2 _09829_ (.A(\u_uart_core.u_txfsm.divcnt[0] ),
+    .Y(_05403_));
+ sky130_fd_sc_hd__or4_2 _09809_ (.A(\u_uart_core.u_txfsm.divcnt[0] ),
     .B(\u_uart_core.u_txfsm.divcnt[1] ),
     .C(\u_uart_core.u_txfsm.divcnt[3] ),
     .D(\u_uart_core.u_txfsm.divcnt[2] ),
@@ -165135,1091 +164513,1079 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05423_));
- sky130_fd_sc_hd__or3_1 _09830_ (.A(_05422_),
+    .X(_05404_));
+ sky130_fd_sc_hd__or3_1 _09810_ (.A(_05403_),
     .B(\u_uart_core.tx_fifo_rd_empty ),
-    .C(_05423_),
+    .C(_05404_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05424_));
- sky130_fd_sc_hd__buf_2 _09831_ (.A(\u_uart_core.cfg_stop_bit ),
+    .X(_05405_));
+ sky130_fd_sc_hd__buf_2 _09811_ (.A(\u_uart_core.cfg_stop_bit ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05425_));
- sky130_fd_sc_hd__inv_2 _09832_ (.A(_05425_),
+    .X(_05406_));
+ sky130_fd_sc_hd__inv_2 _09812_ (.A(_05406_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05426_));
- sky130_fd_sc_hd__clkbuf_1 _09833_ (.A(\u_uart_core.u_txfsm.txstate[4] ),
+    .Y(_05407_));
+ sky130_fd_sc_hd__clkbuf_1 _09813_ (.A(\u_uart_core.u_txfsm.txstate[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05427_));
- sky130_fd_sc_hd__a21o_1 _09834_ (.A1(_05426_),
-    .A2(_05427_),
+    .X(_05408_));
+ sky130_fd_sc_hd__a21o_1 _09814_ (.A1(_05407_),
+    .A2(_05408_),
     .B1(\u_uart_core.u_txfsm.txstate[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05428_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09835_ (.A(\u_uart_core.u_txfsm.divcnt[1] ),
+    .X(_05409_));
+ sky130_fd_sc_hd__clkbuf_1 _09815_ (.A(\u_uart_core.u_txfsm.divcnt[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05429_));
- sky130_fd_sc_hd__nor4_1 _09836_ (.A(\u_uart_core.u_txfsm.divcnt[0] ),
-    .B(_05429_),
+    .X(_05410_));
+ sky130_fd_sc_hd__nor4_1 _09816_ (.A(\u_uart_core.u_txfsm.divcnt[0] ),
+    .B(_05410_),
     .C(\u_uart_core.u_txfsm.divcnt[3] ),
     .D(\u_uart_core.u_txfsm.divcnt[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05430_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09837_ (.A(_05430_),
+    .Y(_05411_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09817_ (.A(_05411_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05431_));
- sky130_fd_sc_hd__a22o_1 _09838_ (.A1(_05421_),
-    .A2(_05424_),
-    .B1(_05428_),
-    .B2(_05431_),
+    .X(_05412_));
+ sky130_fd_sc_hd__a22o_1 _09818_ (.A1(_05402_),
+    .A2(_05405_),
+    .B1(_05409_),
+    .B2(_05412_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00019_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09839_ (.A(_05423_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09819_ (.A(_05404_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05432_));
- sky130_fd_sc_hd__and3_1 _09840_ (.A(_05425_),
-    .B(_05427_),
-    .C(_05431_),
+    .X(_05413_));
+ sky130_fd_sc_hd__and3_1 _09820_ (.A(_05406_),
+    .B(_05408_),
+    .C(_05412_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05433_));
- sky130_fd_sc_hd__a21o_1 _09841_ (.A1(\u_uart_core.u_txfsm.txstate[1] ),
-    .A2(_05432_),
-    .B1(_05433_),
+    .X(_05414_));
+ sky130_fd_sc_hd__a21o_1 _09821_ (.A1(\u_uart_core.u_txfsm.txstate[1] ),
+    .A2(_05413_),
+    .B1(_05414_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00020_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09842_ (.A(\u_uart_core.u_txfsm.txstate[3] ),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09822_ (.A(\u_uart_core.u_txfsm.txstate[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05434_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09843_ (.A(_05434_),
+    .X(_05415_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09823_ (.A(_05415_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05435_));
- sky130_fd_sc_hd__inv_2 _09844_ (.A(\u_uart_core.u_txfsm.cnt[2] ),
+    .X(_05416_));
+ sky130_fd_sc_hd__inv_2 _09824_ (.A(\u_uart_core.u_txfsm.cnt[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05436_));
- sky130_fd_sc_hd__clkbuf_1 _09845_ (.A(\u_uart_core.u_txfsm.cnt[0] ),
+    .Y(_05417_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09825_ (.A(\u_uart_core.u_txfsm.cnt[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05437_));
- sky130_fd_sc_hd__nand2_1 _09846_ (.A(\u_uart_core.u_txfsm.cnt[1] ),
-    .B(_05437_),
+    .X(_05418_));
+ sky130_fd_sc_hd__nand2_1 _09826_ (.A(\u_uart_core.u_txfsm.cnt[1] ),
+    .B(_05418_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05438_));
- sky130_fd_sc_hd__nor3_1 _09847_ (.A(_05436_),
-    .B(_05432_),
-    .C(_05438_),
+    .Y(_05419_));
+ sky130_fd_sc_hd__nor3_1 _09827_ (.A(_05417_),
+    .B(_05413_),
+    .C(_05419_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05439_));
- sky130_fd_sc_hd__nor2_4 _09848_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_be0.gen_bit_reg[3].u_bit_reg.data_out ),
-    .B(\u_uart_core.u_cfg.u_uart_ctrl_be0.gen_bit_reg[4].u_bit_reg.data_out ),
+    .Y(_05420_));
+ sky130_fd_sc_hd__nor2_4 _09828_ (.A(_05385_),
+    .B(_05386_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05440_));
- sky130_fd_sc_hd__inv_2 _09849_ (.A(_05440_),
+    .Y(_05421_));
+ sky130_fd_sc_hd__inv_2 _09829_ (.A(_05421_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05441_));
- sky130_fd_sc_hd__a32o_1 _09850_ (.A1(_05435_),
-    .A2(_05439_),
-    .A3(_05441_),
+    .Y(_05422_));
+ sky130_fd_sc_hd__a32o_1 _09830_ (.A1(_05416_),
+    .A2(_05420_),
+    .A3(_05422_),
     .B1(\u_uart_core.u_txfsm.txstate[2] ),
-    .B2(_05432_),
+    .B2(_05413_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00021_));
- sky130_fd_sc_hd__inv_2 _09851_ (.A(_05434_),
+ sky130_fd_sc_hd__inv_2 _09831_ (.A(_05415_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05442_));
- sky130_fd_sc_hd__inv_2 _09852_ (.A(_05421_),
+    .Y(_05423_));
+ sky130_fd_sc_hd__inv_2 _09832_ (.A(_05402_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05443_));
- sky130_fd_sc_hd__or2_2 _09853_ (.A(_05443_),
-    .B(_05424_),
+    .Y(_05424_));
+ sky130_fd_sc_hd__or2_2 _09833_ (.A(_05424_),
+    .B(_05405_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05444_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09854_ (.A(_05444_),
+    .X(_05425_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09834_ (.A(_05425_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05445_));
- sky130_fd_sc_hd__o21ai_1 _09855_ (.A1(_05442_),
-    .A2(_05439_),
-    .B1(_05445_),
+    .X(_05426_));
+ sky130_fd_sc_hd__o21ai_1 _09835_ (.A1(_05423_),
+    .A2(_05420_),
+    .B1(_05426_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_00022_));
- sky130_fd_sc_hd__nand2_1 _09856_ (.A(_05302_),
-    .B(_05343_),
+ sky130_fd_sc_hd__nand2_1 _09836_ (.A(_05260_),
+    .B(_05320_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05446_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09857_ (.A(_05446_),
+    .Y(_05427_));
+ sky130_fd_sc_hd__buf_2 _09837_ (.A(_05427_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05428_));
+ sky130_fd_sc_hd__nor2_1 _09838_ (.A(_05333_),
+    .B(_05428_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_05429_));
+ sky130_fd_sc_hd__clkbuf_2 _09839_ (.A(_05429_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00091_));
+ sky130_fd_sc_hd__and4b_1 _09840_ (.A_N(_05284_),
+    .B(_05261_),
+    .C(_05249_),
+    .D(_05288_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05430_));
+ sky130_fd_sc_hd__and2_1 _09841_ (.A(_05260_),
+    .B(_05319_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05431_));
+ sky130_fd_sc_hd__clkbuf_1 _09842_ (.A(_05431_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05432_));
+ sky130_fd_sc_hd__clkbuf_2 _09843_ (.A(_05432_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05433_));
+ sky130_fd_sc_hd__or4_1 _09844_ (.A(_05303_),
+    .B(_05326_),
+    .C(_05360_),
+    .D(_05433_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05434_));
+ sky130_fd_sc_hd__o31ai_1 _09845_ (.A1(_05283_),
+    .A2(\u_usb_host.u_core.u_sie.send_sof_q ),
+    .A3(_05288_),
+    .B1(_05338_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_05435_));
+ sky130_fd_sc_hd__a31o_1 _09846_ (.A1(_05289_),
+    .A2(_05244_),
+    .A3(_05435_),
+    .B1(_05358_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05436_));
+ sky130_fd_sc_hd__or4_1 _09847_ (.A(_05321_),
+    .B(_05430_),
+    .C(_05434_),
+    .D(_05436_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05437_));
+ sky130_fd_sc_hd__clkbuf_1 _09848_ (.A(_05437_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\u_usb_host.u_core.u_sie.next_state_r[1] ));
+ sky130_fd_sc_hd__clkbuf_2 _09849_ (.A(\u_usb_host.u_phy.rx_dp_q ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05438_));
+ sky130_fd_sc_hd__mux2_1 _09850_ (.A0(\u_usb_host.u_phy.out_dp_q ),
+    .A1(_05438_),
+    .S(net101),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05439_));
+ sky130_fd_sc_hd__clkbuf_2 _09851_ (.A(_05439_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\u_usb_host.u_core.u_sie.utmi_linestate_i[0] ));
+ sky130_fd_sc_hd__clkbuf_2 _09852_ (.A(\u_usb_host.u_phy.rx_dn_q ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05440_));
+ sky130_fd_sc_hd__mux2_1 _09853_ (.A0(\u_usb_host.u_phy.out_dn_q ),
+    .A1(_05440_),
+    .S(net101),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05441_));
+ sky130_fd_sc_hd__clkbuf_2 _09854_ (.A(_05441_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\u_usb_host.u_core.u_sie.utmi_linestate_i[1] ));
+ sky130_fd_sc_hd__a31o_1 _09855_ (.A1(\u_uart_core.par_error ),
+    .A2(_05399_),
+    .A3(_05396_),
+    .B1(_05390_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00016_));
+ sky130_fd_sc_hd__a21bo_1 _09856_ (.A1(\u_uart_core.frm_error ),
+    .A2(_05391_),
+    .B1_N(_05396_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00017_));
+ sky130_fd_sc_hd__or2_1 _09857_ (.A(\u_i2cm.cr[4] ),
+    .B(\u_i2cm.cr[5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05442_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09858_ (.A(_05442_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00036_));
+ sky130_fd_sc_hd__buf_2 _09859_ (.A(\u_i2cm.cr[7] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05443_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09860_ (.A(\u_i2cm.u_byte_ctrl.c_state[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05444_));
+ sky130_fd_sc_hd__nor2_1 _09861_ (.A(\u_i2cm.cr[6] ),
+    .B(_00036_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_05445_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09862_ (.A(\u_i2cm.i2c_al ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05446_));
+ sky130_fd_sc_hd__or2_2 _09863_ (.A(_05446_),
+    .B(\u_i2cm.done ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05447_));
- sky130_fd_sc_hd__nor2_1 _09858_ (.A(_05355_),
+ sky130_fd_sc_hd__nor2_1 _09864_ (.A(_05445_),
     .B(_05447_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_05448_));
- sky130_fd_sc_hd__clkbuf_2 _09859_ (.A(_05448_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00091_));
- sky130_fd_sc_hd__and4b_1 _09860_ (.A_N(_05301_),
-    .B(_05303_),
-    .C(_05268_),
-    .D(_05307_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09865_ (.A(\u_i2cm.u_byte_ctrl.core_ack ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05449_));
- sky130_fd_sc_hd__and2_1 _09861_ (.A(_05302_),
-    .B(_05342_),
+ sky130_fd_sc_hd__nor2_1 _09866_ (.A(_05446_),
+    .B(_05449_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05450_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09862_ (.A(_05450_),
+    .Y(_05450_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09867_ (.A(_05450_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05451_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09863_ (.A(_05451_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09868_ (.A(\u_i2cm.u_byte_ctrl.c_state[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05452_));
- sky130_fd_sc_hd__or4_1 _09864_ (.A(_05323_),
-    .B(_05349_),
-    .C(_05382_),
-    .D(_05452_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05453_));
- sky130_fd_sc_hd__o31ai_1 _09865_ (.A1(_05300_),
-    .A2(\u_usb_host.u_core.u_sie.send_sof_q ),
-    .A3(_05307_),
-    .B1(_05359_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_05454_));
- sky130_fd_sc_hd__a31o_1 _09866_ (.A1(_05308_),
-    .A2(_05263_),
-    .A3(_05454_),
-    .B1(_05380_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05455_));
- sky130_fd_sc_hd__or4_1 _09867_ (.A(_05341_),
-    .B(_05449_),
-    .C(_05453_),
-    .D(_05455_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05456_));
- sky130_fd_sc_hd__clkbuf_1 _09868_ (.A(_05456_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(\u_usb_host.u_core.u_sie.next_state_r[1] ));
- sky130_fd_sc_hd__buf_2 _09869_ (.A(\u_usb_host.u_phy.rx_dp_q ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05457_));
- sky130_fd_sc_hd__mux2_1 _09870_ (.A0(\u_usb_host.u_phy.out_dp_q ),
-    .A1(_05457_),
-    .S(net101),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05458_));
- sky130_fd_sc_hd__clkbuf_2 _09871_ (.A(_05458_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(\u_usb_host.u_core.u_sie.utmi_linestate_i[0] ));
- sky130_fd_sc_hd__clkbuf_2 _09872_ (.A(\u_usb_host.u_phy.rx_dn_q ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05459_));
- sky130_fd_sc_hd__mux2_1 _09873_ (.A0(\u_usb_host.u_phy.out_dn_q ),
-    .A1(_05459_),
-    .S(net101),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05460_));
- sky130_fd_sc_hd__clkbuf_2 _09874_ (.A(_05460_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(\u_usb_host.u_core.u_sie.utmi_linestate_i[1] ));
- sky130_fd_sc_hd__a31o_1 _09875_ (.A1(\u_uart_core.par_error ),
-    .A2(_05418_),
-    .A3(_05416_),
-    .B1(_05411_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00016_));
- sky130_fd_sc_hd__a21bo_1 _09876_ (.A1(\u_uart_core.frm_error ),
-    .A2(_05412_),
-    .B1_N(_05416_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00017_));
- sky130_fd_sc_hd__or2_1 _09877_ (.A(\u_i2cm.cr[4] ),
-    .B(\u_i2cm.cr[5] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05461_));
- sky130_fd_sc_hd__clkbuf_2 _09878_ (.A(_05461_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00036_));
- sky130_fd_sc_hd__buf_2 _09879_ (.A(\u_i2cm.cr[7] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05462_));
- sky130_fd_sc_hd__clkbuf_1 _09880_ (.A(\u_i2cm.u_byte_ctrl.c_state[0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05463_));
- sky130_fd_sc_hd__nor2_1 _09881_ (.A(\u_i2cm.cr[6] ),
-    .B(_00036_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_05464_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09882_ (.A(\u_i2cm.i2c_al ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05465_));
- sky130_fd_sc_hd__or2_2 _09883_ (.A(_05465_),
-    .B(\u_i2cm.done ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05466_));
- sky130_fd_sc_hd__nor2_1 _09884_ (.A(_05464_),
-    .B(_05466_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_05467_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09885_ (.A(\u_i2cm.u_byte_ctrl.core_ack ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05468_));
- sky130_fd_sc_hd__nor2_1 _09886_ (.A(_05465_),
-    .B(_05468_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_05469_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09887_ (.A(_05469_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05470_));
- sky130_fd_sc_hd__clkbuf_1 _09888_ (.A(\u_i2cm.u_byte_ctrl.c_state[5] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05471_));
- sky130_fd_sc_hd__a32o_1 _09889_ (.A1(_05462_),
-    .A2(_05463_),
-    .A3(_05467_),
-    .B1(_05470_),
-    .B2(_05471_),
+ sky130_fd_sc_hd__a32o_1 _09869_ (.A1(_05443_),
+    .A2(_05444_),
+    .A3(_05448_),
+    .B1(_05451_),
+    .B2(_05452_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00006_));
- sky130_fd_sc_hd__mux2_1 _09890_ (.A0(_05427_),
+ sky130_fd_sc_hd__mux2_1 _09870_ (.A0(_05408_),
     .A1(\u_uart_core.u_txfsm.txstate[2] ),
-    .S(_05431_),
+    .S(_05412_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05472_));
- sky130_fd_sc_hd__a31o_1 _09891_ (.A1(_05435_),
-    .A2(_05439_),
-    .A3(_05440_),
-    .B1(_05472_),
+    .X(_05453_));
+ sky130_fd_sc_hd__a31o_1 _09871_ (.A1(_05416_),
+    .A2(_05420_),
+    .A3(_05421_),
+    .B1(_05453_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00023_));
- sky130_fd_sc_hd__clkbuf_1 _09892_ (.A(\u_sspim.u_spi_ctrl.spiif_cs[3] ),
+ sky130_fd_sc_hd__clkbuf_1 _09872_ (.A(\u_sspim.u_spi_ctrl.spiif_cs[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05473_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09893_ (.A(_05473_),
+    .X(_05454_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09873_ (.A(_05454_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05474_));
- sky130_fd_sc_hd__clkbuf_2 _09894_ (.A(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[6].u_bit_reg.data_out ),
+    .X(_05455_));
+ sky130_fd_sc_hd__clkbuf_2 _09874_ (.A(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[6].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05475_));
- sky130_fd_sc_hd__clkbuf_2 _09895_ (.A(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[5].u_bit_reg.data_out ),
+    .X(_05456_));
+ sky130_fd_sc_hd__clkbuf_2 _09875_ (.A(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[5].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05476_));
- sky130_fd_sc_hd__or2_1 _09896_ (.A(_05475_),
-    .B(_05476_),
+    .X(_05457_));
+ sky130_fd_sc_hd__or2_1 _09876_ (.A(_05456_),
+    .B(_05457_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05477_));
- sky130_fd_sc_hd__inv_2 _09897_ (.A(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[4].u_bit_reg.data_out ),
+    .X(_05458_));
+ sky130_fd_sc_hd__inv_2 _09877_ (.A(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[4].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05478_));
- sky130_fd_sc_hd__inv_2 _09898_ (.A(\u_sspim.u_spi_ctrl.sck_cnt[0] ),
+    .Y(_05459_));
+ sky130_fd_sc_hd__inv_2 _09878_ (.A(\u_sspim.u_spi_ctrl.sck_cnt[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05479_));
- sky130_fd_sc_hd__inv_2 _09899_ (.A(\u_sspim.u_spi_ctrl.sck_cnt[3] ),
+    .Y(_05460_));
+ sky130_fd_sc_hd__inv_2 _09879_ (.A(\u_sspim.u_spi_ctrl.sck_cnt[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05480_));
- sky130_fd_sc_hd__o22a_1 _09900_ (.A1(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[0].u_bit_reg.data_out ),
-    .A2(_05479_),
+    .Y(_05461_));
+ sky130_fd_sc_hd__o22a_1 _09880_ (.A1(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[0].u_bit_reg.data_out ),
+    .A2(_05460_),
     .B1(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[3].u_bit_reg.data_out ),
-    .B2(_05480_),
+    .B2(_05461_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05481_));
- sky130_fd_sc_hd__inv_2 _09901_ (.A(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[2].u_bit_reg.data_out ),
+    .X(_05462_));
+ sky130_fd_sc_hd__inv_2 _09881_ (.A(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[2].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05482_));
- sky130_fd_sc_hd__inv_2 _09902_ (.A(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[1].u_bit_reg.data_out ),
+    .Y(_05463_));
+ sky130_fd_sc_hd__inv_2 _09882_ (.A(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[1].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05483_));
- sky130_fd_sc_hd__inv_2 _09903_ (.A(\u_sspim.u_spi_ctrl.sck_cnt[2] ),
+    .Y(_05464_));
+ sky130_fd_sc_hd__inv_2 _09883_ (.A(\u_sspim.u_spi_ctrl.sck_cnt[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05484_));
- sky130_fd_sc_hd__a22o_1 _09904_ (.A1(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[0].u_bit_reg.data_out ),
-    .A2(_05479_),
+    .Y(_05465_));
+ sky130_fd_sc_hd__a22o_1 _09884_ (.A1(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[0].u_bit_reg.data_out ),
+    .A2(_05460_),
     .B1(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[3].u_bit_reg.data_out ),
-    .B2(_05480_),
+    .B2(_05461_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05485_));
- sky130_fd_sc_hd__a221o_1 _09905_ (.A1(_05483_),
+    .X(_05466_));
+ sky130_fd_sc_hd__a221o_1 _09885_ (.A1(_05464_),
     .A2(\u_sspim.u_spi_ctrl.sck_cnt[1] ),
     .B1(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[2].u_bit_reg.data_out ),
-    .B2(_05484_),
-    .C1(_05485_),
+    .B2(_05465_),
+    .C1(_05466_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05486_));
- sky130_fd_sc_hd__inv_2 _09906_ (.A(\u_sspim.u_spi_ctrl.sck_cnt[1] ),
+    .X(_05467_));
+ sky130_fd_sc_hd__inv_2 _09886_ (.A(\u_sspim.u_spi_ctrl.sck_cnt[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05487_));
- sky130_fd_sc_hd__a22o_1 _09907_ (.A1(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[1].u_bit_reg.data_out ),
-    .A2(_05487_),
-    .B1(_05478_),
+    .Y(_05468_));
+ sky130_fd_sc_hd__a22o_1 _09887_ (.A1(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[1].u_bit_reg.data_out ),
+    .A2(_05468_),
+    .B1(_05459_),
     .B2(\u_sspim.u_spi_ctrl.sck_cnt[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05488_));
- sky130_fd_sc_hd__a2111oi_1 _09908_ (.A1(_05482_),
+    .X(_05469_));
+ sky130_fd_sc_hd__a2111oi_1 _09888_ (.A1(_05463_),
     .A2(\u_sspim.u_spi_ctrl.sck_cnt[2] ),
     .B1(\u_sspim.u_spi_ctrl.sck_cnt[5] ),
-    .C1(_05486_),
-    .D1(_05488_),
+    .C1(_05467_),
+    .D1(_05469_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05489_));
- sky130_fd_sc_hd__o211a_1 _09909_ (.A1(_05478_),
+    .Y(_05470_));
+ sky130_fd_sc_hd__o211a_1 _09889_ (.A1(_05459_),
     .A2(\u_sspim.u_spi_ctrl.sck_cnt[4] ),
-    .B1(_05481_),
-    .C1(_05489_),
+    .B1(_05462_),
+    .C1(_05470_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05490_));
- sky130_fd_sc_hd__and2_1 _09910_ (.A(\u_sspim.sck_ne ),
-    .B(_05490_),
+    .X(_05471_));
+ sky130_fd_sc_hd__and2_1 _09890_ (.A(\u_sspim.sck_ne ),
+    .B(_05471_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05491_));
- sky130_fd_sc_hd__clkbuf_2 _09911_ (.A(\u_sspim.sck_ne ),
+    .X(_05472_));
+ sky130_fd_sc_hd__clkbuf_2 _09891_ (.A(\u_sspim.sck_ne ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05492_));
- sky130_fd_sc_hd__inv_2 _09912_ (.A(_05492_),
+    .X(_05473_));
+ sky130_fd_sc_hd__inv_2 _09892_ (.A(_05473_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05493_));
- sky130_fd_sc_hd__clkbuf_1 _09913_ (.A(\u_sspim.u_spi_ctrl.sck_cnt[4] ),
+    .Y(_05474_));
+ sky130_fd_sc_hd__clkbuf_1 _09893_ (.A(\u_sspim.u_spi_ctrl.sck_cnt[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05494_));
- sky130_fd_sc_hd__or2_1 _09914_ (.A(_05494_),
+    .X(_05475_));
+ sky130_fd_sc_hd__or2_1 _09894_ (.A(_05475_),
     .B(\u_sspim.u_spi_ctrl.sck_cnt[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05495_));
- sky130_fd_sc_hd__clkbuf_1 _09915_ (.A(\u_sspim.u_spi_ctrl.sck_cnt[3] ),
+    .X(_05476_));
+ sky130_fd_sc_hd__clkbuf_1 _09895_ (.A(\u_sspim.u_spi_ctrl.sck_cnt[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05496_));
- sky130_fd_sc_hd__and3_1 _09916_ (.A(\u_sspim.u_spi_ctrl.sck_cnt[0] ),
+    .X(_05477_));
+ sky130_fd_sc_hd__and3_1 _09896_ (.A(\u_sspim.u_spi_ctrl.sck_cnt[0] ),
     .B(\u_sspim.u_spi_ctrl.sck_cnt[1] ),
     .C(\u_sspim.u_spi_ctrl.sck_cnt[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05497_));
- sky130_fd_sc_hd__or4b_1 _09917_ (.A(_05493_),
-    .B(_05495_),
-    .C(_05496_),
-    .D_N(_05497_),
+    .X(_05478_));
+ sky130_fd_sc_hd__or4b_1 _09897_ (.A(_05474_),
+    .B(_05476_),
+    .C(_05477_),
+    .D_N(_05478_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05498_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09918_ (.A(_05498_),
+    .X(_05479_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09898_ (.A(_05479_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05499_));
- sky130_fd_sc_hd__a32o_1 _09919_ (.A1(_05474_),
-    .A2(_05477_),
-    .A3(_05491_),
-    .B1(_05499_),
+    .X(_05480_));
+ sky130_fd_sc_hd__a32o_1 _09899_ (.A1(_05455_),
+    .A2(_05458_),
+    .A3(_05472_),
+    .B1(_05480_),
     .B2(\u_sspim.u_spi_ctrl.spiif_cs[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00015_));
- sky130_fd_sc_hd__inv_2 _09920_ (.A(\u_i2cm.i2c_al ),
+ sky130_fd_sc_hd__inv_2 _09900_ (.A(\u_i2cm.i2c_al ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05500_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09921_ (.A(_05500_),
+    .Y(_05481_));
+ sky130_fd_sc_hd__clkbuf_2 _09901_ (.A(_05481_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05501_));
- sky130_fd_sc_hd__or3b_1 _09922_ (.A(\u_i2cm.done ),
-    .B(_05464_),
+    .X(_05482_));
+ sky130_fd_sc_hd__or3b_2 _09902_ (.A(\u_i2cm.done ),
+    .B(_05445_),
     .C_N(\u_i2cm.u_byte_ctrl.c_state[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05502_));
- sky130_fd_sc_hd__or3_1 _09923_ (.A(\u_i2cm.u_byte_ctrl.c_state[3] ),
+    .X(_05483_));
+ sky130_fd_sc_hd__or3_1 _09903_ (.A(\u_i2cm.u_byte_ctrl.c_state[3] ),
     .B(\u_i2cm.u_byte_ctrl.c_state[4] ),
     .C(\u_i2cm.u_byte_ctrl.c_state[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05503_));
- sky130_fd_sc_hd__or3_1 _09924_ (.A(\u_i2cm.u_byte_ctrl.c_state[5] ),
+    .X(_05484_));
+ sky130_fd_sc_hd__or3_1 _09904_ (.A(\u_i2cm.u_byte_ctrl.c_state[5] ),
     .B(\u_i2cm.u_byte_ctrl.c_state[1] ),
-    .C(_05503_),
+    .C(_05484_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05504_));
- sky130_fd_sc_hd__or2b_1 _09925_ (.A(_05463_),
-    .B_N(_05504_),
+    .X(_05485_));
+ sky130_fd_sc_hd__or2b_1 _09905_ (.A(_05444_),
+    .B_N(_05485_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05505_));
- sky130_fd_sc_hd__a32o_1 _09926_ (.A1(_05501_),
-    .A2(_05502_),
-    .A3(_05505_),
-    .B1(_05504_),
-    .B2(_05469_),
+    .X(_05486_));
+ sky130_fd_sc_hd__a32o_1 _09906_ (.A1(_05482_),
+    .A2(_05483_),
+    .A3(_05486_),
+    .B1(_05485_),
+    .B2(_05450_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05506_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09927_ (.A(\u_i2cm.i2c_al ),
+    .X(_05487_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09907_ (.A(_05446_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05507_));
- sky130_fd_sc_hd__inv_2 _09928_ (.A(\u_i2cm.cr[6] ),
+    .X(_05488_));
+ sky130_fd_sc_hd__inv_2 _09908_ (.A(\u_i2cm.cr[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05508_));
- sky130_fd_sc_hd__nand2_1 _09929_ (.A(_05468_),
+    .Y(_05489_));
+ sky130_fd_sc_hd__nand2_1 _09909_ (.A(_05449_),
     .B(\u_i2cm.u_byte_ctrl.c_state[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05509_));
- sky130_fd_sc_hd__nor2_1 _09930_ (.A(\u_i2cm.cr[7] ),
-    .B(_05502_),
+    .Y(_05490_));
+ sky130_fd_sc_hd__nor2_1 _09910_ (.A(\u_i2cm.cr[7] ),
+    .B(_05483_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05510_));
- sky130_fd_sc_hd__nand2_1 _09931_ (.A(_05501_),
-    .B(_05510_),
+    .Y(_05491_));
+ sky130_fd_sc_hd__nand2_1 _09911_ (.A(_05482_),
+    .B(_05491_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05511_));
- sky130_fd_sc_hd__o32a_1 _09932_ (.A1(_05507_),
-    .A2(_05508_),
-    .A3(_05509_),
-    .B1(_05511_),
+    .Y(_05492_));
+ sky130_fd_sc_hd__o32a_1 _09912_ (.A1(_05488_),
+    .A2(_05489_),
+    .A3(_05490_),
+    .B1(_05492_),
     .B2(_00036_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05512_));
- sky130_fd_sc_hd__a21bo_1 _09933_ (.A1(\u_i2cm.u_byte_ctrl.core_cmd[3] ),
-    .A2(_05506_),
-    .B1_N(_05512_),
+    .X(_05493_));
+ sky130_fd_sc_hd__a21bo_1 _09913_ (.A1(\u_i2cm.u_byte_ctrl.core_cmd[3] ),
+    .A2(_05487_),
+    .B1_N(_05493_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00009_));
- sky130_fd_sc_hd__clkbuf_1 _09934_ (.A(\u_i2cm.u_byte_ctrl.c_state[3] ),
+ sky130_fd_sc_hd__clkbuf_1 _09914_ (.A(\u_i2cm.u_byte_ctrl.c_state[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05513_));
- sky130_fd_sc_hd__and2_1 _09935_ (.A(_05500_),
+    .X(_05494_));
+ sky130_fd_sc_hd__and2_1 _09915_ (.A(_05481_),
     .B(\u_i2cm.u_byte_ctrl.core_ack ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05514_));
- sky130_fd_sc_hd__clkbuf_1 _09936_ (.A(_05514_),
+    .X(_05495_));
+ sky130_fd_sc_hd__clkbuf_1 _09916_ (.A(_05495_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05515_));
- sky130_fd_sc_hd__or3_2 _09937_ (.A(\u_i2cm.u_byte_ctrl.dcnt[0] ),
+    .X(_05496_));
+ sky130_fd_sc_hd__or3_2 _09917_ (.A(\u_i2cm.u_byte_ctrl.dcnt[0] ),
     .B(\u_i2cm.u_byte_ctrl.dcnt[1] ),
     .C(\u_i2cm.u_byte_ctrl.dcnt[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05516_));
- sky130_fd_sc_hd__clkbuf_4 _09938_ (.A(\u_i2cm.cr[5] ),
+    .X(_05497_));
+ sky130_fd_sc_hd__buf_2 _09918_ (.A(\u_i2cm.cr[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05517_));
- sky130_fd_sc_hd__nor2_1 _09939_ (.A(_05507_),
-    .B(_05517_),
+    .X(_05498_));
+ sky130_fd_sc_hd__nor2_1 _09919_ (.A(_05488_),
+    .B(_05498_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05518_));
- sky130_fd_sc_hd__a22o_1 _09940_ (.A1(\u_i2cm.u_byte_ctrl.core_ack ),
-    .A2(_05471_),
+    .Y(_05499_));
+ sky130_fd_sc_hd__a22o_1 _09920_ (.A1(\u_i2cm.u_byte_ctrl.core_ack ),
+    .A2(_05452_),
     .B1(\u_i2cm.cr[4] ),
-    .B2(_05510_),
+    .B2(_05491_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05519_));
- sky130_fd_sc_hd__a32o_1 _09941_ (.A1(_05513_),
-    .A2(_05515_),
-    .A3(_05516_),
-    .B1(_05518_),
-    .B2(_05519_),
+    .X(_05500_));
+ sky130_fd_sc_hd__a32o_1 _09921_ (.A1(_05494_),
+    .A2(_05496_),
+    .A3(_05497_),
+    .B1(_05499_),
+    .B2(_05500_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05520_));
- sky130_fd_sc_hd__a21o_1 _09942_ (.A1(_05513_),
-    .A2(_05470_),
-    .B1(_05520_),
+    .X(_05501_));
+ sky130_fd_sc_hd__a21o_1 _09922_ (.A1(_05494_),
+    .A2(_05451_),
+    .B1(_05501_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00004_));
- sky130_fd_sc_hd__or2_1 _09943_ (.A(\u_i2cm.done ),
-    .B(_05464_),
+ sky130_fd_sc_hd__or2_1 _09923_ (.A(\u_i2cm.done ),
+    .B(_05445_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05521_));
- sky130_fd_sc_hd__a21o_1 _09944_ (.A1(_05508_),
+    .X(_05502_));
+ sky130_fd_sc_hd__a21o_1 _09924_ (.A1(_05489_),
     .A2(\u_i2cm.u_byte_ctrl.c_state[2] ),
     .B1(\u_i2cm.u_byte_ctrl.c_state[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05522_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09945_ (.A(_05507_),
+    .X(_05503_));
+ sky130_fd_sc_hd__clkbuf_2 _09925_ (.A(_05488_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05523_));
- sky130_fd_sc_hd__a221o_1 _09946_ (.A1(_05463_),
-    .A2(_05521_),
-    .B1(_05522_),
-    .B2(_05468_),
-    .C1(_05523_),
+    .X(_05504_));
+ sky130_fd_sc_hd__a221o_1 _09926_ (.A1(_05444_),
+    .A2(_05502_),
+    .B1(_05503_),
+    .B2(_05449_),
+    .C1(_05504_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00001_));
- sky130_fd_sc_hd__clkbuf_2 _09947_ (.A(\u_sspim.u_spi_ctrl.spiif_cs[1] ),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09927_ (.A(\u_sspim.u_spi_ctrl.spiif_cs[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05524_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09948_ (.A(_05524_),
+    .X(_05505_));
+ sky130_fd_sc_hd__clkbuf_2 _09928_ (.A(_05505_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05525_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09949_ (.A(_05492_),
+    .X(_05506_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09929_ (.A(_05473_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05526_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09950_ (.A(_05490_),
+    .X(_05507_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09930_ (.A(_05471_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05527_));
- sky130_fd_sc_hd__nand2_1 _09951_ (.A(_05526_),
-    .B(_05527_),
+    .X(_05508_));
+ sky130_fd_sc_hd__nand2_1 _09931_ (.A(_05507_),
+    .B(_05508_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05528_));
- sky130_fd_sc_hd__nor2_1 _09952_ (.A(\u_sspim.u_spi_ctrl.spiif_cs[5] ),
+    .Y(_05509_));
+ sky130_fd_sc_hd__nor2_1 _09932_ (.A(\u_sspim.u_spi_ctrl.spiif_cs[5] ),
     .B(\u_sspim.u_spi_ctrl.spiif_cs[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05529_));
- sky130_fd_sc_hd__nor2_1 _09953_ (.A(_05498_),
-    .B(_05529_),
+    .Y(_05510_));
+ sky130_fd_sc_hd__nor2_1 _09933_ (.A(_05479_),
+    .B(_05510_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05530_));
- sky130_fd_sc_hd__a21o_1 _09954_ (.A1(_05525_),
-    .A2(_05528_),
-    .B1(_05530_),
+    .Y(_05511_));
+ sky130_fd_sc_hd__a21o_1 _09934_ (.A1(_05506_),
+    .A2(_05509_),
+    .B1(_05511_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00011_));
- sky130_fd_sc_hd__a32o_1 _09955_ (.A1(_05462_),
-    .A2(_05463_),
-    .A3(_05467_),
-    .B1(_05506_),
+ sky130_fd_sc_hd__a32o_1 _09935_ (.A1(_05443_),
+    .A2(_05444_),
+    .A3(_05448_),
+    .B1(_05487_),
     .B2(\u_i2cm.u_byte_ctrl.core_cmd[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00010_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09956_ (.A(\u_i2cm.u_byte_ctrl.c_state[4] ),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09936_ (.A(\u_i2cm.u_byte_ctrl.c_state[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05531_));
- sky130_fd_sc_hd__nor2_1 _09957_ (.A(\u_i2cm.u_byte_ctrl.dcnt[0] ),
+    .X(_05512_));
+ sky130_fd_sc_hd__nor2_1 _09937_ (.A(\u_i2cm.u_byte_ctrl.dcnt[0] ),
     .B(\u_i2cm.u_byte_ctrl.dcnt[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05532_));
- sky130_fd_sc_hd__and2b_1 _09958_ (.A_N(\u_i2cm.u_byte_ctrl.dcnt[2] ),
-    .B(_05532_),
+    .Y(_05513_));
+ sky130_fd_sc_hd__and2b_1 _09938_ (.A_N(\u_i2cm.u_byte_ctrl.dcnt[2] ),
+    .B(_05513_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05533_));
- sky130_fd_sc_hd__and3_1 _09959_ (.A(_05531_),
-    .B(_05515_),
-    .C(_05533_),
+    .X(_05514_));
+ sky130_fd_sc_hd__and3_1 _09939_ (.A(_05512_),
+    .B(_05496_),
+    .C(_05514_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05534_));
- sky130_fd_sc_hd__a211o_1 _09960_ (.A1(\u_i2cm.u_byte_ctrl.core_cmd[2] ),
-    .A2(_05506_),
-    .B1(_05520_),
-    .C1(_05534_),
+    .X(_05515_));
+ sky130_fd_sc_hd__a211o_1 _09940_ (.A1(\u_i2cm.u_byte_ctrl.core_cmd[2] ),
+    .A2(_05487_),
+    .B1(_05501_),
+    .C1(_05515_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00008_));
- sky130_fd_sc_hd__or2_1 _09961_ (.A(\u_usb_host.u_phy.rx_dp_q ),
+ sky130_fd_sc_hd__or2_1 _09941_ (.A(\u_usb_host.u_phy.rx_dp_q ),
     .B(\u_usb_host.u_phy.rx_dn_q ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05535_));
- sky130_fd_sc_hd__and2_1 _09962_ (.A(\u_usb_host.u_phy.rxd_q ),
-    .B(_05535_),
+    .X(_05516_));
+ sky130_fd_sc_hd__and2_1 _09942_ (.A(\u_usb_host.u_phy.rxd_q ),
+    .B(_05516_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05536_));
- sky130_fd_sc_hd__clkbuf_2 _09963_ (.A(_05536_),
+    .X(_05517_));
+ sky130_fd_sc_hd__clkbuf_2 _09943_ (.A(_05517_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05537_));
- sky130_fd_sc_hd__clkbuf_1 _09964_ (.A(_05537_),
+    .X(_05518_));
+ sky130_fd_sc_hd__clkbuf_1 _09944_ (.A(_05518_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(\u_usb_host.u_phy.in_j_w ));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09965_ (.A(\u_usb_host.u_phy.sample_cnt_q[1] ),
+ sky130_fd_sc_hd__nor2_2 _09945_ (.A(\u_usb_host.u_phy.sample_cnt_q[1] ),
+    .B(\u_usb_host.u_phy.sample_cnt_q[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05538_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09966_ (.A(\u_usb_host.u_phy.sample_cnt_q[0] ),
+    .Y(_05519_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09946_ (.A(_05519_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05539_));
- sky130_fd_sc_hd__nor2_2 _09967_ (.A(_05538_),
-    .B(_05539_),
+    .X(_05520_));
+ sky130_fd_sc_hd__nand2_1 _09947_ (.A(_05516_),
+    .B(_05520_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05540_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09968_ (.A(_05540_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05541_));
- sky130_fd_sc_hd__nand2_1 _09969_ (.A(_05535_),
-    .B(_05541_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_05542_));
- sky130_fd_sc_hd__a21oi_1 _09970_ (.A1(\u_usb_host.u_phy.rxd_q ),
+    .Y(_05521_));
+ sky130_fd_sc_hd__a21oi_1 _09948_ (.A1(\u_usb_host.u_phy.rxd_q ),
     .A2(\u_usb_host.u_phy.state_q[6] ),
     .B1(\u_usb_host.u_phy.state_q[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05543_));
- sky130_fd_sc_hd__clkbuf_1 _09971_ (.A(_05540_),
+    .Y(_05522_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09949_ (.A(_05519_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05544_));
- sky130_fd_sc_hd__and3_1 _09972_ (.A(_05457_),
-    .B(_05459_),
-    .C(_05544_),
+    .X(_05523_));
+ sky130_fd_sc_hd__and3_1 _09950_ (.A(_05438_),
+    .B(_05440_),
+    .C(_05523_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05545_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09973_ (.A(\u_usb_host.u_core.u_sie.utmi_rxactive_i ),
+    .X(_05524_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09951_ (.A(\u_usb_host.u_core.u_sie.utmi_rxactive_i ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05546_));
- sky130_fd_sc_hd__a2bb2o_1 _09974_ (.A1_N(_05542_),
-    .A2_N(_05543_),
-    .B1(_05545_),
-    .B2(_05546_),
+    .X(_05525_));
+ sky130_fd_sc_hd__a2bb2o_1 _09952_ (.A1_N(_05521_),
+    .A2_N(_05522_),
+    .B1(_05524_),
+    .B2(_05525_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05547_));
- sky130_fd_sc_hd__nor2_1 _09975_ (.A(_05457_),
-    .B(_05459_),
+    .X(_05526_));
+ sky130_fd_sc_hd__nor2_1 _09953_ (.A(_05438_),
+    .B(_05440_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05548_));
- sky130_fd_sc_hd__or2_1 _09976_ (.A(\u_usb_host.u_phy.rxd_q ),
-    .B(_05548_),
+    .Y(_05527_));
+ sky130_fd_sc_hd__or2_1 _09954_ (.A(\u_usb_host.u_phy.rxd_q ),
+    .B(_05527_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05549_));
- sky130_fd_sc_hd__clkbuf_2 _09977_ (.A(_05549_),
+    .X(_05528_));
+ sky130_fd_sc_hd__clkbuf_2 _09955_ (.A(_05528_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05550_));
- sky130_fd_sc_hd__or2_2 _09978_ (.A(\u_usb_host.u_phy.sample_cnt_q[1] ),
+    .X(_05529_));
+ sky130_fd_sc_hd__or2_2 _09956_ (.A(\u_usb_host.u_phy.sample_cnt_q[1] ),
     .B(\u_usb_host.u_phy.sample_cnt_q[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05551_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09979_ (.A(_05551_),
+    .X(_05530_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09957_ (.A(_05530_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05552_));
- sky130_fd_sc_hd__nor2_1 _09980_ (.A(_05550_),
-    .B(_05552_),
+    .X(_05531_));
+ sky130_fd_sc_hd__nor2_1 _09958_ (.A(_05529_),
+    .B(_05531_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05553_));
- sky130_fd_sc_hd__and3b_1 _09981_ (.A_N(\u_usb_host.u_phy.sync_j_detected_q ),
+    .Y(_05532_));
+ sky130_fd_sc_hd__and3b_1 _09959_ (.A_N(\u_usb_host.u_phy.sync_j_detected_q ),
     .B(\u_usb_host.u_phy.state_q[11] ),
-    .C(_05553_),
+    .C(_05532_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05554_));
- sky130_fd_sc_hd__nor4_1 _09982_ (.A(\u_usb_host.u_core.usb_ctrl_phy_xcvrselect_out_w[1] ),
+    .X(_05533_));
+ sky130_fd_sc_hd__nor4_1 _09960_ (.A(\u_usb_host.u_core.usb_ctrl_phy_xcvrselect_out_w[1] ),
     .B(\u_usb_host.u_core.usb_ctrl_phy_xcvrselect_out_w[0] ),
     .C(\u_usb_host.u_core.usb_ctrl_phy_termselect_out_w ),
     .D(\u_usb_host.u_core.usb_ctrl_phy_opmode_out_w[0] ),
@@ -166227,463 +165593,462 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05555_));
- sky130_fd_sc_hd__and4_2 _09983_ (.A(\u_usb_host.u_core.usb_ctrl_phy_opmode_out_w[1] ),
+    .Y(_05534_));
+ sky130_fd_sc_hd__and4_4 _09961_ (.A(\u_usb_host.u_core.usb_ctrl_phy_opmode_out_w[1] ),
     .B(\u_usb_host.u_core.usb_ctrl_phy_dppulldown_out_w ),
     .C(\u_usb_host.u_core.usb_ctrl_phy_dmpulldown_out_w ),
-    .D(_05555_),
+    .D(_05534_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05556_));
- sky130_fd_sc_hd__inv_2 _09984_ (.A(_05556_),
+    .X(_05535_));
+ sky130_fd_sc_hd__inv_2 _09962_ (.A(_05535_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05557_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _09985_ (.A(\u_usb_host.u_phy.state_q[0] ),
+    .Y(_05536_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09963_ (.A(\u_usb_host.u_phy.state_q[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05558_));
- sky130_fd_sc_hd__a31o_1 _09986_ (.A1(_05558_),
-    .A2(_05276_),
-    .A3(_05549_),
+    .X(_05537_));
+ sky130_fd_sc_hd__a31o_1 _09964_ (.A1(_05537_),
+    .A2(_05256_),
+    .A3(_05528_),
     .B1(\u_usb_host.u_phy.state_q[10] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05559_));
- sky130_fd_sc_hd__clkbuf_2 _09987_ (.A(_05541_),
+    .X(_05538_));
+ sky130_fd_sc_hd__clkbuf_2 _09965_ (.A(_05520_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05560_));
- sky130_fd_sc_hd__inv_2 _09988_ (.A(_05537_),
+    .X(_05539_));
+ sky130_fd_sc_hd__inv_2 _09966_ (.A(_05518_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05561_));
- sky130_fd_sc_hd__a221o_1 _09989_ (.A1(\u_usb_host.u_phy.state_q[6] ),
-    .A2(_05561_),
-    .B1(_05549_),
+    .Y(_05540_));
+ sky130_fd_sc_hd__a221o_1 _09967_ (.A1(\u_usb_host.u_phy.state_q[6] ),
+    .A2(_05540_),
+    .B1(_05528_),
     .B2(\u_usb_host.u_phy.state_q[7] ),
     .C1(\u_usb_host.u_phy.state_q[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05562_));
- sky130_fd_sc_hd__a22o_1 _09990_ (.A1(_05557_),
-    .A2(_05559_),
-    .B1(_05560_),
-    .B2(_05562_),
+    .X(_05541_));
+ sky130_fd_sc_hd__a22o_1 _09968_ (.A1(_05536_),
+    .A2(_05538_),
+    .B1(_05539_),
+    .B2(_05541_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05563_));
- sky130_fd_sc_hd__clkbuf_1 _09991_ (.A(\u_usb_host.u_phy.bit_count_q[0] ),
+    .X(_05542_));
+ sky130_fd_sc_hd__clkbuf_1 _09969_ (.A(\u_usb_host.u_phy.bit_count_q[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05564_));
- sky130_fd_sc_hd__or4b_1 _09992_ (.A(\u_usb_host.u_phy.bit_count_q[1] ),
+    .X(_05543_));
+ sky130_fd_sc_hd__or4b_1 _09970_ (.A(\u_usb_host.u_phy.bit_count_q[1] ),
     .B(\u_usb_host.u_phy.bit_count_q[2] ),
-    .C(_05551_),
-    .D_N(_05564_),
+    .C(_05530_),
+    .D_N(_05543_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05565_));
- sky130_fd_sc_hd__o21a_1 _09993_ (.A1(_05550_),
-    .A2(_05552_),
+    .X(_05544_));
+ sky130_fd_sc_hd__o21a_1 _09971_ (.A1(_05529_),
+    .A2(_05531_),
     .B1(\u_usb_host.u_phy.state_q[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05566_));
- sky130_fd_sc_hd__or2b_1 _09994_ (.A(_05565_),
-    .B_N(_05566_),
+    .X(_05545_));
+ sky130_fd_sc_hd__or2b_1 _09972_ (.A(_05544_),
+    .B_N(_05545_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05567_));
- sky130_fd_sc_hd__or4b_1 _09995_ (.A(_05547_),
-    .B(_05554_),
-    .C(_05563_),
-    .D_N(_05567_),
+    .X(_05546_));
+ sky130_fd_sc_hd__or4b_1 _09973_ (.A(_05526_),
+    .B(_05533_),
+    .C(_05542_),
+    .D_N(_05546_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05568_));
- sky130_fd_sc_hd__clkbuf_1 _09996_ (.A(_05568_),
+    .X(_05547_));
+ sky130_fd_sc_hd__clkbuf_1 _09974_ (.A(_05547_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00024_));
- sky130_fd_sc_hd__clkbuf_1 _09997_ (.A(\u_usb_host.u_phy.ones_count_q[0] ),
+ sky130_fd_sc_hd__clkbuf_1 _09975_ (.A(\u_usb_host.u_phy.ones_count_q[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05569_));
- sky130_fd_sc_hd__and3b_1 _09998_ (.A_N(_05569_),
+    .X(_05548_));
+ sky130_fd_sc_hd__and3b_1 _09976_ (.A_N(_05548_),
     .B(\u_usb_host.u_phy.ones_count_q[1] ),
     .C(\u_usb_host.u_phy.ones_count_q[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05570_));
- sky130_fd_sc_hd__and3_2 _09999_ (.A(\u_usb_host.u_phy.bit_count_q[1] ),
+    .X(_05549_));
+ sky130_fd_sc_hd__and3_2 _09977_ (.A(\u_usb_host.u_phy.bit_count_q[1] ),
     .B(\u_usb_host.u_phy.bit_count_q[0] ),
     .C(\u_usb_host.u_phy.bit_count_q[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05571_));
- sky130_fd_sc_hd__nand2_1 _10000_ (.A(_05541_),
-    .B(_05571_),
+    .X(_05550_));
+ sky130_fd_sc_hd__nand2_1 _09978_ (.A(_05520_),
+    .B(_05550_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05572_));
- sky130_fd_sc_hd__nor2_1 _10001_ (.A(_05570_),
-    .B(_05572_),
+    .Y(_05551_));
+ sky130_fd_sc_hd__nor2_1 _09979_ (.A(_05549_),
+    .B(_05551_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05573_));
- sky130_fd_sc_hd__o21a_1 _10002_ (.A1(\u_usb_host.u_phy.send_eop_q ),
-    .A2(_05276_),
-    .B1(_05573_),
+    .Y(_05552_));
+ sky130_fd_sc_hd__o21a_1 _09980_ (.A1(\u_usb_host.u_phy.send_eop_q ),
+    .A2(_05256_),
+    .B1(_05552_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05574_));
- sky130_fd_sc_hd__inv_2 _10003_ (.A(_05574_),
+    .X(_05553_));
+ sky130_fd_sc_hd__inv_2 _09981_ (.A(_05553_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05575_));
- sky130_fd_sc_hd__clkbuf_1 _10004_ (.A(\u_usb_host.u_phy.state_q[13] ),
+    .Y(_05554_));
+ sky130_fd_sc_hd__clkbuf_1 _09982_ (.A(\u_usb_host.u_phy.state_q[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05576_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10005_ (.A(_05576_),
+    .X(_05555_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09983_ (.A(_05555_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05577_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10006_ (.A(_05544_),
+    .X(_05556_));
+ sky130_fd_sc_hd__clkbuf_2 _09984_ (.A(_05523_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05578_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10007_ (.A(_05578_),
+    .X(_05557_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09985_ (.A(_05557_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05579_));
- sky130_fd_sc_hd__and3_1 _10008_ (.A(_05577_),
-    .B(_05579_),
-    .C(_05571_),
+    .X(_05558_));
+ sky130_fd_sc_hd__and3_1 _09986_ (.A(_05556_),
+    .B(_05558_),
+    .C(_05550_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05580_));
- sky130_fd_sc_hd__a21o_1 _10009_ (.A1(_05278_),
-    .A2(_05575_),
-    .B1(_05580_),
+    .X(_05559_));
+ sky130_fd_sc_hd__a21o_1 _09987_ (.A1(_05258_),
+    .A2(_05554_),
+    .B1(_05559_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00028_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10010_ (.A(\u_usb_host.u_phy.state_q[11] ),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09988_ (.A(\u_usb_host.u_phy.state_q[11] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05581_));
- sky130_fd_sc_hd__clkbuf_2 _10011_ (.A(_05579_),
+    .X(_05560_));
+ sky130_fd_sc_hd__clkbuf_2 _09989_ (.A(_05558_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05582_));
- sky130_fd_sc_hd__a32o_1 _10012_ (.A1(_05581_),
+    .X(_05561_));
+ sky130_fd_sc_hd__a32o_1 _09990_ (.A1(_05560_),
     .A2(\u_usb_host.u_phy.in_j_w ),
-    .A3(_05582_),
-    .B1(_05565_),
-    .B2(_05566_),
+    .A3(_05561_),
+    .B1(_05544_),
+    .B2(_05545_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00030_));
- sky130_fd_sc_hd__a21oi_1 _10013_ (.A1(_05548_),
-    .A2(_05582_),
-    .B1(_05545_),
+ sky130_fd_sc_hd__a21oi_1 _09991_ (.A1(_05527_),
+    .A2(_05561_),
+    .B1(_05524_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05583_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10014_ (.A(_05546_),
+    .Y(_05562_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _09992_ (.A(_05525_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05584_));
- sky130_fd_sc_hd__clkbuf_2 _10015_ (.A(_05584_),
+    .X(_05563_));
+ sky130_fd_sc_hd__clkbuf_2 _09993_ (.A(_05563_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05585_));
- sky130_fd_sc_hd__a32o_1 _10016_ (.A1(\u_usb_host.u_phy.sync_j_detected_q ),
-    .A2(_05581_),
-    .A3(_05553_),
-    .B1(_05583_),
-    .B2(_05585_),
+    .X(_05564_));
+ sky130_fd_sc_hd__a32o_1 _09994_ (.A1(\u_usb_host.u_phy.sync_j_detected_q ),
+    .A2(_05560_),
+    .A3(_05532_),
+    .B1(_05562_),
+    .B2(_05564_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00029_));
- sky130_fd_sc_hd__inv_2 _10017_ (.A(_05277_),
+ sky130_fd_sc_hd__inv_2 _09995_ (.A(\u_usb_host.u_phy.state_q[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05586_));
- sky130_fd_sc_hd__clkbuf_1 _10018_ (.A(\u_usb_host.u_phy.ones_count_q[1] ),
+    .Y(_05565_));
+ sky130_fd_sc_hd__clkbuf_1 _09996_ (.A(\u_usb_host.u_phy.ones_count_q[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05587_));
- sky130_fd_sc_hd__a21oi_1 _10019_ (.A1(\u_usb_host.u_phy.rxd_last_j_q ),
-    .A2(_05537_),
-    .B1(_05551_),
+    .X(_05566_));
+ sky130_fd_sc_hd__a21oi_1 _09997_ (.A1(\u_usb_host.u_phy.rxd_last_j_q ),
+    .A2(_05518_),
+    .B1(_05530_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05588_));
- sky130_fd_sc_hd__o21a_2 _10020_ (.A1(\u_usb_host.u_phy.rxd_last_j_q ),
+    .Y(_05567_));
+ sky130_fd_sc_hd__o21a_2 _09998_ (.A1(\u_usb_host.u_phy.rxd_last_j_q ),
     .A2(\u_usb_host.u_phy.in_j_w ),
-    .B1(_05588_),
+    .B1(_05567_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05589_));
- sky130_fd_sc_hd__clkbuf_1 _10021_ (.A(\u_usb_host.u_phy.ones_count_q[2] ),
+    .X(_05568_));
+ sky130_fd_sc_hd__clkbuf_1 _09999_ (.A(\u_usb_host.u_phy.ones_count_q[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05590_));
- sky130_fd_sc_hd__and4bb_1 _10022_ (.A_N(_05587_),
-    .B_N(_05589_),
-    .C(_05590_),
-    .D(_05569_),
+    .X(_05569_));
+ sky130_fd_sc_hd__clkbuf_1 _10000_ (.A(_05548_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05591_));
- sky130_fd_sc_hd__inv_2 _10023_ (.A(\u_usb_host.u_phy.state_q[8] ),
+    .X(_05570_));
+ sky130_fd_sc_hd__and4bb_1 _10001_ (.A_N(_05566_),
+    .B_N(_05568_),
+    .C(_05569_),
+    .D(_05570_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05592_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10024_ (.A(_05552_),
+    .X(_05571_));
+ sky130_fd_sc_hd__inv_2 _10002_ (.A(\u_usb_host.u_phy.state_q[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05593_));
- sky130_fd_sc_hd__mux2_1 _10025_ (.A0(_05592_),
-    .A1(_05260_),
-    .S(_05593_),
+    .Y(_05572_));
+ sky130_fd_sc_hd__clkbuf_2 _10003_ (.A(_05531_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05594_));
- sky130_fd_sc_hd__o31ai_1 _10026_ (.A1(_05586_),
-    .A2(_05575_),
-    .A3(_05591_),
-    .B1(_05594_),
+    .X(_05573_));
+ sky130_fd_sc_hd__mux2_1 _10004_ (.A0(_05572_),
+    .A1(_05241_),
+    .S(_05573_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05574_));
+ sky130_fd_sc_hd__o31ai_1 _10005_ (.A1(_05565_),
+    .A2(_05554_),
+    .A3(_05571_),
+    .B1(_05574_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_00031_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10027_ (.A(_05593_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10006_ (.A(_05573_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05595_));
- sky130_fd_sc_hd__and3_1 _10028_ (.A(\u_usb_host.u_phy.state_q[9] ),
-    .B(_05548_),
-    .C(_05582_),
+    .X(_05575_));
+ sky130_fd_sc_hd__and3_1 _10007_ (.A(\u_usb_host.u_phy.state_q[9] ),
+    .B(_05527_),
+    .C(_05561_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05596_));
- sky130_fd_sc_hd__a21o_1 _10029_ (.A1(\u_usb_host.u_phy.state_q[6] ),
-    .A2(_05595_),
-    .B1(_05596_),
+    .X(_05576_));
+ sky130_fd_sc_hd__a21o_1 _10008_ (.A1(\u_usb_host.u_phy.state_q[6] ),
+    .A2(_05575_),
+    .B1(_05576_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00032_));
- sky130_fd_sc_hd__clkbuf_2 _10030_ (.A(_05558_),
+ sky130_fd_sc_hd__clkbuf_2 _10009_ (.A(_05537_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05597_));
- sky130_fd_sc_hd__clkbuf_1 _10031_ (.A(_05597_),
+    .X(_05577_));
+ sky130_fd_sc_hd__clkbuf_1 _10010_ (.A(_05577_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05598_));
- sky130_fd_sc_hd__clkbuf_2 _10032_ (.A(_05598_),
+    .X(_05578_));
+ sky130_fd_sc_hd__clkbuf_2 _10011_ (.A(_05578_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05599_));
- sky130_fd_sc_hd__inv_2 _10033_ (.A(_05550_),
+    .X(_05579_));
+ sky130_fd_sc_hd__inv_2 _10012_ (.A(_05529_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05600_));
- sky130_fd_sc_hd__a22o_1 _10034_ (.A1(_05599_),
-    .A2(_05600_),
-    .B1(_05595_),
+    .Y(_05580_));
+ sky130_fd_sc_hd__a22o_1 _10013_ (.A1(_05579_),
+    .A2(_05580_),
+    .B1(_05575_),
     .B2(\u_usb_host.u_phy.state_q[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00033_));
- sky130_fd_sc_hd__a32o_1 _10035_ (.A1(_05278_),
-    .A2(_05574_),
-    .A3(_05591_),
-    .B1(_05595_),
+ sky130_fd_sc_hd__a32o_1 _10014_ (.A1(_05258_),
+    .A2(_05553_),
+    .A3(_05571_),
+    .B1(_05575_),
     .B2(\u_usb_host.u_phy.state_q[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00034_));
- sky130_fd_sc_hd__nand2_2 _10036_ (.A(_05546_),
-    .B(_05544_),
+ sky130_fd_sc_hd__nand2_2 _10015_ (.A(_05525_),
+    .B(_05523_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05601_));
- sky130_fd_sc_hd__a2bb2o_1 _10037_ (.A1_N(_05535_),
-    .A2_N(_05601_),
-    .B1(_05595_),
+    .Y(_05581_));
+ sky130_fd_sc_hd__a2bb2o_1 _10016_ (.A1_N(_05516_),
+    .A2_N(_05581_),
+    .B1(_05575_),
     .B2(\u_usb_host.u_phy.state_q[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00035_));
- sky130_fd_sc_hd__and2_1 _10038_ (.A(_05556_),
-    .B(_05559_),
+ sky130_fd_sc_hd__and2_1 _10017_ (.A(_05535_),
+    .B(_05538_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05602_));
- sky130_fd_sc_hd__clkbuf_1 _10039_ (.A(_05602_),
+    .X(_05582_));
+ sky130_fd_sc_hd__clkbuf_1 _10018_ (.A(_05582_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00025_));
- sky130_fd_sc_hd__nand3_4 _10040_ (.A(_05317_),
-    .B(_05270_),
-    .C(_05275_),
+ sky130_fd_sc_hd__nand3_2 _10019_ (.A(_05297_),
+    .B(_05251_),
+    .C(_05255_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05603_));
- sky130_fd_sc_hd__a32o_1 _10041_ (.A1(_05598_),
-    .A2(_05603_),
-    .A3(_05550_),
-    .B1(_05572_),
-    .B2(_05577_),
+    .Y(_05583_));
+ sky130_fd_sc_hd__a32o_1 _10020_ (.A1(_05578_),
+    .A2(_05583_),
+    .A3(_05529_),
+    .B1(_05551_),
+    .B2(_05556_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00027_));
- sky130_fd_sc_hd__or2_1 _10042_ (.A(\u_usb_host.u_phy.state_q[4] ),
+ sky130_fd_sc_hd__or2_1 _10021_ (.A(\u_usb_host.u_phy.state_q[4] ),
     .B(\u_usb_host.u_phy.state_q[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05604_));
- sky130_fd_sc_hd__a22o_1 _10043_ (.A1(_05581_),
-    .A2(_05542_),
-    .B1(_05553_),
-    .B2(_05604_),
+    .X(_05584_));
+ sky130_fd_sc_hd__a22o_1 _10022_ (.A1(_05560_),
+    .A2(_05521_),
+    .B1(_05532_),
+    .B2(_05584_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00026_));
- sky130_fd_sc_hd__nand2_1 _10044_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.dSCL ),
-    .B(net92),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_05605_));
- sky130_fd_sc_hd__or4_1 _10045_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[5] ),
+ sky130_fd_sc_hd__or4_1 _10023_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[5] ),
     .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[6] ),
     .C(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[7] ),
     .D(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[8] ),
@@ -166691,8 +166056,8 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05606_));
- sky130_fd_sc_hd__or4_1 _10046_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[0] ),
+    .X(_05585_));
+ sky130_fd_sc_hd__or4_1 _10024_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[0] ),
     .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[1] ),
     .C(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[2] ),
     .D(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[3] ),
@@ -166700,3820 +166065,4218 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05607_));
- sky130_fd_sc_hd__or4_1 _10047_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[4] ),
+    .X(_05586_));
+ sky130_fd_sc_hd__or4_1 _10025_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[4] ),
     .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[9] ),
-    .C(_05606_),
-    .D(_05607_),
+    .C(_05585_),
+    .D(_05586_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05608_));
- sky130_fd_sc_hd__or2_1 _10048_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[10] ),
-    .B(_05608_),
+    .X(_05587_));
+ sky130_fd_sc_hd__or2_1 _10026_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[10] ),
+    .B(_05587_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05609_));
- sky130_fd_sc_hd__or4_1 _10049_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[11] ),
+    .X(_05588_));
+ sky130_fd_sc_hd__or4_1 _10027_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[11] ),
     .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[12] ),
     .C(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[13] ),
-    .D(_05609_),
+    .D(_05588_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05610_));
- sky130_fd_sc_hd__or2_1 _10050_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[14] ),
-    .B(_05610_),
+    .X(_05589_));
+ sky130_fd_sc_hd__or2_1 _10028_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[14] ),
+    .B(_05589_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05611_));
- sky130_fd_sc_hd__o221a_1 _10051_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.sSCL ),
-    .A2(_05605_),
-    .B1(_05611_),
-    .B2(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[15] ),
-    .C1(\u_i2cm.core_en ),
+    .X(_05590_));
+ sky130_fd_sc_hd__inv_2 _10029_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.dSCL ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05612_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10052_ (.A(_05612_),
+    .Y(_05591_));
+ sky130_fd_sc_hd__inv_2 _10030_ (.A(net92),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05613_));
- sky130_fd_sc_hd__inv_2 _10053_ (.A(_05613_),
+    .Y(_05592_));
+ sky130_fd_sc_hd__o31a_1 _10031_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.sSCL ),
+    .A2(_05591_),
+    .A3(_05592_),
+    .B1(\u_i2cm.core_en ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05593_));
+ sky130_fd_sc_hd__o21a_1 _10032_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[15] ),
+    .A2(_05590_),
+    .B1(_05593_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05594_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10033_ (.A(_05594_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05595_));
+ sky130_fd_sc_hd__inv_2 _10034_ (.A(_05595_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_00037_));
- sky130_fd_sc_hd__a22o_1 _10054_ (.A1(_05500_),
-    .A2(_05510_),
-    .B1(_05514_),
-    .B2(_05471_),
+ sky130_fd_sc_hd__a22o_1 _10035_ (.A1(_05481_),
+    .A2(_05491_),
+    .B1(_05495_),
+    .B2(_05452_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05614_));
- sky130_fd_sc_hd__a32o_1 _10055_ (.A1(_05531_),
-    .A2(_05515_),
-    .A3(_05516_),
-    .B1(_05614_),
-    .B2(_05517_),
+    .X(_05596_));
+ sky130_fd_sc_hd__a32o_1 _10036_ (.A1(_05512_),
+    .A2(_05496_),
+    .A3(_05497_),
+    .B1(_05596_),
+    .B2(_05498_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05615_));
- sky130_fd_sc_hd__and3_1 _10056_ (.A(_05513_),
-    .B(_05515_),
-    .C(_05533_),
+    .X(_05597_));
+ sky130_fd_sc_hd__and3_1 _10037_ (.A(_05494_),
+    .B(_05496_),
+    .C(_05514_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05616_));
- sky130_fd_sc_hd__a211o_1 _10057_ (.A1(\u_i2cm.u_byte_ctrl.core_cmd[1] ),
-    .A2(_05506_),
-    .B1(_05615_),
-    .C1(_05616_),
+    .X(_05598_));
+ sky130_fd_sc_hd__a211o_1 _10038_ (.A1(\u_i2cm.u_byte_ctrl.core_cmd[1] ),
+    .A2(_05487_),
+    .B1(_05597_),
+    .C1(_05598_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00007_));
- sky130_fd_sc_hd__a21bo_1 _10058_ (.A1(\u_i2cm.u_byte_ctrl.c_state[1] ),
-    .A2(_05470_),
-    .B1_N(_05512_),
+ sky130_fd_sc_hd__a21bo_1 _10039_ (.A1(\u_i2cm.u_byte_ctrl.c_state[1] ),
+    .A2(_05451_),
+    .B1_N(_05493_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00002_));
- sky130_fd_sc_hd__clkbuf_1 _10059_ (.A(\u_sspim.u_spi_ctrl.spiif_cs[2] ),
+ sky130_fd_sc_hd__clkbuf_1 _10040_ (.A(\u_sspim.u_spi_ctrl.spiif_cs[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05617_));
- sky130_fd_sc_hd__and4bb_1 _10060_ (.A_N(_05475_),
-    .B_N(_05476_),
-    .C(_05473_),
-    .D(_05491_),
+    .X(_05599_));
+ sky130_fd_sc_hd__and4bb_1 _10041_ (.A_N(_05456_),
+    .B_N(_05457_),
+    .C(_05454_),
+    .D(_05472_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05618_));
- sky130_fd_sc_hd__a21o_1 _10061_ (.A1(_05617_),
-    .A2(_05499_),
-    .B1(_05618_),
+    .X(_05600_));
+ sky130_fd_sc_hd__a21o_1 _10042_ (.A1(_05599_),
+    .A2(_05480_),
+    .B1(_05600_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00012_));
- sky130_fd_sc_hd__clkbuf_2 _10062_ (.A(\u_sspim.u_spi_ctrl.spiif_cs[0] ),
+ sky130_fd_sc_hd__clkbuf_2 _10043_ (.A(\u_sspim.u_spi_ctrl.spiif_cs[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05619_));
- sky130_fd_sc_hd__clkbuf_2 _10063_ (.A(_05619_),
+    .X(_05601_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10044_ (.A(_05601_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05620_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10064_ (.A(\u_sspim.cfg_op_req ),
+    .X(_05602_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10045_ (.A(\u_sspim.cfg_op_req ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05621_));
- sky130_fd_sc_hd__inv_2 _10065_ (.A(\u_sspim.u_spi_ctrl.byte_cnt[0] ),
+    .X(_05603_));
+ sky130_fd_sc_hd__inv_2 _10046_ (.A(\u_sspim.u_spi_ctrl.byte_cnt[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05622_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10066_ (.A(_05622_),
+    .Y(_05604_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10047_ (.A(_05604_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05623_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10067_ (.A(\u_sspim.u_spi_ctrl.byte_cnt[1] ),
+    .X(_05605_));
+ sky130_fd_sc_hd__clkbuf_1 _10048_ (.A(\u_sspim.u_spi_ctrl.byte_cnt[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05624_));
- sky130_fd_sc_hd__clkbuf_1 _10068_ (.A(_05624_),
+    .X(_05606_));
+ sky130_fd_sc_hd__clkbuf_1 _10049_ (.A(_05606_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05625_));
- sky130_fd_sc_hd__or2_1 _10069_ (.A(_05625_),
+    .X(_05607_));
+ sky130_fd_sc_hd__or2_1 _10050_ (.A(_05607_),
     .B(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[4].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05626_));
- sky130_fd_sc_hd__nand2_1 _10070_ (.A(_05625_),
+    .X(_05608_));
+ sky130_fd_sc_hd__nand2_1 _10051_ (.A(_05607_),
     .B(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[4].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05627_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10071_ (.A(\u_sspim.u_spi_ctrl.byte_cnt[2] ),
+    .Y(_05609_));
+ sky130_fd_sc_hd__clkbuf_1 _10052_ (.A(\u_sspim.u_spi_ctrl.byte_cnt[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05628_));
- sky130_fd_sc_hd__o21bai_1 _10072_ (.A1(_05622_),
+    .X(_05610_));
+ sky130_fd_sc_hd__o21bai_1 _10053_ (.A1(_05604_),
     .A2(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[3].u_bit_reg.data_out ),
-    .B1_N(_05628_),
+    .B1_N(_05610_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05629_));
- sky130_fd_sc_hd__a221o_1 _10073_ (.A1(_05623_),
+    .Y(_05611_));
+ sky130_fd_sc_hd__a221o_1 _10054_ (.A1(_05605_),
     .A2(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[3].u_bit_reg.data_out ),
-    .B1(_05626_),
-    .B2(_05627_),
-    .C1(_05629_),
+    .B1(_05608_),
+    .B2(_05609_),
+    .C1(_05611_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05630_));
- sky130_fd_sc_hd__and3_1 _10074_ (.A(_05492_),
+    .X(_05612_));
+ sky130_fd_sc_hd__and3_1 _10055_ (.A(_05473_),
     .B(\u_sspim.u_spi_ctrl.spiif_cs[1] ),
-    .C(_05527_),
+    .C(_05508_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05631_));
- sky130_fd_sc_hd__clkbuf_1 _10075_ (.A(_05631_),
+    .X(_05613_));
+ sky130_fd_sc_hd__clkbuf_1 _10056_ (.A(_05613_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05632_));
- sky130_fd_sc_hd__and2_1 _10076_ (.A(_05630_),
-    .B(_05632_),
+    .X(_05614_));
+ sky130_fd_sc_hd__and2_1 _10057_ (.A(_05612_),
+    .B(_05614_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05633_));
- sky130_fd_sc_hd__a221o_1 _10077_ (.A1(_05620_),
-    .A2(_05621_),
-    .B1(_05528_),
-    .B2(_05474_),
-    .C1(_05633_),
+    .X(_05615_));
+ sky130_fd_sc_hd__a221o_1 _10058_ (.A1(_05602_),
+    .A2(_05603_),
+    .B1(_05509_),
+    .B2(_05455_),
+    .C1(_05615_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00013_));
- sky130_fd_sc_hd__a21o_1 _10078_ (.A1(_05531_),
-    .A2(_05470_),
-    .B1(_05615_),
+ sky130_fd_sc_hd__a21o_1 _10059_ (.A1(_05512_),
+    .A2(_05451_),
+    .B1(_05597_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00005_));
- sky130_fd_sc_hd__nand2_1 _10079_ (.A(\u_sspim.u_spi_ctrl.spiif_cs[1] ),
-    .B(_05491_),
+ sky130_fd_sc_hd__nand2_1 _10060_ (.A(\u_sspim.u_spi_ctrl.spiif_cs[1] ),
+    .B(_05472_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05634_));
- sky130_fd_sc_hd__clkbuf_1 _10080_ (.A(_05634_),
+    .Y(_05616_));
+ sky130_fd_sc_hd__clkbuf_1 _10061_ (.A(_05616_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05635_));
- sky130_fd_sc_hd__or2_1 _10081_ (.A(_05630_),
-    .B(_05635_),
+    .X(_05617_));
+ sky130_fd_sc_hd__or2_1 _10062_ (.A(_05612_),
+    .B(_05617_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05636_));
- sky130_fd_sc_hd__a21bo_1 _10082_ (.A1(_05621_),
+    .X(_05618_));
+ sky130_fd_sc_hd__a21bo_1 _10063_ (.A1(_05603_),
     .A2(\u_sspim.u_spi_ctrl.spiif_cs[4] ),
-    .B1_N(_05636_),
+    .B1_N(_05618_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00014_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10083_ (.A(\u_i2cm.u_byte_ctrl.c_state[2] ),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10064_ (.A(\u_i2cm.u_byte_ctrl.c_state[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05637_));
- sky130_fd_sc_hd__a211o_1 _10084_ (.A1(_05637_),
-    .A2(_05469_),
-    .B1(_05534_),
-    .C1(_05616_),
+    .X(_05619_));
+ sky130_fd_sc_hd__a211o_1 _10065_ (.A1(_05619_),
+    .A2(_05450_),
+    .B1(_05515_),
+    .C1(_05598_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00003_));
- sky130_fd_sc_hd__and2_1 _10085_ (.A(\u_i2cm.ctr[6] ),
+ sky130_fd_sc_hd__and2_2 _10066_ (.A(\u_i2cm.ctr[6] ),
     .B(\u_i2cm.irq_flag ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05638_));
- sky130_fd_sc_hd__clkbuf_2 _10086_ (.A(_05638_),
+    .X(_05620_));
+ sky130_fd_sc_hd__clkbuf_1 _10067_ (.A(_05620_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00042_));
- sky130_fd_sc_hd__and2b_1 _10087_ (.A_N(net54),
+ sky130_fd_sc_hd__and2b_1 _10068_ (.A_N(net54),
     .B(net55),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05639_));
- sky130_fd_sc_hd__clkbuf_1 _10088_ (.A(_05639_),
+    .X(_05621_));
+ sky130_fd_sc_hd__clkbuf_1 _10069_ (.A(_05621_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(\u_usb_host.u_phy.in_rx_w ));
- sky130_fd_sc_hd__and2_1 _10089_ (.A(_05584_),
-    .B(_05573_),
+ sky130_fd_sc_hd__and2_1 _10070_ (.A(_05563_),
+    .B(_05552_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05640_));
- sky130_fd_sc_hd__clkbuf_1 _10090_ (.A(_05640_),
+    .X(_05622_));
+ sky130_fd_sc_hd__clkbuf_1 _10071_ (.A(_05622_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00094_));
- sky130_fd_sc_hd__buf_2 _10091_ (.A(\u_usb_host.u_core.cfg_wr ),
+ sky130_fd_sc_hd__buf_2 _10072_ (.A(\u_usb_host.u_core.cfg_wr ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05641_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10092_ (.A(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+    .X(_05623_));
+ sky130_fd_sc_hd__clkbuf_2 _10073_ (.A(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05642_));
- sky130_fd_sc_hd__buf_2 _10093_ (.A(_05642_),
+    .X(_05624_));
+ sky130_fd_sc_hd__clkbuf_2 _10074_ (.A(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05643_));
- sky130_fd_sc_hd__clkbuf_2 _10094_ (.A(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05644_));
- sky130_fd_sc_hd__mux4_2 _10095_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][40] ),
+    .X(_05625_));
+ sky130_fd_sc_hd__mux4_2 _10075_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][40] ),
     .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][40] ),
     .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][40] ),
     .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][40] ),
-    .S0(_05643_),
-    .S1(_05644_),
+    .S0(_05624_),
+    .S1(_05625_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05645_));
- sky130_fd_sc_hd__inv_2 _10096_ (.A(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+    .X(_05626_));
+ sky130_fd_sc_hd__inv_2 _10076_ (.A(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05646_));
- sky130_fd_sc_hd__or3b_2 _10097_ (.A(\u_usb_host.u_async_wb.u_cmd_if.mem[1][37] ),
+    .Y(_05627_));
+ sky130_fd_sc_hd__or3b_1 _10077_ (.A(\u_usb_host.u_async_wb.u_cmd_if.mem[1][37] ),
     .B(\u_usb_host.u_async_wb.u_cmd_if.mem[1][38] ),
-    .C_N(_05642_),
+    .C_N(_05624_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05647_));
- sky130_fd_sc_hd__or3_1 _10098_ (.A(_05642_),
+    .X(_05628_));
+ sky130_fd_sc_hd__or3_1 _10078_ (.A(_05624_),
     .B(\u_usb_host.u_async_wb.u_cmd_if.mem[0][37] ),
     .C(\u_usb_host.u_async_wb.u_cmd_if.mem[0][38] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05648_));
- sky130_fd_sc_hd__mux4_2 _10099_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][39] ),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][39] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][39] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][39] ),
-    .S0(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
-    .S1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05649_));
- sky130_fd_sc_hd__or3b_1 _10100_ (.A(\u_usb_host.u_async_wb.u_cmd_if.mem[3][37] ),
+    .X(_05629_));
+ sky130_fd_sc_hd__or3b_1 _10079_ (.A(\u_usb_host.u_async_wb.u_cmd_if.mem[3][37] ),
     .B(\u_usb_host.u_async_wb.u_cmd_if.mem[3][38] ),
     .C_N(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05650_));
- sky130_fd_sc_hd__o311a_1 _10101_ (.A1(_05642_),
+    .X(_05630_));
+ sky130_fd_sc_hd__o311a_1 _10080_ (.A1(_05624_),
     .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][37] ),
     .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[2][38] ),
-    .B1(_05650_),
-    .C1(_05644_),
+    .B1(_05630_),
+    .C1(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05651_));
- sky130_fd_sc_hd__a311oi_4 _10102_ (.A1(_05646_),
-    .A2(_05647_),
-    .A3(_05648_),
-    .B1(_05649_),
-    .C1(_05651_),
+    .X(_05631_));
+ sky130_fd_sc_hd__a31o_1 _10081_ (.A1(_05627_),
+    .A2(_05628_),
+    .A3(_05629_),
+    .B1(_05631_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05652_));
- sky130_fd_sc_hd__inv_2 _10103_ (.A(_05652_),
+    .X(_05632_));
+ sky130_fd_sc_hd__clkbuf_2 _10082_ (.A(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05653_));
- sky130_fd_sc_hd__xnor2_1 _10104_ (.A(\u_usb_host.u_async_wb.u_cmd_if.sync_wr_ptr_1[1] ),
-    .B(\u_usb_host.u_async_wb.u_cmd_if.sync_wr_ptr[2] ),
+    .X(_05633_));
+ sky130_fd_sc_hd__mux4_1 _10083_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][39] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][39] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][39] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][39] ),
+    .S0(_05633_),
+    .S1(_05625_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05654_));
- sky130_fd_sc_hd__xor2_1 _10105_ (.A(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
-    .B(\u_usb_host.u_async_wb.u_cmd_if.sync_wr_ptr_1[0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05655_));
- sky130_fd_sc_hd__xnor2_1 _10106_ (.A(_05654_),
-    .B(_05655_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_05656_));
- sky130_fd_sc_hd__xnor2_1 _10107_ (.A(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
+    .X(_05634_));
+ sky130_fd_sc_hd__xnor2_1 _10084_ (.A(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ),
     .B(\u_usb_host.u_async_wb.u_cmd_if.sync_wr_ptr_1[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05657_));
- sky130_fd_sc_hd__nor2_1 _10108_ (.A(\u_usb_host.u_async_wb.u_cmd_if.sync_wr_ptr[2] ),
-    .B(_05657_),
+    .Y(_05635_));
+ sky130_fd_sc_hd__or2_1 _10085_ (.A(\u_usb_host.u_async_wb.u_cmd_if.sync_wr_ptr[2] ),
+    .B(\u_usb_host.u_async_wb.u_cmd_if.grey_rd_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05658_));
- sky130_fd_sc_hd__mux2_1 _10109_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.sync_wr_ptr[2] ),
-    .A1(_05657_),
-    .S(\u_usb_host.u_async_wb.u_cmd_if.grey_rd_ptr[2] ),
+    .X(_05636_));
+ sky130_fd_sc_hd__clkbuf_2 _10086_ (.A(\u_usb_host.u_async_wb.u_cmd_if.grey_rd_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05659_));
- sky130_fd_sc_hd__or3_2 _10110_ (.A(_05656_),
-    .B(_05658_),
-    .C(_05659_),
+    .X(_05637_));
+ sky130_fd_sc_hd__a21oi_1 _10087_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.sync_wr_ptr[2] ),
+    .A2(_05637_),
+    .B1(_05635_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05660_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10111_ (.A(_05660_),
+    .Y(_05638_));
+ sky130_fd_sc_hd__xnor2_1 _10088_ (.A(\u_usb_host.u_async_wb.u_cmd_if.sync_wr_ptr_1[1] ),
+    .B(\u_usb_host.u_async_wb.u_cmd_if.sync_wr_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05661_));
- sky130_fd_sc_hd__o21a_1 _10112_ (.A1(_05645_),
-    .A2(_05653_),
-    .B1(_05661_),
+    .Y(_05639_));
+ sky130_fd_sc_hd__xor2_1 _10089_ (.A(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ),
+    .B(\u_usb_host.u_async_wb.u_cmd_if.sync_wr_ptr_1[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05662_));
- sky130_fd_sc_hd__clkbuf_2 _10113_ (.A(_05643_),
+    .X(_05640_));
+ sky130_fd_sc_hd__xnor2_1 _10090_ (.A(_05639_),
+    .B(_05640_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05663_));
- sky130_fd_sc_hd__clkbuf_2 _10114_ (.A(_05644_),
+    .Y(_05641_));
+ sky130_fd_sc_hd__a211o_1 _10091_ (.A1(_05635_),
+    .A2(_05636_),
+    .B1(_05638_),
+    .C1(_05641_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05664_));
- sky130_fd_sc_hd__mux4_2 _10115_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][42] ),
+    .X(_05642_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10092_ (.A(_05642_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05643_));
+ sky130_fd_sc_hd__o31a_1 _10093_ (.A1(_05626_),
+    .A2(_05632_),
+    .A3(_05634_),
+    .B1(_05643_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05644_));
+ sky130_fd_sc_hd__clkbuf_2 _10094_ (.A(_05633_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05645_));
+ sky130_fd_sc_hd__clkbuf_2 _10095_ (.A(_05625_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05646_));
+ sky130_fd_sc_hd__mux4_2 _10096_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][42] ),
     .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][42] ),
     .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][42] ),
     .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][42] ),
-    .S0(_05663_),
-    .S1(_05664_),
+    .S0(_05645_),
+    .S1(_05646_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05665_));
- sky130_fd_sc_hd__nand2_2 _10116_ (.A(_05661_),
-    .B(_05665_),
+    .X(_05647_));
+ sky130_fd_sc_hd__nand2_2 _10097_ (.A(_05643_),
+    .B(_05647_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05666_));
- sky130_fd_sc_hd__mux4_1 _10117_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][41] ),
+    .Y(_05648_));
+ sky130_fd_sc_hd__mux4_1 _10098_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][41] ),
     .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][41] ),
     .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][41] ),
     .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][41] ),
-    .S0(_05643_),
-    .S1(_05664_),
+    .S0(_05633_),
+    .S1(_05646_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05667_));
- sky130_fd_sc_hd__nand2_1 _10118_ (.A(_05661_),
-    .B(_05667_),
+    .X(_05649_));
+ sky130_fd_sc_hd__nand2_1 _10099_ (.A(_05643_),
+    .B(_05649_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05668_));
- sky130_fd_sc_hd__nand2_1 _10119_ (.A(_05666_),
-    .B(_05668_),
+    .Y(_05650_));
+ sky130_fd_sc_hd__nand2_2 _10100_ (.A(_05648_),
+    .B(_05650_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05669_));
- sky130_fd_sc_hd__nor2_2 _10120_ (.A(_05662_),
-    .B(_05669_),
+    .Y(_05651_));
+ sky130_fd_sc_hd__nor2_1 _10101_ (.A(_05644_),
+    .B(_05651_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05670_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10121_ (.A(_05670_),
+    .Y(_05652_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10102_ (.A(_05652_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05671_));
- sky130_fd_sc_hd__nand2_1 _10122_ (.A(_05641_),
-    .B(_05671_),
+    .X(_05653_));
+ sky130_fd_sc_hd__nand2_1 _10103_ (.A(_05623_),
+    .B(_05653_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05672_));
- sky130_fd_sc_hd__clkbuf_2 _10123_ (.A(_05672_),
+    .Y(_05654_));
+ sky130_fd_sc_hd__clkbuf_2 _10104_ (.A(_05654_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05673_));
- sky130_fd_sc_hd__inv_2 _10124_ (.A(_05673_),
+    .X(_05655_));
+ sky130_fd_sc_hd__inv_2 _10105_ (.A(_05655_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_00085_));
- sky130_fd_sc_hd__buf_4 _10125_ (.A(_05641_),
+ sky130_fd_sc_hd__clkbuf_8 _10106_ (.A(_05623_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05674_));
- sky130_fd_sc_hd__clkbuf_4 _10126_ (.A(_05674_),
+    .X(_05656_));
+ sky130_fd_sc_hd__clkbuf_4 _10107_ (.A(_05656_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05675_));
- sky130_fd_sc_hd__or2b_2 _10127_ (.A(_05662_),
-    .B_N(_05668_),
+    .X(_05657_));
+ sky130_fd_sc_hd__or2b_2 _10108_ (.A(_05644_),
+    .B_N(_05650_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05676_));
- sky130_fd_sc_hd__nor2_8 _10128_ (.A(_05666_),
-    .B(_05676_),
+    .X(_05658_));
+ sky130_fd_sc_hd__nor2_8 _10109_ (.A(_05648_),
+    .B(_05658_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05677_));
- sky130_fd_sc_hd__buf_2 _10129_ (.A(_05677_),
+    .Y(_05659_));
+ sky130_fd_sc_hd__buf_2 _10110_ (.A(_05659_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05678_));
- sky130_fd_sc_hd__clkbuf_4 _10130_ (.A(_05678_),
+    .X(_05660_));
+ sky130_fd_sc_hd__buf_2 _10111_ (.A(_05660_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05679_));
- sky130_fd_sc_hd__and2_1 _10131_ (.A(_05675_),
-    .B(_05679_),
+    .X(_05661_));
+ sky130_fd_sc_hd__and2_1 _10112_ (.A(_05657_),
+    .B(_05661_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05680_));
- sky130_fd_sc_hd__clkbuf_1 _10132_ (.A(_05680_),
+    .X(_05662_));
+ sky130_fd_sc_hd__clkbuf_1 _10113_ (.A(_05662_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00090_));
- sky130_fd_sc_hd__or2_1 _10133_ (.A(\u_usb_host.u_core.status_timeout_w ),
+ sky130_fd_sc_hd__or2_1 _10114_ (.A(\u_usb_host.u_core.status_timeout_w ),
     .B(\u_usb_host.u_core.status_crc_err_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05681_));
- sky130_fd_sc_hd__clkbuf_1 _10134_ (.A(_05681_),
+    .X(_05663_));
+ sky130_fd_sc_hd__clkbuf_1 _10115_ (.A(_05663_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00080_));
- sky130_fd_sc_hd__or2_1 _10135_ (.A(\u_usb_host.u_core.u_sie.utmi_linestate_i[0] ),
+ sky130_fd_sc_hd__or2_1 _10116_ (.A(\u_usb_host.u_core.u_sie.utmi_linestate_i[0] ),
     .B(\u_usb_host.u_core.u_sie.utmi_linestate_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05682_));
- sky130_fd_sc_hd__nor3_1 _10136_ (.A(\u_usb_host.u_core.u_sie.utmi_linestate_q[1] ),
-    .B(net311),
-    .C(_05682_),
+    .X(_05664_));
+ sky130_fd_sc_hd__nor3_1 _10117_ (.A(\u_usb_host.u_core.u_sie.utmi_linestate_q[1] ),
+    .B(\u_usb_host.u_core.u_sie.utmi_linestate_q[0] ),
+    .C(_05664_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(\u_usb_host.u_core.u_sie.se0_detect_w ));
- sky130_fd_sc_hd__clkbuf_2 _10137_ (.A(net290),
+ sky130_fd_sc_hd__clkbuf_1 _10118_ (.A(net8),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05683_));
- sky130_fd_sc_hd__clkbuf_2 _10138_ (.A(net295),
+    .X(_05665_));
+ sky130_fd_sc_hd__clkbuf_1 _10119_ (.A(net9),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05684_));
- sky130_fd_sc_hd__mux2_1 _10139_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][0] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][0] ),
-    .S(_05684_),
+    .X(_05666_));
+ sky130_fd_sc_hd__and2_1 _10120_ (.A(_05665_),
+    .B(_05666_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05685_));
- sky130_fd_sc_hd__clkbuf_4 _10140_ (.A(net9),
+    .X(_05667_));
+ sky130_fd_sc_hd__clkbuf_1 _10121_ (.A(_05667_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05686_));
- sky130_fd_sc_hd__clkbuf_2 _10141_ (.A(_05686_),
+    .X(_05668_));
+ sky130_fd_sc_hd__buf_2 _10122_ (.A(_05668_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05687_));
- sky130_fd_sc_hd__clkbuf_2 _10142_ (.A(net8),
+    .X(_05669_));
+ sky130_fd_sc_hd__clkbuf_1 _10123_ (.A(_05669_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05688_));
- sky130_fd_sc_hd__clkbuf_2 _10143_ (.A(_05688_),
+    .X(_05670_));
+ sky130_fd_sc_hd__and2b_4 _10124_ (.A_N(_05666_),
+    .B(_05665_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05689_));
- sky130_fd_sc_hd__clkbuf_2 _10144_ (.A(_05689_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05690_));
- sky130_fd_sc_hd__mux4_2 _10145_ (.A0(net289),
-    .A1(_05685_),
-    .A2(net276),
-    .A3(net282),
-    .S0(_05687_),
-    .S1(_05690_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05691_));
- sky130_fd_sc_hd__clkbuf_1 _10146_ (.A(net281),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net60));
- sky130_fd_sc_hd__mux2_1 _10147_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][1] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][1] ),
-    .S(_05684_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05692_));
- sky130_fd_sc_hd__mux4_2 _10148_ (.A0(\u_uart_core.reg_rdata[1] ),
-    .A1(_05692_),
-    .A2(net244),
-    .A3(net316),
-    .S0(_05687_),
-    .S1(_05690_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05693_));
- sky130_fd_sc_hd__clkbuf_1 _10149_ (.A(net249),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net71));
- sky130_fd_sc_hd__mux2_1 _10150_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][2] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][2] ),
-    .S(_05684_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05694_));
- sky130_fd_sc_hd__clkbuf_2 _10151_ (.A(_05689_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05695_));
- sky130_fd_sc_hd__mux4_2 _10152_ (.A0(\u_uart_core.reg_rdata[2] ),
-    .A1(_05694_),
-    .A2(net252),
-    .A3(net314),
-    .S0(_05687_),
-    .S1(_05695_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05696_));
- sky130_fd_sc_hd__clkbuf_1 _10153_ (.A(net257),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net82));
- sky130_fd_sc_hd__buf_2 _10154_ (.A(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05697_));
- sky130_fd_sc_hd__mux2_1 _10155_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][3] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][3] ),
-    .S(_05697_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05698_));
- sky130_fd_sc_hd__mux4_2 _10156_ (.A0(\u_uart_core.reg_rdata[3] ),
-    .A1(_05698_),
-    .A2(net264),
-    .A3(net318),
-    .S0(_05687_),
-    .S1(_05695_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05699_));
- sky130_fd_sc_hd__clkbuf_1 _10157_ (.A(net269),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net85));
- sky130_fd_sc_hd__mux2_1 _10158_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][4] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][4] ),
-    .S(_05697_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05700_));
- sky130_fd_sc_hd__buf_2 _10159_ (.A(_05686_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05701_));
- sky130_fd_sc_hd__mux4_2 _10160_ (.A0(\u_uart_core.reg_rdata[4] ),
-    .A1(_05700_),
-    .A2(net238),
-    .A3(\u_sspim.reg_rdata[4] ),
-    .S0(_05701_),
-    .S1(_05695_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05702_));
- sky130_fd_sc_hd__clkbuf_1 _10161_ (.A(net243),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net86));
- sky130_fd_sc_hd__mux2_1 _10162_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][5] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][5] ),
-    .S(_05697_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05703_));
- sky130_fd_sc_hd__mux4_2 _10163_ (.A0(\u_uart_core.reg_rdata[5] ),
-    .A1(_05703_),
-    .A2(net250),
-    .A3(net283),
-    .S0(_05701_),
-    .S1(_05695_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05704_));
- sky130_fd_sc_hd__clkbuf_1 _10164_ (.A(net288),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net87));
- sky130_fd_sc_hd__mux2_1 _10165_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][6] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][6] ),
-    .S(_05697_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05705_));
- sky130_fd_sc_hd__buf_2 _10166_ (.A(_05688_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05706_));
- sky130_fd_sc_hd__mux4_2 _10167_ (.A0(\u_uart_core.reg_rdata[6] ),
-    .A1(_05705_),
-    .A2(net258),
-    .A3(\u_sspim.reg_rdata[6] ),
-    .S0(_05701_),
-    .S1(_05706_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05707_));
- sky130_fd_sc_hd__clkbuf_1 _10168_ (.A(net263),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net88));
- sky130_fd_sc_hd__mux2_1 _10169_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][7] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][7] ),
-    .S(_05683_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05708_));
- sky130_fd_sc_hd__mux4_2 _10170_ (.A0(\u_uart_core.reg_rdata[7] ),
-    .A1(_05708_),
-    .A2(net270),
-    .A3(net320),
-    .S0(_05701_),
-    .S1(_05706_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05709_));
- sky130_fd_sc_hd__clkbuf_1 _10171_ (.A(net275),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net89));
- sky130_fd_sc_hd__clkbuf_2 _10172_ (.A(_05706_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05710_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10173_ (.A(net295),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05711_));
- sky130_fd_sc_hd__clkbuf_2 _10174_ (.A(_05711_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05712_));
- sky130_fd_sc_hd__mux2_1 _10175_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][8] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][8] ),
-    .S(_05712_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05713_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10176_ (.A(_05689_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05714_));
- sky130_fd_sc_hd__or2b_1 _10177_ (.A(\u_sspim.reg_rdata[8] ),
-    .B_N(_05714_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05715_));
- sky130_fd_sc_hd__clkbuf_1 _10178_ (.A(_05686_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05716_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10179_ (.A(_05716_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05717_));
- sky130_fd_sc_hd__o211a_1 _10180_ (.A1(_05710_),
-    .A2(_05713_),
-    .B1(net202),
-    .C1(_05717_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net90));
- sky130_fd_sc_hd__mux2_1 _10181_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][9] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][9] ),
-    .S(_05712_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05718_));
- sky130_fd_sc_hd__or2b_1 _10182_ (.A(\u_sspim.reg_rdata[9] ),
-    .B_N(_05714_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05719_));
- sky130_fd_sc_hd__o211a_1 _10183_ (.A1(_05710_),
-    .A2(_05718_),
-    .B1(net143),
-    .C1(_05717_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net91));
- sky130_fd_sc_hd__mux2_1 _10184_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][10] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][10] ),
-    .S(_05712_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05720_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10185_ (.A(_05688_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05721_));
- sky130_fd_sc_hd__clkbuf_1 _10186_ (.A(_05721_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05722_));
- sky130_fd_sc_hd__or2b_1 _10187_ (.A(\u_sspim.reg_rdata[10] ),
-    .B_N(_05722_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05723_));
- sky130_fd_sc_hd__o211a_1 _10188_ (.A1(_05710_),
-    .A2(_05720_),
-    .B1(net194),
-    .C1(_05717_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net61));
- sky130_fd_sc_hd__clkbuf_1 _10189_ (.A(_05706_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05724_));
- sky130_fd_sc_hd__clkbuf_2 _10190_ (.A(_05683_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05725_));
- sky130_fd_sc_hd__clkbuf_2 _10191_ (.A(_05725_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05726_));
- sky130_fd_sc_hd__mux2_1 _10192_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][11] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][11] ),
-    .S(_05726_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05727_));
- sky130_fd_sc_hd__or2b_1 _10193_ (.A(\u_sspim.reg_rdata[11] ),
-    .B_N(_05722_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05728_));
- sky130_fd_sc_hd__o211a_1 _10194_ (.A1(_05724_),
-    .A2(_05727_),
-    .B1(net138),
-    .C1(_05717_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net62));
- sky130_fd_sc_hd__mux2_1 _10195_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][12] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][12] ),
-    .S(_05726_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05729_));
- sky130_fd_sc_hd__or2b_1 _10196_ (.A(\u_sspim.reg_rdata[12] ),
-    .B_N(_05722_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05730_));
- sky130_fd_sc_hd__clkbuf_1 _10197_ (.A(_05716_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05731_));
- sky130_fd_sc_hd__o211a_1 _10198_ (.A1(_05724_),
-    .A2(_05729_),
-    .B1(net166),
-    .C1(_05731_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net63));
- sky130_fd_sc_hd__mux2_1 _10199_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][13] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][13] ),
-    .S(_05726_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05732_));
- sky130_fd_sc_hd__or2b_1 _10200_ (.A(\u_sspim.reg_rdata[13] ),
-    .B_N(_05722_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05733_));
- sky130_fd_sc_hd__o211a_1 _10201_ (.A1(_05724_),
-    .A2(_05732_),
-    .B1(net207),
-    .C1(_05731_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net64));
- sky130_fd_sc_hd__mux2_1 _10202_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][14] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][14] ),
-    .S(_05726_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05734_));
- sky130_fd_sc_hd__clkbuf_1 _10203_ (.A(_05721_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05735_));
- sky130_fd_sc_hd__or2b_1 _10204_ (.A(\u_sspim.reg_rdata[14] ),
-    .B_N(_05735_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05736_));
- sky130_fd_sc_hd__o211a_1 _10205_ (.A1(_05724_),
-    .A2(_05734_),
-    .B1(net146),
-    .C1(_05731_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net65));
- sky130_fd_sc_hd__clkbuf_1 _10206_ (.A(_05688_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05737_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10207_ (.A(_05737_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05738_));
- sky130_fd_sc_hd__clkbuf_2 _10208_ (.A(_05725_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05739_));
- sky130_fd_sc_hd__mux2_2 _10209_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][15] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][15] ),
-    .S(_05739_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05740_));
- sky130_fd_sc_hd__or2b_1 _10210_ (.A(\u_sspim.reg_rdata[15] ),
-    .B_N(_05735_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05741_));
- sky130_fd_sc_hd__o211a_1 _10211_ (.A1(_05738_),
-    .A2(_05740_),
-    .B1(net151),
-    .C1(_05731_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net66));
- sky130_fd_sc_hd__mux2_2 _10212_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][16] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][16] ),
-    .S(_05739_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05742_));
- sky130_fd_sc_hd__or2b_1 _10213_ (.A(\u_sspim.reg_rdata[16] ),
-    .B_N(_05735_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05743_));
- sky130_fd_sc_hd__clkbuf_1 _10214_ (.A(_05716_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05744_));
- sky130_fd_sc_hd__o211a_1 _10215_ (.A1(_05738_),
-    .A2(_05742_),
-    .B1(net123),
-    .C1(_05744_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net67));
- sky130_fd_sc_hd__mux2_2 _10216_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][17] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][17] ),
-    .S(net292),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05745_));
- sky130_fd_sc_hd__or2b_1 _10217_ (.A(\u_sspim.reg_rdata[17] ),
-    .B_N(_05735_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05746_));
- sky130_fd_sc_hd__o211a_1 _10218_ (.A1(_05738_),
-    .A2(net291),
-    .B1(net171),
-    .C1(_05744_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net68));
- sky130_fd_sc_hd__mux2_2 _10219_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][18] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][18] ),
-    .S(_05739_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05747_));
- sky130_fd_sc_hd__clkbuf_1 _10220_ (.A(_05721_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05748_));
- sky130_fd_sc_hd__or2b_1 _10221_ (.A(\u_sspim.reg_rdata[18] ),
-    .B_N(_05748_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05749_));
- sky130_fd_sc_hd__o211a_1 _10222_ (.A1(_05738_),
-    .A2(_05747_),
-    .B1(net118),
-    .C1(_05744_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net69));
- sky130_fd_sc_hd__clkbuf_1 _10223_ (.A(_05737_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05750_));
- sky130_fd_sc_hd__clkbuf_2 _10224_ (.A(_05725_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05751_));
- sky130_fd_sc_hd__mux2_1 _10225_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][19] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][19] ),
-    .S(_05751_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05752_));
- sky130_fd_sc_hd__or2b_1 _10226_ (.A(\u_sspim.reg_rdata[19] ),
-    .B_N(_05748_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05753_));
- sky130_fd_sc_hd__o211a_1 _10227_ (.A1(_05750_),
-    .A2(_05752_),
-    .B1(net161),
-    .C1(_05744_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net70));
- sky130_fd_sc_hd__mux2_1 _10228_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][20] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][20] ),
-    .S(_05751_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05754_));
- sky130_fd_sc_hd__or2b_1 _10229_ (.A(\u_sspim.reg_rdata[20] ),
-    .B_N(_05748_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05755_));
- sky130_fd_sc_hd__clkbuf_1 _10230_ (.A(_05716_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05756_));
- sky130_fd_sc_hd__o211a_1 _10231_ (.A1(_05750_),
-    .A2(_05754_),
-    .B1(net133),
-    .C1(_05756_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net72));
- sky130_fd_sc_hd__mux2_1 _10232_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][21] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][21] ),
-    .S(_05751_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05757_));
- sky130_fd_sc_hd__or2b_1 _10233_ (.A(\u_sspim.reg_rdata[21] ),
-    .B_N(_05748_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05758_));
- sky130_fd_sc_hd__o211a_1 _10234_ (.A1(_05750_),
-    .A2(_05757_),
-    .B1(net128),
-    .C1(_05756_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net73));
- sky130_fd_sc_hd__mux2_1 _10235_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][22] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][22] ),
-    .S(_05751_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05759_));
- sky130_fd_sc_hd__clkbuf_1 _10236_ (.A(_05721_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05760_));
- sky130_fd_sc_hd__or2b_1 _10237_ (.A(\u_sspim.reg_rdata[22] ),
-    .B_N(_05760_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05761_));
- sky130_fd_sc_hd__o211a_1 _10238_ (.A1(_05750_),
-    .A2(_05759_),
-    .B1(net189),
-    .C1(_05756_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net74));
- sky130_fd_sc_hd__clkbuf_1 _10239_ (.A(_05737_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05762_));
- sky130_fd_sc_hd__clkbuf_2 _10240_ (.A(_05725_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05763_));
- sky130_fd_sc_hd__mux2_1 _10241_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][23] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][23] ),
-    .S(_05763_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05764_));
- sky130_fd_sc_hd__or2b_1 _10242_ (.A(\u_sspim.reg_rdata[23] ),
-    .B_N(_05760_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05765_));
- sky130_fd_sc_hd__o211a_1 _10243_ (.A1(_05762_),
-    .A2(_05764_),
-    .B1(net184),
-    .C1(_05756_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net75));
- sky130_fd_sc_hd__mux2_1 _10244_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][24] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][24] ),
-    .S(_05763_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05766_));
- sky130_fd_sc_hd__or2b_1 _10245_ (.A(\u_sspim.reg_rdata[24] ),
-    .B_N(_05760_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05767_));
- sky130_fd_sc_hd__clkbuf_1 _10246_ (.A(_05686_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05768_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10247_ (.A(_05768_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05769_));
- sky130_fd_sc_hd__o211a_1 _10248_ (.A1(_05762_),
-    .A2(_05766_),
-    .B1(net174),
-    .C1(_05769_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net76));
- sky130_fd_sc_hd__mux2_2 _10249_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][25] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][25] ),
-    .S(_05763_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05770_));
- sky130_fd_sc_hd__or2b_1 _10250_ (.A(\u_sspim.reg_rdata[25] ),
-    .B_N(_05760_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05771_));
- sky130_fd_sc_hd__o211a_1 _10251_ (.A1(_05762_),
-    .A2(_05770_),
-    .B1(net179),
-    .C1(_05769_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net77));
- sky130_fd_sc_hd__mux2_2 _10252_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][26] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][26] ),
-    .S(_05763_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05772_));
- sky130_fd_sc_hd__clkbuf_1 _10253_ (.A(_05689_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05773_));
- sky130_fd_sc_hd__or2b_1 _10254_ (.A(\u_sspim.reg_rdata[26] ),
-    .B_N(_05773_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05774_));
- sky130_fd_sc_hd__o211a_1 _10255_ (.A1(_05762_),
-    .A2(_05772_),
-    .B1(net156),
-    .C1(_05769_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net78));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10256_ (.A(_05737_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05775_));
- sky130_fd_sc_hd__clkbuf_2 _10257_ (.A(_05684_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05776_));
- sky130_fd_sc_hd__mux2_1 _10258_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][27] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][27] ),
-    .S(_05776_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05777_));
- sky130_fd_sc_hd__or2b_1 _10259_ (.A(\u_sspim.reg_rdata[27] ),
-    .B_N(_05773_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05778_));
- sky130_fd_sc_hd__o211a_1 _10260_ (.A1(_05775_),
-    .A2(_05777_),
-    .B1(net212),
-    .C1(_05769_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net79));
- sky130_fd_sc_hd__mux2_1 _10261_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][28] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][28] ),
-    .S(_05776_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05779_));
- sky130_fd_sc_hd__or2b_1 _10262_ (.A(\u_sspim.reg_rdata[28] ),
-    .B_N(_05773_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05780_));
- sky130_fd_sc_hd__clkbuf_1 _10263_ (.A(_05768_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05781_));
- sky130_fd_sc_hd__o211a_1 _10264_ (.A1(_05775_),
-    .A2(_05779_),
-    .B1(net199),
-    .C1(_05781_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net80));
- sky130_fd_sc_hd__mux2_1 _10265_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][29] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][29] ),
-    .S(_05776_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05782_));
- sky130_fd_sc_hd__or2b_1 _10266_ (.A(\u_sspim.reg_rdata[29] ),
-    .B_N(_05773_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05783_));
- sky130_fd_sc_hd__o211a_1 _10267_ (.A1(_05775_),
-    .A2(_05782_),
-    .B1(net217),
-    .C1(_05781_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net81));
- sky130_fd_sc_hd__mux2_1 _10268_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][30] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][30] ),
-    .S(_05776_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05784_));
- sky130_fd_sc_hd__or2b_1 _10269_ (.A(net229),
-    .B_N(_05690_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05785_));
- sky130_fd_sc_hd__o211a_1 _10270_ (.A1(_05775_),
-    .A2(_05784_),
-    .B1(net227),
-    .C1(_05781_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net83));
- sky130_fd_sc_hd__mux2_1 _10271_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[0][31] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][31] ),
-    .S(_05711_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05786_));
- sky130_fd_sc_hd__or2b_1 _10272_ (.A(net224),
-    .B_N(_05690_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05787_));
- sky130_fd_sc_hd__o211a_1 _10273_ (.A1(_05714_),
-    .A2(_05786_),
-    .B1(net222),
-    .C1(_05781_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net84));
- sky130_fd_sc_hd__buf_4 _10274_ (.A(\u_uart_core.reg_ack ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05788_));
- sky130_fd_sc_hd__nor2_4 _10275_ (.A(net8),
+    .X(_05671_));
+ sky130_fd_sc_hd__nor2_2 _10125_ (.A(net8),
     .B(net9),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05789_));
- sky130_fd_sc_hd__clkbuf_4 _10276_ (.A(\u_i2cm.wb_ack_o ),
+    .Y(_05672_));
+ sky130_fd_sc_hd__clkbuf_4 _10126_ (.A(_05672_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05673_));
+ sky130_fd_sc_hd__a22o_2 _10127_ (.A1(net345),
+    .A2(_05671_),
+    .B1(_05673_),
+    .B2(net290),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05674_));
+ sky130_fd_sc_hd__clkbuf_2 _10128_ (.A(net309),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05675_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10129_ (.A(net308),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05676_));
+ sky130_fd_sc_hd__clkbuf_1 _10130_ (.A(_05676_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05677_));
+ sky130_fd_sc_hd__clkbuf_1 _10131_ (.A(_05677_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05678_));
+ sky130_fd_sc_hd__or2b_1 _10132_ (.A(\u_usb_host.u_async_wb.u_resp_if.mem[1][0] ),
+    .B_N(_05677_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05679_));
+ sky130_fd_sc_hd__and2b_1 _10133_ (.A_N(_05665_),
+    .B(_05666_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05680_));
+ sky130_fd_sc_hd__buf_2 _10134_ (.A(_05680_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05681_));
+ sky130_fd_sc_hd__clkbuf_2 _10135_ (.A(_05681_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05682_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10136_ (.A(_05682_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05683_));
+ sky130_fd_sc_hd__o211a_1 _10137_ (.A1(_05678_),
+    .A2(\u_usb_host.u_async_wb.u_resp_if.mem[0][0] ),
+    .B1(_05679_),
+    .C1(_05683_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05684_));
+ sky130_fd_sc_hd__a211o_1 _10138_ (.A1(net211),
+    .A2(_05670_),
+    .B1(net292),
+    .C1(_05684_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net60));
+ sky130_fd_sc_hd__clkbuf_4 _10139_ (.A(_05672_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05685_));
+ sky130_fd_sc_hd__clkbuf_2 _10140_ (.A(_05676_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05686_));
+ sky130_fd_sc_hd__or2b_1 _10141_ (.A(\u_usb_host.u_async_wb.u_resp_if.mem[1][1] ),
+    .B_N(_05686_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05687_));
+ sky130_fd_sc_hd__o211a_1 _10142_ (.A1(_05678_),
+    .A2(\u_usb_host.u_async_wb.u_resp_if.mem[0][1] ),
+    .B1(_05683_),
+    .C1(_05687_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05688_));
+ sky130_fd_sc_hd__buf_2 _10143_ (.A(_05671_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05689_));
+ sky130_fd_sc_hd__a22o_1 _10144_ (.A1(\u_i2cm.wb_dat_o[1] ),
+    .A2(_05689_),
+    .B1(_05669_),
+    .B2(net255),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05690_));
+ sky130_fd_sc_hd__a211o_2 _10145_ (.A1(net297),
+    .A2(_05685_),
+    .B1(_05688_),
+    .C1(net253),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net71));
+ sky130_fd_sc_hd__clkbuf_1 _10146_ (.A(_05676_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05691_));
+ sky130_fd_sc_hd__or2b_1 _10147_ (.A(\u_usb_host.u_async_wb.u_resp_if.mem[1][2] ),
+    .B_N(_05691_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05692_));
+ sky130_fd_sc_hd__o211a_1 _10148_ (.A1(_05678_),
+    .A2(\u_usb_host.u_async_wb.u_resp_if.mem[0][2] ),
+    .B1(_05683_),
+    .C1(_05692_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05693_));
+ sky130_fd_sc_hd__a22o_1 _10149_ (.A1(\u_uart_core.reg_rdata[2] ),
+    .A2(_05672_),
+    .B1(_05669_),
+    .B2(net301),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05694_));
+ sky130_fd_sc_hd__a211o_2 _10150_ (.A1(net118),
+    .A2(_05689_),
+    .B1(_05693_),
+    .C1(net302),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net82));
+ sky130_fd_sc_hd__or2b_1 _10151_ (.A(\u_usb_host.u_async_wb.u_resp_if.mem[1][3] ),
+    .B_N(_05691_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05695_));
+ sky130_fd_sc_hd__o211a_1 _10152_ (.A1(_05678_),
+    .A2(\u_usb_host.u_async_wb.u_resp_if.mem[0][3] ),
+    .B1(_05683_),
+    .C1(_05695_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05696_));
+ sky130_fd_sc_hd__a22o_2 _10153_ (.A1(net267),
+    .A2(_05689_),
+    .B1(_05685_),
+    .B2(net336),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05697_));
+ sky130_fd_sc_hd__a211o_1 _10154_ (.A1(net165),
+    .A2(_05670_),
+    .B1(_05696_),
+    .C1(net269),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net85));
+ sky130_fd_sc_hd__clkbuf_2 _10155_ (.A(net308),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05698_));
+ sky130_fd_sc_hd__clkbuf_1 _10156_ (.A(_05698_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05699_));
+ sky130_fd_sc_hd__clkbuf_1 _10157_ (.A(_05682_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05700_));
+ sky130_fd_sc_hd__or2b_1 _10158_ (.A(\u_usb_host.u_async_wb.u_resp_if.mem[1][4] ),
+    .B_N(_05691_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05701_));
+ sky130_fd_sc_hd__o211a_1 _10159_ (.A1(_05699_),
+    .A2(\u_usb_host.u_async_wb.u_resp_if.mem[0][4] ),
+    .B1(_05700_),
+    .C1(_05701_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05702_));
+ sky130_fd_sc_hd__clkbuf_1 _10160_ (.A(_05671_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05703_));
+ sky130_fd_sc_hd__a22o_2 _10161_ (.A1(net310),
+    .A2(_05703_),
+    .B1(_05685_),
+    .B2(\u_uart_core.reg_rdata[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05704_));
+ sky130_fd_sc_hd__a211o_1 _10162_ (.A1(net124),
+    .A2(_05670_),
+    .B1(_05702_),
+    .C1(net311),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net86));
+ sky130_fd_sc_hd__or2b_1 _10163_ (.A(\u_usb_host.u_async_wb.u_resp_if.mem[1][5] ),
+    .B_N(_05691_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05705_));
+ sky130_fd_sc_hd__o211a_1 _10164_ (.A1(_05699_),
+    .A2(\u_usb_host.u_async_wb.u_resp_if.mem[0][5] ),
+    .B1(_05700_),
+    .C1(_05705_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05706_));
+ sky130_fd_sc_hd__a22o_2 _10165_ (.A1(net313),
+    .A2(_05703_),
+    .B1(_05673_),
+    .B2(\u_uart_core.reg_rdata[5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05707_));
+ sky130_fd_sc_hd__a211o_1 _10166_ (.A1(net143),
+    .A2(_05670_),
+    .B1(_05706_),
+    .C1(net314),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net87));
+ sky130_fd_sc_hd__buf_2 _10167_ (.A(_05668_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05708_));
+ sky130_fd_sc_hd__or2b_1 _10168_ (.A(\u_usb_host.u_async_wb.u_resp_if.mem[1][6] ),
+    .B_N(_05677_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05709_));
+ sky130_fd_sc_hd__o211a_1 _10169_ (.A1(_05699_),
+    .A2(\u_usb_host.u_async_wb.u_resp_if.mem[0][6] ),
+    .B1(_05700_),
+    .C1(_05709_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05710_));
+ sky130_fd_sc_hd__a22o_2 _10170_ (.A1(net273),
+    .A2(_05703_),
+    .B1(_05673_),
+    .B2(\u_uart_core.reg_rdata[6] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05711_));
+ sky130_fd_sc_hd__a211o_1 _10171_ (.A1(net140),
+    .A2(_05708_),
+    .B1(_05710_),
+    .C1(net275),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net88));
+ sky130_fd_sc_hd__or2b_1 _10172_ (.A(\u_usb_host.u_async_wb.u_resp_if.mem[1][7] ),
+    .B_N(_05677_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05712_));
+ sky130_fd_sc_hd__o211a_1 _10173_ (.A1(_05699_),
+    .A2(\u_usb_host.u_async_wb.u_resp_if.mem[0][7] ),
+    .B1(_05700_),
+    .C1(_05712_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05713_));
+ sky130_fd_sc_hd__a22o_2 _10174_ (.A1(net317),
+    .A2(_05703_),
+    .B1(_05673_),
+    .B2(\u_uart_core.reg_rdata[7] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05714_));
+ sky130_fd_sc_hd__a211o_1 _10175_ (.A1(net149),
+    .A2(_05708_),
+    .B1(_05713_),
+    .C1(net318),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net89));
+ sky130_fd_sc_hd__clkbuf_2 _10176_ (.A(_05681_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05715_));
+ sky130_fd_sc_hd__clkbuf_1 _10177_ (.A(_05715_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05716_));
+ sky130_fd_sc_hd__buf_2 _10178_ (.A(_05676_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05717_));
+ sky130_fd_sc_hd__or2_1 _10179_ (.A(_05717_),
+    .B(\u_usb_host.u_async_wb.u_resp_if.mem[0][8] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05718_));
+ sky130_fd_sc_hd__clkbuf_1 _10180_ (.A(_05698_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05719_));
+ sky130_fd_sc_hd__or2b_1 _10181_ (.A(\u_usb_host.u_async_wb.u_resp_if.mem[1][8] ),
+    .B_N(_05719_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05720_));
+ sky130_fd_sc_hd__clkbuf_1 _10182_ (.A(_05708_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05721_));
+ sky130_fd_sc_hd__a32o_1 _10183_ (.A1(_05716_),
+    .A2(_05718_),
+    .A3(_05720_),
+    .B1(_05721_),
+    .B2(net214),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net90));
+ sky130_fd_sc_hd__or2_1 _10184_ (.A(_05717_),
+    .B(\u_usb_host.u_async_wb.u_resp_if.mem[0][9] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05722_));
+ sky130_fd_sc_hd__or2b_1 _10185_ (.A(\u_usb_host.u_async_wb.u_resp_if.mem[1][9] ),
+    .B_N(_05719_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05723_));
+ sky130_fd_sc_hd__a32o_1 _10186_ (.A1(_05716_),
+    .A2(_05722_),
+    .A3(_05723_),
+    .B1(_05721_),
+    .B2(net178),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net91));
+ sky130_fd_sc_hd__or2_1 _10187_ (.A(_05717_),
+    .B(\u_usb_host.u_async_wb.u_resp_if.mem[0][10] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05724_));
+ sky130_fd_sc_hd__or2b_1 _10188_ (.A(\u_usb_host.u_async_wb.u_resp_if.mem[1][10] ),
+    .B_N(_05719_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05725_));
+ sky130_fd_sc_hd__a32o_1 _10189_ (.A1(_05716_),
+    .A2(_05724_),
+    .A3(_05725_),
+    .B1(_05721_),
+    .B2(net196),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net61));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10190_ (.A(net308),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05726_));
+ sky130_fd_sc_hd__clkbuf_1 _10191_ (.A(net305),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05727_));
+ sky130_fd_sc_hd__or2_1 _10192_ (.A(_05727_),
+    .B(\u_usb_host.u_async_wb.u_resp_if.mem[0][11] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05728_));
+ sky130_fd_sc_hd__or2b_1 _10193_ (.A(\u_usb_host.u_async_wb.u_resp_if.mem[1][11] ),
+    .B_N(_05719_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05729_));
+ sky130_fd_sc_hd__a32o_1 _10194_ (.A1(_05716_),
+    .A2(_05728_),
+    .A3(_05729_),
+    .B1(_05721_),
+    .B2(net168),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net62));
+ sky130_fd_sc_hd__clkbuf_1 _10195_ (.A(_05715_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05730_));
+ sky130_fd_sc_hd__or2_1 _10196_ (.A(_05727_),
+    .B(\u_usb_host.u_async_wb.u_resp_if.mem[0][12] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05731_));
+ sky130_fd_sc_hd__clkbuf_1 _10197_ (.A(_05698_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05732_));
+ sky130_fd_sc_hd__or2b_1 _10198_ (.A(\u_usb_host.u_async_wb.u_resp_if.mem[1][12] ),
+    .B_N(_05732_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05733_));
+ sky130_fd_sc_hd__clkbuf_1 _10199_ (.A(_05708_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05734_));
+ sky130_fd_sc_hd__a32o_1 _10200_ (.A1(_05730_),
+    .A2(_05731_),
+    .A3(_05733_),
+    .B1(_05734_),
+    .B2(net263),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net63));
+ sky130_fd_sc_hd__or2_1 _10201_ (.A(_05727_),
+    .B(\u_usb_host.u_async_wb.u_resp_if.mem[0][13] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05735_));
+ sky130_fd_sc_hd__or2b_1 _10202_ (.A(\u_usb_host.u_async_wb.u_resp_if.mem[1][13] ),
+    .B_N(_05732_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05736_));
+ sky130_fd_sc_hd__a32o_1 _10203_ (.A1(_05730_),
+    .A2(_05735_),
+    .A3(_05736_),
+    .B1(_05734_),
+    .B2(net206),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net64));
+ sky130_fd_sc_hd__or2_1 _10204_ (.A(_05727_),
+    .B(\u_usb_host.u_async_wb.u_resp_if.mem[0][14] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05737_));
+ sky130_fd_sc_hd__or2b_1 _10205_ (.A(\u_usb_host.u_async_wb.u_resp_if.mem[1][14] ),
+    .B_N(_05732_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05738_));
+ sky130_fd_sc_hd__a32o_1 _10206_ (.A1(_05730_),
+    .A2(_05737_),
+    .A3(_05738_),
+    .B1(_05734_),
+    .B2(net219),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net65));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10207_ (.A(net308),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05739_));
+ sky130_fd_sc_hd__clkbuf_1 _10208_ (.A(_05739_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05740_));
+ sky130_fd_sc_hd__or2_1 _10209_ (.A(_05740_),
+    .B(\u_usb_host.u_async_wb.u_resp_if.mem[0][15] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05741_));
+ sky130_fd_sc_hd__or2b_1 _10210_ (.A(\u_usb_host.u_async_wb.u_resp_if.mem[1][15] ),
+    .B_N(_05732_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05742_));
+ sky130_fd_sc_hd__a32o_1 _10211_ (.A1(_05730_),
+    .A2(_05741_),
+    .A3(_05742_),
+    .B1(_05734_),
+    .B2(net229),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net66));
+ sky130_fd_sc_hd__clkbuf_1 _10212_ (.A(_05715_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05743_));
+ sky130_fd_sc_hd__or2_1 _10213_ (.A(_05740_),
+    .B(\u_usb_host.u_async_wb.u_resp_if.mem[0][16] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05744_));
+ sky130_fd_sc_hd__clkbuf_1 _10214_ (.A(_05698_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05745_));
+ sky130_fd_sc_hd__or2b_1 _10215_ (.A(\u_usb_host.u_async_wb.u_resp_if.mem[1][16] ),
+    .B_N(_05745_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05746_));
+ sky130_fd_sc_hd__clkbuf_2 _10216_ (.A(_05669_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05747_));
+ sky130_fd_sc_hd__clkbuf_1 _10217_ (.A(_05747_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05748_));
+ sky130_fd_sc_hd__a32o_1 _10218_ (.A1(_05743_),
+    .A2(_05744_),
+    .A3(_05746_),
+    .B1(_05748_),
+    .B2(net160),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net67));
+ sky130_fd_sc_hd__or2_1 _10219_ (.A(_05740_),
+    .B(\u_usb_host.u_async_wb.u_resp_if.mem[0][17] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05749_));
+ sky130_fd_sc_hd__or2b_1 _10220_ (.A(\u_usb_host.u_async_wb.u_resp_if.mem[1][17] ),
+    .B_N(_05745_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05750_));
+ sky130_fd_sc_hd__a32o_1 _10221_ (.A1(_05743_),
+    .A2(_05749_),
+    .A3(_05750_),
+    .B1(_05748_),
+    .B2(net135),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net68));
+ sky130_fd_sc_hd__or2_1 _10222_ (.A(_05740_),
+    .B(\u_usb_host.u_async_wb.u_resp_if.mem[0][18] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05751_));
+ sky130_fd_sc_hd__or2b_1 _10223_ (.A(\u_usb_host.u_async_wb.u_resp_if.mem[1][18] ),
+    .B_N(_05745_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05752_));
+ sky130_fd_sc_hd__a32o_1 _10224_ (.A1(_05743_),
+    .A2(_05751_),
+    .A3(_05752_),
+    .B1(_05748_),
+    .B2(net155),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net69));
+ sky130_fd_sc_hd__clkbuf_1 _10225_ (.A(_05739_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05753_));
+ sky130_fd_sc_hd__or2_1 _10226_ (.A(_05753_),
+    .B(\u_usb_host.u_async_wb.u_resp_if.mem[0][19] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05754_));
+ sky130_fd_sc_hd__or2b_1 _10227_ (.A(\u_usb_host.u_async_wb.u_resp_if.mem[1][19] ),
+    .B_N(_05745_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05755_));
+ sky130_fd_sc_hd__a32o_1 _10228_ (.A1(_05743_),
+    .A2(_05754_),
+    .A3(_05755_),
+    .B1(_05748_),
+    .B2(net130),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net70));
+ sky130_fd_sc_hd__clkbuf_1 _10229_ (.A(_05715_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05756_));
+ sky130_fd_sc_hd__or2_1 _10230_ (.A(_05753_),
+    .B(\u_usb_host.u_async_wb.u_resp_if.mem[0][20] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05757_));
+ sky130_fd_sc_hd__clkbuf_1 _10231_ (.A(net305),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05758_));
+ sky130_fd_sc_hd__or2b_1 _10232_ (.A(\u_usb_host.u_async_wb.u_resp_if.mem[1][20] ),
+    .B_N(_05758_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05759_));
+ sky130_fd_sc_hd__clkbuf_1 _10233_ (.A(_05747_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05760_));
+ sky130_fd_sc_hd__a32o_1 _10234_ (.A1(_05756_),
+    .A2(_05757_),
+    .A3(_05759_),
+    .B1(_05760_),
+    .B2(net201),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net72));
+ sky130_fd_sc_hd__or2_1 _10235_ (.A(_05753_),
+    .B(\u_usb_host.u_async_wb.u_resp_if.mem[0][21] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05761_));
+ sky130_fd_sc_hd__or2b_1 _10236_ (.A(\u_usb_host.u_async_wb.u_resp_if.mem[1][21] ),
+    .B_N(_05758_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05762_));
+ sky130_fd_sc_hd__a32o_1 _10237_ (.A1(_05756_),
+    .A2(_05761_),
+    .A3(_05762_),
+    .B1(_05760_),
+    .B2(net191),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net73));
+ sky130_fd_sc_hd__or2_1 _10238_ (.A(_05753_),
+    .B(\u_usb_host.u_async_wb.u_resp_if.mem[0][22] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05763_));
+ sky130_fd_sc_hd__or2b_1 _10239_ (.A(\u_usb_host.u_async_wb.u_resp_if.mem[1][22] ),
+    .B_N(_05758_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05764_));
+ sky130_fd_sc_hd__a32o_1 _10240_ (.A1(_05756_),
+    .A2(_05763_),
+    .A3(_05764_),
+    .B1(_05760_),
+    .B2(net245),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net74));
+ sky130_fd_sc_hd__clkbuf_1 _10241_ (.A(net316),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05765_));
+ sky130_fd_sc_hd__or2_1 _10242_ (.A(_05765_),
+    .B(\u_usb_host.u_async_wb.u_resp_if.mem[0][23] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05766_));
+ sky130_fd_sc_hd__or2b_1 _10243_ (.A(\u_usb_host.u_async_wb.u_resp_if.mem[1][23] ),
+    .B_N(_05758_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05767_));
+ sky130_fd_sc_hd__a32o_1 _10244_ (.A1(_05756_),
+    .A2(_05766_),
+    .A3(_05767_),
+    .B1(_05760_),
+    .B2(net237),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net75));
+ sky130_fd_sc_hd__clkbuf_1 _10245_ (.A(_05682_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05768_));
+ sky130_fd_sc_hd__or2_1 _10246_ (.A(_05765_),
+    .B(\u_usb_host.u_async_wb.u_resp_if.mem[0][24] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05769_));
+ sky130_fd_sc_hd__clkbuf_1 _10247_ (.A(net305),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05770_));
+ sky130_fd_sc_hd__or2b_1 _10248_ (.A(\u_usb_host.u_async_wb.u_resp_if.mem[1][24] ),
+    .B_N(_05770_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05771_));
+ sky130_fd_sc_hd__clkbuf_1 _10249_ (.A(_05747_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05772_));
+ sky130_fd_sc_hd__a32o_1 _10250_ (.A1(_05768_),
+    .A2(_05769_),
+    .A3(_05771_),
+    .B1(_05772_),
+    .B2(net224),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net76));
+ sky130_fd_sc_hd__or2_1 _10251_ (.A(_05765_),
+    .B(\u_usb_host.u_async_wb.u_resp_if.mem[0][25] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05773_));
+ sky130_fd_sc_hd__or2b_1 _10252_ (.A(\u_usb_host.u_async_wb.u_resp_if.mem[1][25] ),
+    .B_N(_05770_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05774_));
+ sky130_fd_sc_hd__a32o_1 _10253_ (.A1(_05768_),
+    .A2(_05773_),
+    .A3(_05774_),
+    .B1(_05772_),
+    .B2(net234),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net77));
+ sky130_fd_sc_hd__or2_1 _10254_ (.A(_05765_),
+    .B(\u_usb_host.u_async_wb.u_resp_if.mem[0][26] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05775_));
+ sky130_fd_sc_hd__or2b_1 _10255_ (.A(\u_usb_host.u_async_wb.u_resp_if.mem[1][26] ),
+    .B_N(_05770_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05776_));
+ sky130_fd_sc_hd__a32o_1 _10256_ (.A1(_05768_),
+    .A2(_05775_),
+    .A3(_05776_),
+    .B1(_05772_),
+    .B2(net323),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net78));
+ sky130_fd_sc_hd__clkbuf_1 _10257_ (.A(_05739_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05777_));
+ sky130_fd_sc_hd__or2_1 _10258_ (.A(_05777_),
+    .B(\u_usb_host.u_async_wb.u_resp_if.mem[0][27] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05778_));
+ sky130_fd_sc_hd__or2b_1 _10259_ (.A(\u_usb_host.u_async_wb.u_resp_if.mem[1][27] ),
+    .B_N(_05770_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05779_));
+ sky130_fd_sc_hd__a32o_1 _10260_ (.A1(_05768_),
+    .A2(_05778_),
+    .A3(_05779_),
+    .B1(_05772_),
+    .B2(net322),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net79));
+ sky130_fd_sc_hd__clkbuf_1 _10261_ (.A(_05682_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05780_));
+ sky130_fd_sc_hd__or2_1 _10262_ (.A(_05777_),
+    .B(\u_usb_host.u_async_wb.u_resp_if.mem[0][28] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05781_));
+ sky130_fd_sc_hd__clkbuf_1 _10263_ (.A(_05726_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05782_));
+ sky130_fd_sc_hd__or2b_1 _10264_ (.A(\u_usb_host.u_async_wb.u_resp_if.mem[1][28] ),
+    .B_N(net304),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05783_));
+ sky130_fd_sc_hd__clkbuf_1 _10265_ (.A(_05747_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05784_));
+ sky130_fd_sc_hd__a32o_2 _10266_ (.A1(_05780_),
+    .A2(_05781_),
+    .A3(net303),
+    .B1(_05784_),
+    .B2(net188),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net80));
+ sky130_fd_sc_hd__or2_1 _10267_ (.A(_05777_),
+    .B(\u_usb_host.u_async_wb.u_resp_if.mem[0][29] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05785_));
+ sky130_fd_sc_hd__or2b_1 _10268_ (.A(\u_usb_host.u_async_wb.u_resp_if.mem[1][29] ),
+    .B_N(net304),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05786_));
+ sky130_fd_sc_hd__a32o_2 _10269_ (.A1(_05780_),
+    .A2(_05785_),
+    .A3(_05786_),
+    .B1(_05784_),
+    .B2(net183),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net81));
+ sky130_fd_sc_hd__or2_1 _10270_ (.A(_05777_),
+    .B(\u_usb_host.u_async_wb.u_resp_if.mem[0][30] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05787_));
+ sky130_fd_sc_hd__or2b_1 _10271_ (.A(\u_usb_host.u_async_wb.u_resp_if.mem[1][30] ),
+    .B_N(net304),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05788_));
+ sky130_fd_sc_hd__a32o_2 _10272_ (.A1(_05780_),
+    .A2(_05787_),
+    .A3(_05788_),
+    .B1(_05784_),
+    .B2(net259),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net83));
+ sky130_fd_sc_hd__or2_1 _10273_ (.A(_05686_),
+    .B(\u_usb_host.u_async_wb.u_resp_if.mem[0][31] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05789_));
+ sky130_fd_sc_hd__or2b_1 _10274_ (.A(\u_usb_host.u_async_wb.u_resp_if.mem[1][31] ),
+    .B_N(net304),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05790_));
- sky130_fd_sc_hd__mux2_1 _10277_ (.A0(_05790_),
-    .A1(net232),
-    .S(_05768_),
+ sky130_fd_sc_hd__a32o_2 _10275_ (.A1(_05780_),
+    .A2(_05789_),
+    .A3(_05790_),
+    .B1(_05784_),
+    .B2(net173),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net84));
+ sky130_fd_sc_hd__clkbuf_1 _10276_ (.A(net14),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05791_));
- sky130_fd_sc_hd__a22o_1 _10278_ (.A1(_05788_),
-    .A2(_05789_),
-    .B1(net237),
-    .B2(_05710_),
+ sky130_fd_sc_hd__clkinv_2 _10277_ (.A(net47),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net59));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10279_ (.A(_05514_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05792_));
- sky130_fd_sc_hd__and2_1 _10280_ (.A(_05792_),
-    .B(_05522_),
+    .Y(_05792_));
+ sky130_fd_sc_hd__buf_2 _10278_ (.A(_05792_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05793_));
- sky130_fd_sc_hd__clkbuf_1 _10281_ (.A(_05793_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00043_));
- sky130_fd_sc_hd__a2bb2o_1 _10282_ (.A1_N(_05523_),
-    .A2_N(_05502_),
-    .B1(_05792_),
-    .B2(_05471_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00045_));
- sky130_fd_sc_hd__a21o_1 _10283_ (.A1(_05513_),
-    .A2(_05516_),
-    .B1(\u_i2cm.u_byte_ctrl.c_state[4] ),
+ sky130_fd_sc_hd__or2_1 _10279_ (.A(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[1] ),
+    .B(\u_usb_host.u_async_wb.u_resp_if.sync_wr_ptr_1[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05794_));
- sky130_fd_sc_hd__and2_1 _10284_ (.A(_05792_),
-    .B(_05794_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10280_ (.A(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05795_));
- sky130_fd_sc_hd__clkbuf_1 _10285_ (.A(_05795_),
+ sky130_fd_sc_hd__nand2_1 _10281_ (.A(_05795_),
+    .B(\u_usb_host.u_async_wb.u_resp_if.sync_wr_ptr_1[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_05796_));
+ sky130_fd_sc_hd__xor2_1 _10282_ (.A(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
+    .B(\u_usb_host.u_async_wb.u_resp_if.sync_wr_ptr_1[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05797_));
+ sky130_fd_sc_hd__mux2_8 _10283_ (.A0(_05794_),
+    .A1(_05796_),
+    .S(_05797_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05798_));
+ sky130_fd_sc_hd__and4_1 _10284_ (.A(_05791_),
+    .B(_05793_),
+    .C(_05681_),
+    .D(net300),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05799_));
+ sky130_fd_sc_hd__buf_2 _10285_ (.A(net47),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05800_));
+ sky130_fd_sc_hd__clkbuf_1 _10286_ (.A(_05800_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05801_));
+ sky130_fd_sc_hd__buf_2 _10287_ (.A(_05801_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05802_));
+ sky130_fd_sc_hd__clkbuf_2 _10288_ (.A(\u_usb_host.u_async_wb.u_cmd_if.grey_wr_ptr[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05803_));
+ sky130_fd_sc_hd__clkbuf_1 _10289_ (.A(\u_usb_host.u_async_wb.u_cmd_if.wr_ptr[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05804_));
+ sky130_fd_sc_hd__o21ai_1 _10290_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.sync_rd_ptr_1[1] ),
+    .A2(_05803_),
+    .B1(_05804_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_05805_));
+ sky130_fd_sc_hd__a21oi_1 _10291_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.sync_rd_ptr_1[1] ),
+    .A2(_05803_),
+    .B1(_05805_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_05806_));
+ sky130_fd_sc_hd__or2_1 _10292_ (.A(\u_usb_host.u_async_wb.u_cmd_if.sync_rd_ptr_1[1] ),
+    .B(\u_usb_host.u_async_wb.u_cmd_if.sync_rd_ptr[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05807_));
+ sky130_fd_sc_hd__nand2_1 _10293_ (.A(\u_usb_host.u_async_wb.u_cmd_if.sync_rd_ptr_1[1] ),
+    .B(\u_usb_host.u_async_wb.u_cmd_if.sync_rd_ptr[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_05808_));
+ sky130_fd_sc_hd__clkbuf_1 _10294_ (.A(\u_usb_host.u_async_wb.u_cmd_if.wr_ptr[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05809_));
+ sky130_fd_sc_hd__nor2_1 _10295_ (.A(_05809_),
+    .B(\u_usb_host.u_async_wb.u_cmd_if.wr_ptr[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_05810_));
+ sky130_fd_sc_hd__inv_2 _10296_ (.A(\u_usb_host.u_async_wb.u_cmd_if.wr_ptr[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_05811_));
+ sky130_fd_sc_hd__xnor2_1 _10297_ (.A(\u_usb_host.u_async_wb.u_cmd_if.sync_rd_ptr[2] ),
+    .B(\u_usb_host.u_async_wb.u_cmd_if.grey_wr_ptr[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_05812_));
+ sky130_fd_sc_hd__a21o_1 _10298_ (.A1(\u_usb_host.u_async_wb.u_cmd_if.wr_ptr[0] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.wr_ptr[1] ),
+    .B1(_05812_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05813_));
+ sky130_fd_sc_hd__or2b_1 _10299_ (.A(\u_usb_host.u_async_wb.u_cmd_if.sync_rd_ptr_1[0] ),
+    .B_N(\u_usb_host.u_async_wb.u_cmd_if.wr_ptr[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05814_));
+ sky130_fd_sc_hd__mux2_1 _10300_ (.A0(_05811_),
+    .A1(_05813_),
+    .S(_05814_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05815_));
+ sky130_fd_sc_hd__a31o_1 _10301_ (.A1(_05807_),
+    .A2(_05808_),
+    .A3(_05810_),
+    .B1(_05815_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05816_));
+ sky130_fd_sc_hd__inv_2 _10302_ (.A(\u_usb_host.u_async_wb.PendingRd ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_05817_));
+ sky130_fd_sc_hd__o2111a_1 _10303_ (.A1(_05806_),
+    .A2(_05816_),
+    .B1(net14),
+    .C1(_05817_),
+    .D1(_05680_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05818_));
+ sky130_fd_sc_hd__clkbuf_1 _10304_ (.A(_05818_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05819_));
+ sky130_fd_sc_hd__and2b_1 _10305_ (.A_N(_05681_),
+    .B(net287),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05820_));
+ sky130_fd_sc_hd__a211o_1 _10306_ (.A1(_05802_),
+    .A2(_05819_),
+    .B1(net285),
+    .C1(_05671_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05821_));
+ sky130_fd_sc_hd__or2_1 _10307_ (.A(_05799_),
+    .B(net289),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05822_));
+ sky130_fd_sc_hd__buf_2 _10308_ (.A(\u_i2cm.wb_ack_o ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05823_));
+ sky130_fd_sc_hd__a21o_1 _10309_ (.A1(_05665_),
+    .A2(_05823_),
+    .B1(_05666_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05824_));
+ sky130_fd_sc_hd__a22o_2 _10310_ (.A1(net332),
+    .A2(_05685_),
+    .B1(net288),
+    .B2(net295),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net59));
+ sky130_fd_sc_hd__clkbuf_1 _10311_ (.A(_05495_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05825_));
+ sky130_fd_sc_hd__and2_1 _10312_ (.A(_05825_),
+    .B(_05503_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05826_));
+ sky130_fd_sc_hd__clkbuf_1 _10313_ (.A(_05826_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00043_));
+ sky130_fd_sc_hd__a2bb2o_1 _10314_ (.A1_N(_05504_),
+    .A2_N(_05483_),
+    .B1(_05825_),
+    .B2(_05452_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00045_));
+ sky130_fd_sc_hd__a21o_1 _10315_ (.A1(_05494_),
+    .A2(_05497_),
+    .B1(\u_i2cm.u_byte_ctrl.c_state[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05827_));
+ sky130_fd_sc_hd__and2_1 _10316_ (.A(_05825_),
+    .B(_05827_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05828_));
+ sky130_fd_sc_hd__clkbuf_1 _10317_ (.A(_05828_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00046_));
- sky130_fd_sc_hd__or3b_1 _10286_ (.A(\u_i2cm.u_byte_ctrl.c_state[4] ),
-    .B(_05637_),
+ sky130_fd_sc_hd__or3b_1 _10318_ (.A(\u_i2cm.u_byte_ctrl.c_state[4] ),
+    .B(_05619_),
     .C_N(\u_i2cm.rxr[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05796_));
- sky130_fd_sc_hd__mux2_1 _10287_ (.A0(\u_i2cm.rxr[7] ),
+    .X(_05829_));
+ sky130_fd_sc_hd__mux2_1 _10319_ (.A0(\u_i2cm.rxr[7] ),
     .A1(\u_i2cm.ack ),
     .S(\u_i2cm.u_byte_ctrl.core_ack ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05797_));
- sky130_fd_sc_hd__o21a_1 _10288_ (.A1(_05468_),
+    .X(_05830_));
+ sky130_fd_sc_hd__o21a_1 _10320_ (.A1(_05449_),
     .A2(\u_i2cm.ack ),
-    .B1(_05637_),
+    .B1(_05619_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05798_));
- sky130_fd_sc_hd__a21oi_1 _10289_ (.A1(_05531_),
-    .A2(_05797_),
-    .B1(_05798_),
+    .X(_05831_));
+ sky130_fd_sc_hd__a21oi_1 _10321_ (.A1(_05512_),
+    .A2(_05830_),
+    .B1(_05831_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05799_));
- sky130_fd_sc_hd__a21oi_1 _10290_ (.A1(_05796_),
-    .A2(_05799_),
-    .B1(_05523_),
+    .Y(_05832_));
+ sky130_fd_sc_hd__a21oi_1 _10322_ (.A1(_05829_),
+    .A2(_05832_),
+    .B1(_05504_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_00044_));
- sky130_fd_sc_hd__or3_1 _10291_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[0] ),
+ sky130_fd_sc_hd__or3_1 _10323_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[0] ),
     .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[1] ),
     .C(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05800_));
- sky130_fd_sc_hd__or2_1 _10292_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[3] ),
-    .B(_05800_),
+    .X(_05833_));
+ sky130_fd_sc_hd__or2_1 _10324_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[3] ),
+    .B(_05833_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05801_));
- sky130_fd_sc_hd__or3_1 _10293_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[4] ),
+    .X(_05834_));
+ sky130_fd_sc_hd__or3_1 _10325_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[4] ),
     .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[5] ),
-    .C(_05801_),
+    .C(_05834_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05802_));
- sky130_fd_sc_hd__or2_1 _10294_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[6] ),
-    .B(_05802_),
+    .X(_05835_));
+ sky130_fd_sc_hd__or2_1 _10326_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[6] ),
+    .B(_05835_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05803_));
- sky130_fd_sc_hd__or3_1 _10295_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[7] ),
+    .X(_05836_));
+ sky130_fd_sc_hd__or3_1 _10327_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[7] ),
     .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[8] ),
-    .C(_05803_),
+    .C(_05836_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05804_));
- sky130_fd_sc_hd__or2_1 _10296_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[9] ),
-    .B(_05804_),
+    .X(_05837_));
+ sky130_fd_sc_hd__or2_1 _10328_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[9] ),
+    .B(_05837_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05805_));
- sky130_fd_sc_hd__or3_1 _10297_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[10] ),
+    .X(_05838_));
+ sky130_fd_sc_hd__or3_1 _10329_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[10] ),
     .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[11] ),
-    .C(_05805_),
+    .C(_05838_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05806_));
- sky130_fd_sc_hd__or2_1 _10298_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[12] ),
-    .B(_05806_),
+    .X(_05839_));
+ sky130_fd_sc_hd__or2_1 _10330_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[12] ),
+    .B(_05839_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05807_));
- sky130_fd_sc_hd__or2_1 _10299_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[13] ),
-    .B(_05807_),
+    .X(_05840_));
+ sky130_fd_sc_hd__or2_2 _10331_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[13] ),
+    .B(_05840_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05808_));
- sky130_fd_sc_hd__clkbuf_2 _10300_ (.A(_05808_),
+    .X(_05841_));
+ sky130_fd_sc_hd__buf_2 _10332_ (.A(_05841_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05809_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10301_ (.A(_05809_),
+    .X(_05842_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10333_ (.A(_05842_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05810_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10302_ (.A(_05810_),
+    .X(_05843_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10334_ (.A(_05843_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05811_));
- sky130_fd_sc_hd__clkbuf_1 _10303_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[0] ),
+    .X(_05844_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10335_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05812_));
- sky130_fd_sc_hd__inv_2 _10304_ (.A(_05812_),
+    .X(_05845_));
+ sky130_fd_sc_hd__inv_2 _10336_ (.A(_05845_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05813_));
- sky130_fd_sc_hd__clkbuf_2 _10305_ (.A(\u_i2cm.core_en ),
+    .Y(_05846_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10337_ (.A(\u_i2cm.core_en ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05814_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10306_ (.A(_05814_),
+    .X(_05847_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10338_ (.A(_05847_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05815_));
- sky130_fd_sc_hd__o211a_1 _10307_ (.A1(\u_i2cm.prer[2] ),
-    .A2(_05811_),
-    .B1(_05813_),
-    .C1(_05815_),
+    .X(_05848_));
+ sky130_fd_sc_hd__o211a_1 _10339_ (.A1(\u_i2cm.prer[2] ),
+    .A2(_05844_),
+    .B1(_05846_),
+    .C1(_05848_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00050_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10308_ (.A(_05810_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10340_ (.A(_05843_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05816_));
- sky130_fd_sc_hd__and2_1 _10309_ (.A(_05812_),
+    .X(_05849_));
+ sky130_fd_sc_hd__and2_1 _10341_ (.A(_05845_),
     .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05817_));
- sky130_fd_sc_hd__nor2_1 _10310_ (.A(_05812_),
+    .X(_05850_));
+ sky130_fd_sc_hd__nor2_1 _10342_ (.A(_05845_),
     .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05818_));
- sky130_fd_sc_hd__clkbuf_1 _10311_ (.A(_05814_),
+    .Y(_05851_));
+ sky130_fd_sc_hd__clkbuf_1 _10343_ (.A(_05847_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05819_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10312_ (.A(_05819_),
+    .X(_05852_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10344_ (.A(_05852_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05820_));
- sky130_fd_sc_hd__o221a_1 _10313_ (.A1(\u_i2cm.prer[3] ),
-    .A2(_05816_),
-    .B1(_05817_),
-    .B2(_05818_),
-    .C1(_05820_),
+    .X(_05853_));
+ sky130_fd_sc_hd__o221a_1 _10345_ (.A1(\u_i2cm.prer[3] ),
+    .A2(_05849_),
+    .B1(_05850_),
+    .B2(_05851_),
+    .C1(_05853_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00055_));
- sky130_fd_sc_hd__o21a_1 _10314_ (.A1(_05812_),
+ sky130_fd_sc_hd__o21a_1 _10346_ (.A1(_05845_),
     .A2(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[1] ),
     .B1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05821_));
- sky130_fd_sc_hd__inv_2 _10315_ (.A(_05800_),
+    .X(_05854_));
+ sky130_fd_sc_hd__inv_2 _10347_ (.A(_05833_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05822_));
- sky130_fd_sc_hd__o221a_1 _10316_ (.A1(\u_i2cm.prer[4] ),
-    .A2(_05816_),
-    .B1(_05821_),
-    .B2(_05822_),
-    .C1(_05820_),
+    .Y(_05855_));
+ sky130_fd_sc_hd__o221a_1 _10348_ (.A1(\u_i2cm.prer[4] ),
+    .A2(_05849_),
+    .B1(_05854_),
+    .B2(_05855_),
+    .C1(_05853_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00056_));
- sky130_fd_sc_hd__and2_1 _10317_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[3] ),
-    .B(_05800_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05823_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10318_ (.A(_05801_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05824_));
- sky130_fd_sc_hd__inv_2 _10319_ (.A(_05824_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_05825_));
- sky130_fd_sc_hd__o221a_1 _10320_ (.A1(\u_i2cm.prer[5] ),
-    .A2(_05816_),
-    .B1(_05823_),
-    .B2(_05825_),
-    .C1(_05820_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00057_));
- sky130_fd_sc_hd__and2_1 _10321_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[4] ),
-    .B(_05824_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05826_));
- sky130_fd_sc_hd__nor2_1 _10322_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[4] ),
-    .B(_05824_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_05827_));
- sky130_fd_sc_hd__o221a_1 _10323_ (.A1(\u_i2cm.prer[6] ),
-    .A2(_05816_),
-    .B1(_05826_),
-    .B2(_05827_),
-    .C1(_05820_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00058_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10324_ (.A(_05810_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05828_));
- sky130_fd_sc_hd__o21a_1 _10325_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[4] ),
-    .A2(_05824_),
-    .B1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[5] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05829_));
- sky130_fd_sc_hd__inv_2 _10326_ (.A(_05802_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_05830_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10327_ (.A(_05819_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05831_));
- sky130_fd_sc_hd__o221a_1 _10328_ (.A1(\u_i2cm.prer[7] ),
-    .A2(_05828_),
-    .B1(_05829_),
-    .B2(_05830_),
-    .C1(_05831_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00059_));
- sky130_fd_sc_hd__clkbuf_1 _10329_ (.A(_05803_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05832_));
- sky130_fd_sc_hd__nand2_1 _10330_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[6] ),
-    .B(_05802_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_05833_));
- sky130_fd_sc_hd__nand2_1 _10331_ (.A(_05832_),
+ sky130_fd_sc_hd__and2_1 _10349_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[3] ),
     .B(_05833_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05834_));
- sky130_fd_sc_hd__o211a_1 _10332_ (.A1(\u_i2cm.prer[8] ),
-    .A2(_05811_),
-    .B1(_05834_),
-    .C1(_05815_),
+    .X(_05856_));
+ sky130_fd_sc_hd__clkbuf_1 _10350_ (.A(_05834_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05857_));
+ sky130_fd_sc_hd__inv_2 _10351_ (.A(_05857_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_05858_));
+ sky130_fd_sc_hd__o221a_1 _10352_ (.A1(\u_i2cm.prer[5] ),
+    .A2(_05849_),
+    .B1(_05856_),
+    .B2(_05858_),
+    .C1(_05853_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00057_));
+ sky130_fd_sc_hd__and2_1 _10353_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[4] ),
+    .B(_05857_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05859_));
+ sky130_fd_sc_hd__nor2_1 _10354_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[4] ),
+    .B(_05857_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_05860_));
+ sky130_fd_sc_hd__o221a_1 _10355_ (.A1(\u_i2cm.prer[6] ),
+    .A2(_05849_),
+    .B1(_05859_),
+    .B2(_05860_),
+    .C1(_05853_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00058_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10356_ (.A(_05843_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05861_));
+ sky130_fd_sc_hd__o21a_1 _10357_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[4] ),
+    .A2(_05857_),
+    .B1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05862_));
+ sky130_fd_sc_hd__inv_2 _10358_ (.A(_05835_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_05863_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10359_ (.A(_05852_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05864_));
+ sky130_fd_sc_hd__o221a_1 _10360_ (.A1(\u_i2cm.prer[7] ),
+    .A2(_05861_),
+    .B1(_05862_),
+    .B2(_05863_),
+    .C1(_05864_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00059_));
+ sky130_fd_sc_hd__clkbuf_1 _10361_ (.A(_05836_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05865_));
+ sky130_fd_sc_hd__nand2_1 _10362_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[6] ),
+    .B(_05835_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_05866_));
+ sky130_fd_sc_hd__nand2_1 _10363_ (.A(_05865_),
+    .B(_05866_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_05867_));
+ sky130_fd_sc_hd__o211a_1 _10364_ (.A1(\u_i2cm.prer[8] ),
+    .A2(_05844_),
+    .B1(_05867_),
+    .C1(_05848_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00060_));
- sky130_fd_sc_hd__and2_1 _10333_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[7] ),
-    .B(_05832_),
+ sky130_fd_sc_hd__and2_1 _10365_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[7] ),
+    .B(_05865_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05835_));
- sky130_fd_sc_hd__nor2_1 _10334_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[7] ),
-    .B(_05832_),
+    .X(_05868_));
+ sky130_fd_sc_hd__nor2_1 _10366_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[7] ),
+    .B(_05865_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05836_));
- sky130_fd_sc_hd__o221a_1 _10335_ (.A1(\u_i2cm.prer[9] ),
-    .A2(_05828_),
-    .B1(_05835_),
-    .B2(_05836_),
-    .C1(_05831_),
+    .Y(_05869_));
+ sky130_fd_sc_hd__o221a_1 _10367_ (.A1(\u_i2cm.prer[9] ),
+    .A2(_05861_),
+    .B1(_05868_),
+    .B2(_05869_),
+    .C1(_05864_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00061_));
- sky130_fd_sc_hd__o21a_1 _10336_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[7] ),
-    .A2(_05832_),
+ sky130_fd_sc_hd__o21a_1 _10368_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[7] ),
+    .A2(_05865_),
     .B1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05837_));
- sky130_fd_sc_hd__inv_2 _10337_ (.A(_05804_),
+    .X(_05870_));
+ sky130_fd_sc_hd__inv_2 _10369_ (.A(_05837_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05838_));
- sky130_fd_sc_hd__o221a_1 _10338_ (.A1(\u_i2cm.prer[10] ),
-    .A2(_05828_),
-    .B1(_05837_),
-    .B2(_05838_),
-    .C1(_05831_),
+    .Y(_05871_));
+ sky130_fd_sc_hd__o221a_1 _10370_ (.A1(\u_i2cm.prer[10] ),
+    .A2(_05861_),
+    .B1(_05870_),
+    .B2(_05871_),
+    .C1(_05864_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00062_));
- sky130_fd_sc_hd__clkbuf_1 _10339_ (.A(_05805_),
+ sky130_fd_sc_hd__clkbuf_1 _10371_ (.A(_05838_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05839_));
- sky130_fd_sc_hd__nand2_1 _10340_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[9] ),
-    .B(_05804_),
+    .X(_05872_));
+ sky130_fd_sc_hd__nand2_1 _10372_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[9] ),
+    .B(_05837_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05840_));
- sky130_fd_sc_hd__nand2_1 _10341_ (.A(_05839_),
-    .B(_05840_),
+    .Y(_05873_));
+ sky130_fd_sc_hd__nand2_1 _10373_ (.A(_05872_),
+    .B(_05873_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05841_));
- sky130_fd_sc_hd__o211a_1 _10342_ (.A1(\u_i2cm.prer[11] ),
-    .A2(_05811_),
-    .B1(_05841_),
-    .C1(_05815_),
+    .Y(_05874_));
+ sky130_fd_sc_hd__o211a_1 _10374_ (.A1(\u_i2cm.prer[11] ),
+    .A2(_05844_),
+    .B1(_05874_),
+    .C1(_05848_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00063_));
- sky130_fd_sc_hd__and2_1 _10343_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[10] ),
-    .B(_05839_),
+ sky130_fd_sc_hd__and2_1 _10375_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[10] ),
+    .B(_05872_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05842_));
- sky130_fd_sc_hd__nor2_1 _10344_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[10] ),
-    .B(_05839_),
+    .X(_05875_));
+ sky130_fd_sc_hd__nor2_1 _10376_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[10] ),
+    .B(_05872_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05843_));
- sky130_fd_sc_hd__o221a_1 _10345_ (.A1(\u_i2cm.prer[12] ),
-    .A2(_05828_),
-    .B1(_05842_),
-    .B2(_05843_),
-    .C1(_05831_),
+    .Y(_05876_));
+ sky130_fd_sc_hd__o221a_1 _10377_ (.A1(\u_i2cm.prer[12] ),
+    .A2(_05861_),
+    .B1(_05875_),
+    .B2(_05876_),
+    .C1(_05864_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00051_));
- sky130_fd_sc_hd__o21a_1 _10346_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[10] ),
-    .A2(_05839_),
+ sky130_fd_sc_hd__o21a_1 _10378_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[10] ),
+    .A2(_05872_),
     .B1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[11] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05844_));
- sky130_fd_sc_hd__inv_2 _10347_ (.A(_05806_),
+    .X(_05877_));
+ sky130_fd_sc_hd__inv_2 _10379_ (.A(_05839_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05845_));
- sky130_fd_sc_hd__o221a_1 _10348_ (.A1(\u_i2cm.prer[13] ),
-    .A2(_05810_),
-    .B1(_05844_),
-    .B2(_05845_),
-    .C1(_05819_),
+    .Y(_05878_));
+ sky130_fd_sc_hd__o221a_1 _10380_ (.A1(\u_i2cm.prer[13] ),
+    .A2(_05843_),
+    .B1(_05877_),
+    .B2(_05878_),
+    .C1(_05852_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00052_));
- sky130_fd_sc_hd__nand2_1 _10349_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[12] ),
-    .B(_05806_),
+ sky130_fd_sc_hd__nand2_1 _10381_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[12] ),
+    .B(_05839_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05846_));
- sky130_fd_sc_hd__nand2_1 _10350_ (.A(_05807_),
-    .B(_05846_),
+    .Y(_05879_));
+ sky130_fd_sc_hd__nand2_1 _10382_ (.A(_05840_),
+    .B(_05879_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05847_));
- sky130_fd_sc_hd__o211a_1 _10351_ (.A1(\u_i2cm.prer[14] ),
-    .A2(_05811_),
-    .B1(_05847_),
-    .C1(_05815_),
+    .Y(_05880_));
+ sky130_fd_sc_hd__o211a_1 _10383_ (.A1(\u_i2cm.prer[14] ),
+    .A2(_05844_),
+    .B1(_05880_),
+    .C1(_05848_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00053_));
- sky130_fd_sc_hd__inv_2 _10352_ (.A(\u_i2cm.prer[15] ),
+ sky130_fd_sc_hd__inv_2 _10384_ (.A(\u_i2cm.prer[15] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05848_));
- sky130_fd_sc_hd__nand2_1 _10353_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[13] ),
-    .B(_05807_),
+    .Y(_05881_));
+ sky130_fd_sc_hd__nand2_1 _10385_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[13] ),
+    .B(_05840_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05849_));
- sky130_fd_sc_hd__o21ai_1 _10354_ (.A1(_05848_),
-    .A2(_05809_),
-    .B1(_05849_),
+    .Y(_05882_));
+ sky130_fd_sc_hd__o21ai_1 _10386_ (.A1(_05881_),
+    .A2(_05842_),
+    .B1(_05882_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05850_));
- sky130_fd_sc_hd__and2_1 _10355_ (.A(_05819_),
-    .B(_05850_),
+    .Y(_05883_));
+ sky130_fd_sc_hd__and2_1 _10387_ (.A(_05852_),
+    .B(_05883_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05851_));
- sky130_fd_sc_hd__clkbuf_1 _10356_ (.A(_05851_),
+    .X(_05884_));
+ sky130_fd_sc_hd__clkbuf_1 _10388_ (.A(_05884_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00054_));
- sky130_fd_sc_hd__or2_1 _10357_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[6] ),
-    .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[7] ),
+ sky130_fd_sc_hd__clkbuf_1 _10389_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[16] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05852_));
- sky130_fd_sc_hd__or4_1 _10358_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[1] ),
-    .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[0] ),
+    .X(_05885_));
+ sky130_fd_sc_hd__clkbuf_1 _10390_ (.A(_05885_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05886_));
+ sky130_fd_sc_hd__clkbuf_1 _10391_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[13] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05887_));
+ sky130_fd_sc_hd__clkbuf_1 _10392_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[15] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05888_));
+ sky130_fd_sc_hd__clkbuf_1 _10393_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[14] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05889_));
+ sky130_fd_sc_hd__or4_1 _10394_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[0] ),
+    .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[1] ),
     .C(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[3] ),
     .D(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05853_));
- sky130_fd_sc_hd__or3_2 _10359_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[5] ),
-    .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[4] ),
-    .C(_05853_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05854_));
- sky130_fd_sc_hd__or2_1 _10360_ (.A(_05852_),
-    .B(_05854_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05855_));
- sky130_fd_sc_hd__or2_1 _10361_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[13] ),
-    .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[12] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05856_));
- sky130_fd_sc_hd__or3_1 _10362_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[15] ),
-    .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[14] ),
-    .C(_05856_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05857_));
- sky130_fd_sc_hd__or3_1 _10363_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[16] ),
-    .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[10] ),
-    .C(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[11] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05858_));
- sky130_fd_sc_hd__or3_1 _10364_ (.A(_05855_),
-    .B(_05857_),
-    .C(_05858_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05859_));
- sky130_fd_sc_hd__clkbuf_1 _10365_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[9] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05860_));
- sky130_fd_sc_hd__clkbuf_1 _10366_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[8] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05861_));
- sky130_fd_sc_hd__or3b_1 _10367_ (.A(_05859_),
-    .B(_05860_),
-    .C_N(_05861_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05862_));
- sky130_fd_sc_hd__clkbuf_1 _10368_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[5] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05863_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10369_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[4] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05864_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10370_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[16] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05865_));
- sky130_fd_sc_hd__or4_2 _10371_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[9] ),
-    .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[8] ),
-    .C(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[10] ),
-    .D(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[11] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05866_));
- sky130_fd_sc_hd__or3_2 _10372_ (.A(_05852_),
-    .B(_05857_),
-    .C(_05866_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05867_));
- sky130_fd_sc_hd__nor3_1 _10373_ (.A(_05865_),
-    .B(_05853_),
-    .C(_05867_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_05868_));
- sky130_fd_sc_hd__nand3b_2 _10374_ (.A_N(_05863_),
-    .B(_05864_),
-    .C(_05868_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_05869_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10375_ (.A(_05865_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05870_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10376_ (.A(_05870_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05871_));
- sky130_fd_sc_hd__nor2_1 _10377_ (.A(_05854_),
-    .B(_05867_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_05872_));
- sky130_fd_sc_hd__nand2_1 _10378_ (.A(_05871_),
-    .B(_05872_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_05873_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10379_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[12] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05874_));
- sky130_fd_sc_hd__inv_2 _10380_ (.A(_05874_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_05875_));
- sky130_fd_sc_hd__or3_1 _10381_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[15] ),
-    .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[14] ),
-    .C(_05855_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05876_));
- sky130_fd_sc_hd__nor2_1 _10382_ (.A(_05865_),
-    .B(_05866_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_05877_));
- sky130_fd_sc_hd__or4b_1 _10383_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[13] ),
-    .B(_05875_),
-    .C(_05876_),
-    .D_N(_05877_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05878_));
- sky130_fd_sc_hd__nand2_2 _10384_ (.A(_05501_),
-    .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.clk_en ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_05879_));
- sky130_fd_sc_hd__clkbuf_1 _10385_ (.A(_05879_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05880_));
- sky130_fd_sc_hd__a41oi_1 _10386_ (.A1(_05862_),
-    .A2(_05869_),
-    .A3(_05873_),
-    .A4(_05878_),
-    .B1(_05880_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_00049_));
- sky130_fd_sc_hd__buf_6 _10387_ (.A(\u_usb_host.u_phy.out_dn_q ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05881_));
- sky130_fd_sc_hd__clkbuf_1 _10388_ (.A(_05881_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net99));
- sky130_fd_sc_hd__buf_6 _10389_ (.A(\u_usb_host.u_phy.out_dp_q ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05882_));
- sky130_fd_sc_hd__clkbuf_1 _10390_ (.A(_05882_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net100));
- sky130_fd_sc_hd__or4_1 _10391_ (.A(\u_usb_host.u_core.u_sie.utmi_rxactive_i ),
-    .B(\u_usb_host.u_phy.state_q[6] ),
-    .C(\u_usb_host.u_phy.state_q[9] ),
-    .D(_05604_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05883_));
- sky130_fd_sc_hd__xor2_1 _10392_ (.A(\u_usb_host.u_phy.rxd_last_q ),
-    .B(_05537_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05884_));
- sky130_fd_sc_hd__o31a_1 _10393_ (.A1(_05558_),
-    .A2(\u_usb_host.u_phy.state_q[11] ),
-    .A3(_05883_),
-    .B1(_05884_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05885_));
- sky130_fd_sc_hd__a21oi_1 _10394_ (.A1(_05552_),
-    .A2(_05885_),
-    .B1(\u_usb_host.u_phy.adjust_delayed_q ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_05886_));
- sky130_fd_sc_hd__and2_1 _10395_ (.A(_05885_),
-    .B(_05886_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05887_));
- sky130_fd_sc_hd__clkbuf_1 _10396_ (.A(_05887_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00092_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10397_ (.A(\u_usb_host.u_core.sof_time_q[5] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05888_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10398_ (.A(\u_usb_host.u_core.sof_time_q[1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05889_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10399_ (.A(\u_usb_host.u_core.sof_time_q[3] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
     .X(_05890_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10400_ (.A(\u_usb_host.u_core.sof_time_q[4] ),
+ sky130_fd_sc_hd__or3_2 _10395_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[4] ),
+    .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[5] ),
+    .C(_05890_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05891_));
- sky130_fd_sc_hd__o41a_1 _10401_ (.A1(_05889_),
-    .A2(\u_usb_host.u_core.sof_time_q[0] ),
-    .A3(\u_usb_host.u_core.sof_time_q[2] ),
-    .A4(_05890_),
-    .B1(_05891_),
+ sky130_fd_sc_hd__or2_1 _10396_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[7] ),
+    .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05892_));
- sky130_fd_sc_hd__clkbuf_2 _10402_ (.A(\u_usb_host.u_core.sof_time_q[6] ),
+ sky130_fd_sc_hd__or2_1 _10397_ (.A(_05891_),
+    .B(_05892_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05893_));
- sky130_fd_sc_hd__o21a_1 _10403_ (.A1(_05888_),
-    .A2(_05892_),
-    .B1(_05893_),
+ sky130_fd_sc_hd__or4_1 _10398_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[8] ),
+    .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[9] ),
+    .C(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[11] ),
+    .D(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[10] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05894_));
- sky130_fd_sc_hd__clkbuf_1 _10404_ (.A(\u_usb_host.u_core.sof_time_q[12] ),
+ sky130_fd_sc_hd__clkbuf_1 _10399_ (.A(_05894_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05895_));
- sky130_fd_sc_hd__clkbuf_1 _10405_ (.A(\u_usb_host.u_core.sof_time_q[13] ),
+ sky130_fd_sc_hd__or4_1 _10400_ (.A(_05888_),
+    .B(_05889_),
+    .C(_05893_),
+    .D(_05895_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05896_));
- sky130_fd_sc_hd__or3_1 _10406_ (.A(\u_usb_host.u_core.sof_time_q[11] ),
-    .B(_05896_),
-    .C(\u_usb_host.u_core.sof_time_q[15] ),
+ sky130_fd_sc_hd__clkbuf_1 _10401_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05897_));
- sky130_fd_sc_hd__clkbuf_1 _10407_ (.A(\u_usb_host.u_core.sof_time_q[7] ),
+ sky130_fd_sc_hd__or4b_1 _10402_ (.A(_05886_),
+    .B(_05887_),
+    .C(_05896_),
+    .D_N(_05897_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05898_));
- sky130_fd_sc_hd__or4_1 _10408_ (.A(_05898_),
-    .B(\u_usb_host.u_core.sof_time_q[9] ),
-    .C(\u_usb_host.u_core.sof_time_q[8] ),
-    .D(\u_usb_host.u_core.sof_time_q[10] ),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10403_ (.A(_05886_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05899_));
- sky130_fd_sc_hd__or4_1 _10409_ (.A(_05895_),
-    .B(\u_usb_host.u_core.sof_time_q[14] ),
-    .C(_05897_),
-    .D(_05899_),
+ sky130_fd_sc_hd__or4_1 _10404_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[12] ),
+    .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[13] ),
+    .C(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[15] ),
+    .D(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05900_));
- sky130_fd_sc_hd__or4_1 _10410_ (.A(_05890_),
-    .B(\u_usb_host.u_core.sof_time_q[4] ),
-    .C(_05888_),
-    .D(_05385_),
+ sky130_fd_sc_hd__or2_1 _10405_ (.A(_05893_),
+    .B(_05900_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05901_));
- sky130_fd_sc_hd__and3_1 _10411_ (.A(\u_usb_host.u_core.sof_time_q[7] ),
-    .B(\u_usb_host.u_core.sof_time_q[10] ),
-    .C(\u_usb_host.u_core.sof_time_q[11] ),
+ sky130_fd_sc_hd__nor2_1 _10406_ (.A(_05895_),
+    .B(_05901_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05902_));
- sky130_fd_sc_hd__a31o_1 _10412_ (.A1(_05388_),
-    .A2(_05901_),
-    .A3(_05902_),
-    .B1(_05895_),
+    .Y(_05902_));
+ sky130_fd_sc_hd__nand2_1 _10407_ (.A(_05899_),
+    .B(_05902_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05903_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10413_ (.A(\u_usb_host.u_core.sof_time_q[14] ),
+    .Y(_05903_));
+ sky130_fd_sc_hd__clkbuf_1 _10408_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05904_));
- sky130_fd_sc_hd__a21o_1 _10414_ (.A1(_05896_),
-    .A2(_05903_),
-    .B1(_05904_),
+ sky130_fd_sc_hd__clkbuf_1 _10409_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[11] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05905_));
- sky130_fd_sc_hd__clkbuf_2 _10415_ (.A(\u_usb_host.u_core.sof_time_q[15] ),
+ sky130_fd_sc_hd__clkbuf_1 _10410_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[10] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05906_));
- sky130_fd_sc_hd__a2bb2o_2 _10416_ (.A1_N(_05894_),
-    .A2_N(_05900_),
-    .B1(_05905_),
-    .B2(_05906_),
+ sky130_fd_sc_hd__or3_1 _10411_ (.A(_05905_),
+    .B(_05906_),
+    .C(_05901_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05907_));
- sky130_fd_sc_hd__inv_2 _10417_ (.A(\u_usb_host.u_core.usb_rx_stat_start_pend_in_w ),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10412_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05908_));
- sky130_fd_sc_hd__a211o_1 _10418_ (.A1(\u_usb_host.u_core.usb_ctrl_enable_sof_out_w ),
-    .A2(_05907_),
-    .B1(_05322_),
-    .C1(_05908_),
+    .X(_05908_));
+ sky130_fd_sc_hd__or4b_1 _10413_ (.A(_05886_),
+    .B(_05904_),
+    .C(_05907_),
+    .D_N(_05908_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05909_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10419_ (.A(\u_usb_host.u_core.transfer_start_q ),
+ sky130_fd_sc_hd__clkbuf_1 _10414_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05910_));
- sky130_fd_sc_hd__a21o_1 _10420_ (.A1(_05394_),
-    .A2(_05909_),
-    .B1(_05910_),
+ sky130_fd_sc_hd__or3_2 _10415_ (.A(_05892_),
+    .B(_05894_),
+    .C(_05900_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05911_));
- sky130_fd_sc_hd__o21ai_1 _10421_ (.A1(_05320_),
-    .A2(net372),
-    .B1(_05911_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_00083_));
- sky130_fd_sc_hd__inv_2 _10422_ (.A(\u_sspim.cfg_op_req ),
+ sky130_fd_sc_hd__nor2_1 _10416_ (.A(_05890_),
+    .B(_05911_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_05912_));
- sky130_fd_sc_hd__buf_2 _10423_ (.A(_05912_),
+ sky130_fd_sc_hd__clkbuf_1 _10417_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05913_));
- sky130_fd_sc_hd__inv_2 _10424_ (.A(\u_sspim.u_spi_ctrl.clk_cnt[3] ),
+ sky130_fd_sc_hd__and4bb_1 _10418_ (.A_N(_05886_),
+    .B_N(_05910_),
+    .C(_05912_),
+    .D(_05913_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05914_));
- sky130_fd_sc_hd__inv_2 _10425_ (.A(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[2].u_bit_reg.data_out ),
+    .X(_05914_));
+ sky130_fd_sc_hd__clkinv_2 _10419_ (.A(_05914_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_05915_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10426_ (.A(\u_sspim.u_spi_ctrl.clk_cnt[1] ),
+ sky130_fd_sc_hd__and4_1 _10420_ (.A(_05898_),
+    .B(_05903_),
+    .C(_05909_),
+    .D(_05915_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05916_));
- sky130_fd_sc_hd__xor2_1 _10427_ (.A(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[6].u_bit_reg.data_out ),
-    .B(_05916_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10421_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.clk_en ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05917_));
- sky130_fd_sc_hd__a221o_1 _10428_ (.A1(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[0].u_bit_reg.data_out ),
-    .A2(_05914_),
-    .B1(_05915_),
-    .B2(\u_sspim.u_spi_ctrl.clk_cnt[5] ),
-    .C1(_05917_),
+ sky130_fd_sc_hd__nand2_1 _10422_ (.A(_05481_),
+    .B(_05917_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05918_));
- sky130_fd_sc_hd__clkbuf_2 _10429_ (.A(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[7].u_bit_reg.data_out ),
+    .Y(_05918_));
+ sky130_fd_sc_hd__clkbuf_2 _10423_ (.A(_05918_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05919_));
- sky130_fd_sc_hd__inv_2 _10430_ (.A(\u_sspim.u_spi_ctrl.clk_cnt[2] ),
+ sky130_fd_sc_hd__clkbuf_1 _10424_ (.A(_05919_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05920_));
- sky130_fd_sc_hd__inv_2 _10431_ (.A(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[0].u_bit_reg.data_out ),
+    .X(_05920_));
+ sky130_fd_sc_hd__nor2_1 _10425_ (.A(_05916_),
+    .B(_05920_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05921_));
- sky130_fd_sc_hd__inv_2 _10432_ (.A(\u_sspim.u_spi_ctrl.clk_cnt[0] ),
+    .Y(_00049_));
+ sky130_fd_sc_hd__buf_6 _10426_ (.A(\u_usb_host.u_phy.out_dn_q ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05922_));
- sky130_fd_sc_hd__a2bb2o_1 _10433_ (.A1_N(_05915_),
-    .A2_N(\u_sspim.u_spi_ctrl.clk_cnt[5] ),
-    .B1(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[5].u_bit_reg.data_out ),
-    .B2(_05922_),
+    .X(_05921_));
+ sky130_fd_sc_hd__clkbuf_1 _10427_ (.A(_05921_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net99));
+ sky130_fd_sc_hd__buf_4 _10428_ (.A(\u_usb_host.u_phy.out_dp_q ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05922_));
+ sky130_fd_sc_hd__clkbuf_1 _10429_ (.A(_05922_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net100));
+ sky130_fd_sc_hd__or4_1 _10430_ (.A(\u_usb_host.u_core.u_sie.utmi_rxactive_i ),
+    .B(\u_usb_host.u_phy.state_q[6] ),
+    .C(\u_usb_host.u_phy.state_q[9] ),
+    .D(_05584_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05923_));
- sky130_fd_sc_hd__a221o_1 _10434_ (.A1(_05919_),
-    .A2(_05920_),
-    .B1(\u_sspim.u_spi_ctrl.clk_cnt[3] ),
-    .B2(_05921_),
-    .C1(_05923_),
+ sky130_fd_sc_hd__xor2_1 _10431_ (.A(\u_usb_host.u_phy.rxd_last_q ),
+    .B(_05518_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05924_));
- sky130_fd_sc_hd__o22a_1 _10435_ (.A1(_05919_),
-    .A2(_05920_),
-    .B1(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[5].u_bit_reg.data_out ),
-    .B2(_05922_),
+ sky130_fd_sc_hd__o31a_1 _10432_ (.A1(_05537_),
+    .A2(\u_usb_host.u_phy.state_q[11] ),
+    .A3(_05923_),
+    .B1(_05924_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05925_));
- sky130_fd_sc_hd__clkbuf_2 _10436_ (.A(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[1].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__a21oi_1 _10433_ (.A1(_05531_),
+    .A2(_05925_),
+    .B1(\u_usb_host.u_phy.adjust_delayed_q ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05926_));
- sky130_fd_sc_hd__xnor2_1 _10437_ (.A(_05926_),
-    .B(\u_sspim.u_spi_ctrl.clk_cnt[4] ),
+    .Y(_05926_));
+ sky130_fd_sc_hd__and2_1 _10434_ (.A(_05925_),
+    .B(_05926_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05927_));
- sky130_fd_sc_hd__and4bb_2 _10438_ (.A_N(_05918_),
-    .B_N(_05924_),
-    .C(_05925_),
-    .D(_05927_),
+    .X(_05927_));
+ sky130_fd_sc_hd__clkbuf_1 _10435_ (.A(_05927_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00092_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10436_ (.A(\u_usb_host.u_core.sof_time_q[15] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05928_));
- sky130_fd_sc_hd__nor2_1 _10439_ (.A(_05913_),
-    .B(_05928_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10437_ (.A(\u_usb_host.u_core.sof_time_q[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05929_));
- sky130_fd_sc_hd__clkbuf_1 _10440_ (.A(_05929_),
+    .X(_05929_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10438_ (.A(\u_usb_host.u_core.sof_time_q[11] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05930_));
- sky130_fd_sc_hd__nand2_1 _10441_ (.A(\u_sspim.u_spi_ctrl.clk_cnt[0] ),
-    .B(_05930_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_00070_));
- sky130_fd_sc_hd__or2_1 _10442_ (.A(\u_sspim.u_spi_ctrl.clk_cnt[0] ),
-    .B(_05916_),
+ sky130_fd_sc_hd__clkbuf_2 _10439_ (.A(\u_usb_host.u_core.sof_time_q[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05931_));
- sky130_fd_sc_hd__nand2_1 _10443_ (.A(\u_sspim.u_spi_ctrl.clk_cnt[0] ),
-    .B(_05916_),
+ sky130_fd_sc_hd__clkbuf_2 _10440_ (.A(\u_usb_host.u_core.sof_time_q[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05932_));
- sky130_fd_sc_hd__and3_1 _10444_ (.A(_05930_),
-    .B(_05931_),
-    .C(_05932_),
+    .X(_05932_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10441_ (.A(\u_usb_host.u_core.sof_time_q[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05933_));
- sky130_fd_sc_hd__clkbuf_1 _10445_ (.A(_05933_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00071_));
- sky130_fd_sc_hd__or2_1 _10446_ (.A(_05920_),
-    .B(_05932_),
+ sky130_fd_sc_hd__or2_1 _10442_ (.A(_05932_),
+    .B(_05933_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05934_));
- sky130_fd_sc_hd__nand2_1 _10447_ (.A(_05920_),
-    .B(_05932_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10443_ (.A(\u_usb_host.u_core.sof_time_q[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05935_));
- sky130_fd_sc_hd__and3_1 _10448_ (.A(_05930_),
-    .B(_05934_),
-    .C(_05935_),
+    .X(_05935_));
+ sky130_fd_sc_hd__o311a_1 _10444_ (.A1(_05931_),
+    .A2(_05363_),
+    .A3(_05934_),
+    .B1(_05366_),
+    .C1(_05935_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05936_));
- sky130_fd_sc_hd__clkbuf_1 _10449_ (.A(_05936_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00072_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10450_ (.A(_05914_),
+ sky130_fd_sc_hd__a31o_1 _10445_ (.A1(\u_usb_host.u_core.sof_time_q[10] ),
+    .A2(_05930_),
+    .A3(_05936_),
+    .B1(\u_usb_host.u_core.sof_time_q[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05937_));
- sky130_fd_sc_hd__nor2_1 _10451_ (.A(_05937_),
-    .B(_05934_),
+ sky130_fd_sc_hd__a21o_1 _10446_ (.A1(_05929_),
+    .A2(_05937_),
+    .B1(\u_usb_host.u_core.sof_time_q[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05938_));
- sky130_fd_sc_hd__nand2_1 _10452_ (.A(_05937_),
-    .B(_05934_),
+    .X(_05938_));
+ sky130_fd_sc_hd__clkbuf_1 _10447_ (.A(\u_usb_host.u_core.sof_time_q[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05939_));
- sky130_fd_sc_hd__and3b_1 _10453_ (.A_N(_05938_),
-    .B(_05939_),
-    .C(_05929_),
+    .X(_05939_));
+ sky130_fd_sc_hd__or4_1 _10448_ (.A(_05939_),
+    .B(\u_usb_host.u_core.sof_time_q[0] ),
+    .C(\u_usb_host.u_core.sof_time_q[2] ),
+    .D(_05931_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05940_));
- sky130_fd_sc_hd__clkbuf_1 _10454_ (.A(_05940_),
+ sky130_fd_sc_hd__o211a_1 _10449_ (.A1(_05933_),
+    .A2(_05940_),
+    .B1(_05934_),
+    .C1(\u_usb_host.u_core.sof_time_q[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00073_));
- sky130_fd_sc_hd__nand2_1 _10455_ (.A(\u_sspim.u_spi_ctrl.clk_cnt[4] ),
-    .B(_05938_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_05941_));
- sky130_fd_sc_hd__or2_1 _10456_ (.A(\u_sspim.u_spi_ctrl.clk_cnt[4] ),
-    .B(_05938_),
+    .X(_05941_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10450_ (.A(\u_usb_host.u_core.sof_time_q[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05942_));
- sky130_fd_sc_hd__and3_1 _10457_ (.A(_05929_),
-    .B(_05941_),
-    .C(_05942_),
+ sky130_fd_sc_hd__or4_1 _10451_ (.A(\u_usb_host.u_core.sof_time_q[7] ),
+    .B(_05942_),
+    .C(\u_usb_host.u_core.sof_time_q[10] ),
+    .D(\u_usb_host.u_core.sof_time_q[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05943_));
- sky130_fd_sc_hd__clkbuf_1 _10458_ (.A(_05943_),
+ sky130_fd_sc_hd__or4_1 _10452_ (.A(\u_usb_host.u_core.sof_time_q[8] ),
+    .B(\u_usb_host.u_core.sof_time_q[11] ),
+    .C(_05941_),
+    .D(_05943_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00074_));
- sky130_fd_sc_hd__xnor2_1 _10459_ (.A(\u_sspim.u_spi_ctrl.clk_cnt[5] ),
-    .B(_05941_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_05944_));
- sky130_fd_sc_hd__and2_1 _10460_ (.A(_05930_),
-    .B(_05944_),
+    .X(_05944_));
+ sky130_fd_sc_hd__or4_1 _10453_ (.A(\u_usb_host.u_core.sof_time_q[12] ),
+    .B(\u_usb_host.u_core.sof_time_q[14] ),
+    .C(\u_usb_host.u_core.sof_time_q[15] ),
+    .D(_05944_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05945_));
- sky130_fd_sc_hd__clkbuf_1 _10461_ (.A(_05945_),
+ sky130_fd_sc_hd__a21bo_1 _10454_ (.A1(_05928_),
+    .A2(_05938_),
+    .B1_N(_05945_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05946_));
+ sky130_fd_sc_hd__inv_2 _10455_ (.A(\u_usb_host.u_core.usb_rx_stat_start_pend_in_w ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_05947_));
+ sky130_fd_sc_hd__a211o_1 _10456_ (.A1(\u_usb_host.u_core.usb_ctrl_enable_sof_out_w ),
+    .A2(_05946_),
+    .B1(_05302_),
+    .C1(_05947_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05948_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10457_ (.A(\u_usb_host.u_core.transfer_start_q ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05949_));
+ sky130_fd_sc_hd__a21o_1 _10458_ (.A1(_05372_),
+    .A2(_05948_),
+    .B1(_05949_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05950_));
+ sky130_fd_sc_hd__o21ai_1 _10459_ (.A1(_05300_),
+    .A2(\u_usb_host.u_core.transfer_ack_w ),
+    .B1(_05950_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_00083_));
+ sky130_fd_sc_hd__clkbuf_1 _10460_ (.A(\u_sspim.u_spi_ctrl.clk_cnt[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05951_));
+ sky130_fd_sc_hd__inv_2 _10461_ (.A(\u_sspim.cfg_op_req ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_05952_));
+ sky130_fd_sc_hd__buf_2 _10462_ (.A(_05952_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05953_));
+ sky130_fd_sc_hd__inv_2 _10463_ (.A(\u_sspim.u_spi_ctrl.clk_cnt[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_05954_));
+ sky130_fd_sc_hd__inv_2 _10464_ (.A(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[2].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_05955_));
+ sky130_fd_sc_hd__xor2_1 _10465_ (.A(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[6].u_bit_reg.data_out ),
+    .B(\u_sspim.u_spi_ctrl.clk_cnt[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05956_));
+ sky130_fd_sc_hd__a221o_1 _10466_ (.A1(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[0].u_bit_reg.data_out ),
+    .A2(_05954_),
+    .B1(_05955_),
+    .B2(\u_sspim.u_spi_ctrl.clk_cnt[5] ),
+    .C1(_05956_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05957_));
+ sky130_fd_sc_hd__clkbuf_2 _10467_ (.A(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[7].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05958_));
+ sky130_fd_sc_hd__inv_2 _10468_ (.A(\u_sspim.u_spi_ctrl.clk_cnt[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_05959_));
+ sky130_fd_sc_hd__inv_2 _10469_ (.A(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[0].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_05960_));
+ sky130_fd_sc_hd__inv_2 _10470_ (.A(\u_sspim.u_spi_ctrl.clk_cnt[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_05961_));
+ sky130_fd_sc_hd__a2bb2o_1 _10471_ (.A1_N(_05955_),
+    .A2_N(\u_sspim.u_spi_ctrl.clk_cnt[5] ),
+    .B1(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[5].u_bit_reg.data_out ),
+    .B2(_05961_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05962_));
+ sky130_fd_sc_hd__a221o_1 _10472_ (.A1(_05958_),
+    .A2(_05959_),
+    .B1(\u_sspim.u_spi_ctrl.clk_cnt[3] ),
+    .B2(_05960_),
+    .C1(_05962_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05963_));
+ sky130_fd_sc_hd__o22a_1 _10473_ (.A1(_05958_),
+    .A2(_05959_),
+    .B1(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[5].u_bit_reg.data_out ),
+    .B2(_05961_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05964_));
+ sky130_fd_sc_hd__xnor2_1 _10474_ (.A(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[1].u_bit_reg.data_out ),
+    .B(\u_sspim.u_spi_ctrl.clk_cnt[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_05965_));
+ sky130_fd_sc_hd__and4bb_2 _10475_ (.A_N(_05957_),
+    .B_N(_05963_),
+    .C(_05964_),
+    .D(_05965_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05966_));
+ sky130_fd_sc_hd__nor2_1 _10476_ (.A(_05953_),
+    .B(_05966_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_05967_));
+ sky130_fd_sc_hd__clkbuf_1 _10477_ (.A(_05967_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05968_));
+ sky130_fd_sc_hd__nand2_1 _10478_ (.A(_05951_),
+    .B(_05968_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_00070_));
+ sky130_fd_sc_hd__clkbuf_1 _10479_ (.A(\u_sspim.u_spi_ctrl.clk_cnt[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05969_));
+ sky130_fd_sc_hd__o21ai_1 _10480_ (.A1(_05951_),
+    .A2(_05969_),
+    .B1(_05968_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_05970_));
+ sky130_fd_sc_hd__a21oi_1 _10481_ (.A1(_05951_),
+    .A2(_05969_),
+    .B1(_05970_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_00071_));
+ sky130_fd_sc_hd__clkbuf_1 _10482_ (.A(\u_sspim.u_spi_ctrl.clk_cnt[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05971_));
+ sky130_fd_sc_hd__nand3_1 _10483_ (.A(\u_sspim.u_spi_ctrl.clk_cnt[0] ),
+    .B(_05969_),
+    .C(_05971_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_05972_));
+ sky130_fd_sc_hd__a21o_1 _10484_ (.A1(_05951_),
+    .A2(_05969_),
+    .B1(_05971_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05973_));
+ sky130_fd_sc_hd__and3_1 _10485_ (.A(_05968_),
+    .B(_05972_),
+    .C(_05973_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05974_));
+ sky130_fd_sc_hd__clkbuf_1 _10486_ (.A(_05974_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00072_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10487_ (.A(_05954_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05975_));
+ sky130_fd_sc_hd__nor2_1 _10488_ (.A(_05975_),
+    .B(_05972_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_05976_));
+ sky130_fd_sc_hd__nand2_1 _10489_ (.A(_05975_),
+    .B(_05972_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_05977_));
+ sky130_fd_sc_hd__and3b_1 _10490_ (.A_N(_05976_),
+    .B(_05977_),
+    .C(_05967_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05978_));
+ sky130_fd_sc_hd__clkbuf_1 _10491_ (.A(_05978_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00073_));
+ sky130_fd_sc_hd__nand2_1 _10492_ (.A(\u_sspim.u_spi_ctrl.clk_cnt[4] ),
+    .B(_05976_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_05979_));
+ sky130_fd_sc_hd__or2_1 _10493_ (.A(\u_sspim.u_spi_ctrl.clk_cnt[4] ),
+    .B(_05976_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05980_));
+ sky130_fd_sc_hd__and3_1 _10494_ (.A(_05967_),
+    .B(_05979_),
+    .C(_05980_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05981_));
+ sky130_fd_sc_hd__clkbuf_1 _10495_ (.A(_05981_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00074_));
+ sky130_fd_sc_hd__xnor2_1 _10496_ (.A(\u_sspim.u_spi_ctrl.clk_cnt[5] ),
+    .B(_05979_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_05982_));
+ sky130_fd_sc_hd__and2_1 _10497_ (.A(_05968_),
+    .B(_05982_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05983_));
+ sky130_fd_sc_hd__clkbuf_1 _10498_ (.A(_05983_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00075_));
- sky130_fd_sc_hd__or3_4 _10462_ (.A(\u_sspim.u_cfg.u_spi_ctrl_be3.gen_bit_reg[0].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__or3_4 _10499_ (.A(\u_sspim.u_cfg.u_spi_ctrl_be3.gen_bit_reg[0].u_bit_reg.data_out ),
     .B(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[7].u_bit_reg.data_out ),
     .C(\u_sspim.cs_int_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05946_));
- sky130_fd_sc_hd__clkbuf_1 _10463_ (.A(_05946_),
+    .X(_05984_));
+ sky130_fd_sc_hd__clkbuf_1 _10500_ (.A(_05984_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net96));
- sky130_fd_sc_hd__clkbuf_1 _10464_ (.A(net6),
+ sky130_fd_sc_hd__clkbuf_1 _10501_ (.A(net6),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05947_));
- sky130_fd_sc_hd__clkbuf_1 _10465_ (.A(_05947_),
+    .X(_05985_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10502_ (.A(_05985_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05948_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10466_ (.A(_05948_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05949_));
- sky130_fd_sc_hd__and2b_1 _10467_ (.A_N(net4),
+    .X(_05986_));
+ sky130_fd_sc_hd__and2b_1 _10503_ (.A_N(net4),
     .B(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05950_));
- sky130_fd_sc_hd__clkbuf_4 _10468_ (.A(_05950_),
+    .X(_05987_));
+ sky130_fd_sc_hd__clkbuf_4 _10504_ (.A(_05987_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05951_));
- sky130_fd_sc_hd__buf_4 _10469_ (.A(_05951_),
+    .X(_05988_));
+ sky130_fd_sc_hd__buf_4 _10505_ (.A(_05988_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05952_));
- sky130_fd_sc_hd__and2_1 _10470_ (.A(_05949_),
-    .B(_05952_),
+    .X(_05989_));
+ sky130_fd_sc_hd__and2_1 _10506_ (.A(_05986_),
+    .B(_05989_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05953_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10471_ (.A(_05953_),
+    .X(_05990_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10507_ (.A(_05990_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05954_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10472_ (.A(_05949_),
+    .X(_05991_));
+ sky130_fd_sc_hd__clkbuf_2 _10508_ (.A(_05986_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05955_));
- sky130_fd_sc_hd__or2b_1 _10473_ (.A(\u_i2cm.irq_flag ),
-    .B_N(_05955_),
+    .X(_05992_));
+ sky130_fd_sc_hd__or2b_1 _10509_ (.A(\u_i2cm.irq_flag ),
+    .B_N(_05992_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05956_));
- sky130_fd_sc_hd__nor2_1 _10474_ (.A(net4),
+    .X(_05993_));
+ sky130_fd_sc_hd__nor2_1 _10510_ (.A(net4),
     .B(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05957_));
- sky130_fd_sc_hd__buf_4 _10475_ (.A(_05957_),
+    .Y(_05994_));
+ sky130_fd_sc_hd__buf_4 _10511_ (.A(_05994_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05958_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10476_ (.A(_05958_),
+    .X(_05995_));
+ sky130_fd_sc_hd__buf_4 _10512_ (.A(_05995_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05959_));
- sky130_fd_sc_hd__buf_4 _10477_ (.A(_05959_),
+    .X(_05996_));
+ sky130_fd_sc_hd__clkbuf_4 _10513_ (.A(_05996_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05960_));
- sky130_fd_sc_hd__a22o_1 _10478_ (.A1(\u_i2cm.cr[0] ),
-    .A2(_05954_),
-    .B1(_05956_),
-    .B2(_05960_),
+    .X(_05997_));
+ sky130_fd_sc_hd__a22o_1 _10514_ (.A1(\u_i2cm.cr[0] ),
+    .A2(_05991_),
+    .B1(_05993_),
+    .B2(_05997_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05961_));
- sky130_fd_sc_hd__and2b_1 _10479_ (.A_N(net5),
+    .X(_05998_));
+ sky130_fd_sc_hd__clkbuf_1 _10515_ (.A(net6),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05999_));
+ sky130_fd_sc_hd__and2b_1 _10516_ (.A_N(net5),
     .B(net4),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05962_));
- sky130_fd_sc_hd__clkbuf_4 _10480_ (.A(_05962_),
+    .X(_06000_));
+ sky130_fd_sc_hd__clkbuf_4 _10517_ (.A(_06000_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05963_));
- sky130_fd_sc_hd__and2b_1 _10481_ (.A_N(_05947_),
-    .B(_05963_),
+    .X(_06001_));
+ sky130_fd_sc_hd__and2b_2 _10518_ (.A_N(_05999_),
+    .B(_06001_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05964_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10482_ (.A(_05964_),
+    .X(_06002_));
+ sky130_fd_sc_hd__clkbuf_1 _10519_ (.A(_06002_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05965_));
- sky130_fd_sc_hd__clkbuf_1 _10483_ (.A(net5),
+    .X(_06003_));
+ sky130_fd_sc_hd__clkbuf_1 _10520_ (.A(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05966_));
- sky130_fd_sc_hd__clkbuf_1 _10484_ (.A(net4),
+    .X(_06004_));
+ sky130_fd_sc_hd__clkbuf_1 _10521_ (.A(net4),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05967_));
- sky130_fd_sc_hd__and3b_1 _10485_ (.A_N(_05947_),
-    .B(_05966_),
-    .C(_05967_),
+    .X(_06005_));
+ sky130_fd_sc_hd__and3b_1 _10522_ (.A_N(_05999_),
+    .B(_06004_),
+    .C(_06005_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05968_));
- sky130_fd_sc_hd__clkbuf_1 _10486_ (.A(_05968_),
+    .X(_06006_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10523_ (.A(_06006_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05969_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10487_ (.A(_05969_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05970_));
- sky130_fd_sc_hd__a22o_1 _10488_ (.A1(\u_i2cm.prer[8] ),
-    .A2(_05965_),
-    .B1(_05970_),
+    .X(_06007_));
+ sky130_fd_sc_hd__a22o_1 _10524_ (.A1(\u_i2cm.prer[8] ),
+    .A2(_06003_),
+    .B1(_06007_),
     .B2(\u_i2cm.rxr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05971_));
- sky130_fd_sc_hd__and2_1 _10489_ (.A(net6),
-    .B(_05962_),
+    .X(_06008_));
+ sky130_fd_sc_hd__and2_1 _10525_ (.A(net6),
+    .B(_06000_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05972_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10490_ (.A(_05972_),
+    .X(_06009_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10526_ (.A(_06009_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05973_));
- sky130_fd_sc_hd__and2b_1 _10491_ (.A_N(_05948_),
-    .B(_05950_),
+    .X(_06010_));
+ sky130_fd_sc_hd__and2b_1 _10527_ (.A_N(_05985_),
+    .B(_05987_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05974_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10492_ (.A(_05974_),
+    .X(_06011_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10528_ (.A(_06011_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05975_));
- sky130_fd_sc_hd__a22o_1 _10493_ (.A1(\u_i2cm.txr[0] ),
-    .A2(_05973_),
-    .B1(_05975_),
+    .X(_06012_));
+ sky130_fd_sc_hd__a22o_1 _10529_ (.A1(\u_i2cm.txr[0] ),
+    .A2(_06010_),
+    .B1(_06012_),
     .B2(\u_i2cm.ctr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05976_));
- sky130_fd_sc_hd__or3_2 _10494_ (.A(_05967_),
-    .B(_05966_),
-    .C(_05948_),
+    .X(_06013_));
+ sky130_fd_sc_hd__or3_2 _10530_ (.A(_06005_),
+    .B(_06004_),
+    .C(_05985_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05977_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10495_ (.A(_05977_),
+    .X(_06014_));
+ sky130_fd_sc_hd__clkbuf_1 _10531_ (.A(_06014_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05978_));
- sky130_fd_sc_hd__o32a_1 _10496_ (.A1(_05961_),
-    .A2(_05971_),
-    .A3(_05976_),
-    .B1(_05978_),
+    .X(_06015_));
+ sky130_fd_sc_hd__o32a_1 _10532_ (.A1(_05998_),
+    .A2(_06008_),
+    .A3(_06013_),
+    .B1(_06015_),
     .B2(\u_i2cm.prer[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02294_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10497_ (.A(_05977_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05979_));
- sky130_fd_sc_hd__clkbuf_1 _10498_ (.A(_05965_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05980_));
- sky130_fd_sc_hd__or2b_1 _10499_ (.A(\u_i2cm.tip ),
-    .B_N(_05955_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05981_));
- sky130_fd_sc_hd__a22o_1 _10500_ (.A1(\u_i2cm.prer[9] ),
-    .A2(_05980_),
-    .B1(_05981_),
-    .B2(_05960_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05982_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10501_ (.A(_05953_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05983_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10502_ (.A(_05972_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05984_));
- sky130_fd_sc_hd__a22o_1 _10503_ (.A1(\u_i2cm.rxr[1] ),
-    .A2(_05969_),
-    .B1(_05984_),
-    .B2(\u_i2cm.txr[1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05985_));
- sky130_fd_sc_hd__a221o_1 _10504_ (.A1(\u_i2cm.cr[1] ),
-    .A2(_05983_),
-    .B1(_05975_),
-    .B2(\u_i2cm.ctr[1] ),
-    .C1(_05985_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05986_));
- sky130_fd_sc_hd__o22a_1 _10505_ (.A1(\u_i2cm.prer[1] ),
-    .A2(_05979_),
-    .B1(_05982_),
-    .B2(_05986_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02295_));
- sky130_fd_sc_hd__and2b_1 _10506_ (.A_N(net6),
-    .B(_05957_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05987_));
- sky130_fd_sc_hd__clkbuf_2 _10507_ (.A(_05987_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05988_));
- sky130_fd_sc_hd__a21o_1 _10508_ (.A1(\u_i2cm.rxr[2] ),
-    .A2(_05970_),
-    .B1(_05988_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05989_));
- sky130_fd_sc_hd__clkbuf_2 _10509_ (.A(_05974_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05990_));
- sky130_fd_sc_hd__a22o_1 _10510_ (.A1(\u_i2cm.prer[10] ),
-    .A2(_05964_),
-    .B1(_05990_),
-    .B2(\u_i2cm.ctr[2] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05991_));
- sky130_fd_sc_hd__a221o_1 _10511_ (.A1(\u_i2cm.cr[2] ),
-    .A2(_05983_),
-    .B1(_05973_),
-    .B2(\u_i2cm.txr[2] ),
-    .C1(_05991_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05992_));
- sky130_fd_sc_hd__o22a_1 _10512_ (.A1(\u_i2cm.prer[2] ),
-    .A2(_05979_),
-    .B1(_05989_),
-    .B2(_05992_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02296_));
- sky130_fd_sc_hd__and2_1 _10513_ (.A(\u_i2cm.prer[11] ),
-    .B(_05965_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05993_));
- sky130_fd_sc_hd__a22o_1 _10514_ (.A1(\u_i2cm.ack ),
-    .A2(_05954_),
-    .B1(_05969_),
-    .B2(\u_i2cm.rxr[3] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05994_));
- sky130_fd_sc_hd__a221o_1 _10515_ (.A1(\u_i2cm.txr[3] ),
-    .A2(_05984_),
-    .B1(_05975_),
-    .B2(\u_i2cm.ctr[3] ),
-    .C1(_05988_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05995_));
- sky130_fd_sc_hd__o32a_1 _10516_ (.A1(_05993_),
-    .A2(_05994_),
-    .A3(_05995_),
-    .B1(_05978_),
-    .B2(\u_i2cm.prer[3] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02297_));
- sky130_fd_sc_hd__and2_1 _10517_ (.A(\u_i2cm.prer[12] ),
-    .B(_05965_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05996_));
- sky130_fd_sc_hd__a22o_1 _10518_ (.A1(\u_i2cm.cr[4] ),
-    .A2(_05954_),
-    .B1(_05969_),
-    .B2(\u_i2cm.rxr[4] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05997_));
- sky130_fd_sc_hd__a221o_1 _10519_ (.A1(\u_i2cm.txr[4] ),
-    .A2(_05984_),
-    .B1(_05990_),
-    .B2(\u_i2cm.ctr[4] ),
-    .C1(_05988_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05998_));
- sky130_fd_sc_hd__o32a_1 _10520_ (.A1(_05996_),
-    .A2(_05997_),
-    .A3(_05998_),
-    .B1(_05978_),
-    .B2(\u_i2cm.prer[4] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02298_));
- sky130_fd_sc_hd__or2b_1 _10521_ (.A(\u_i2cm.al ),
-    .B_N(_05955_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05999_));
- sky130_fd_sc_hd__a22o_1 _10522_ (.A1(\u_i2cm.prer[13] ),
-    .A2(_05980_),
-    .B1(_05999_),
-    .B2(_05960_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06000_));
- sky130_fd_sc_hd__a22o_1 _10523_ (.A1(\u_i2cm.rxr[5] ),
-    .A2(_05968_),
-    .B1(_05984_),
-    .B2(\u_i2cm.txr[5] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06001_));
- sky130_fd_sc_hd__a221o_1 _10524_ (.A1(_05517_),
-    .A2(_05954_),
-    .B1(_05975_),
-    .B2(\u_i2cm.ctr[5] ),
-    .C1(_06001_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06002_));
- sky130_fd_sc_hd__o22a_1 _10525_ (.A1(\u_i2cm.prer[5] ),
-    .A2(_05979_),
-    .B1(_06000_),
-    .B2(_06002_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02299_));
- sky130_fd_sc_hd__a22o_1 _10526_ (.A1(\u_i2cm.cr[6] ),
-    .A2(_05983_),
-    .B1(_05973_),
-    .B2(\u_i2cm.txr[6] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06003_));
- sky130_fd_sc_hd__or2b_1 _10527_ (.A(\u_i2cm.i2c_busy ),
-    .B_N(_05949_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06004_));
- sky130_fd_sc_hd__buf_6 _10528_ (.A(_05958_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06005_));
- sky130_fd_sc_hd__a22o_1 _10529_ (.A1(\u_i2cm.ctr[6] ),
-    .A2(_05990_),
-    .B1(_06004_),
-    .B2(_06005_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06006_));
- sky130_fd_sc_hd__a221o_1 _10530_ (.A1(\u_i2cm.prer[14] ),
-    .A2(_05980_),
-    .B1(_05970_),
-    .B2(\u_i2cm.rxr[6] ),
-    .C1(_06006_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06007_));
- sky130_fd_sc_hd__o22a_1 _10531_ (.A1(\u_i2cm.prer[6] ),
-    .A2(_05979_),
-    .B1(_06003_),
-    .B2(_06007_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02300_));
- sky130_fd_sc_hd__a22o_1 _10532_ (.A1(\u_i2cm.cr[7] ),
-    .A2(_05983_),
-    .B1(_05973_),
-    .B2(\u_i2cm.txr[7] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06008_));
- sky130_fd_sc_hd__or2b_1 _10533_ (.A(\u_i2cm.rxack ),
-    .B_N(_05949_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06009_));
- sky130_fd_sc_hd__a22o_1 _10534_ (.A1(_05814_),
-    .A2(_05990_),
-    .B1(_06009_),
-    .B2(_06005_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06010_));
- sky130_fd_sc_hd__a221o_1 _10535_ (.A1(\u_i2cm.prer[15] ),
-    .A2(_05980_),
-    .B1(_05970_),
-    .B2(\u_i2cm.rxr[7] ),
-    .C1(_06010_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06011_));
- sky130_fd_sc_hd__o22a_1 _10536_ (.A1(\u_i2cm.prer[7] ),
-    .A2(_05978_),
-    .B1(_06008_),
-    .B2(_06011_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02301_));
- sky130_fd_sc_hd__or3_1 _10537_ (.A(\u_uart_core.u_clk_ctl.high_count[0] ),
-    .B(\u_uart_core.u_clk_ctl.high_count[1] ),
-    .C(\u_uart_core.u_clk_ctl.high_count[2] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06012_));
- sky130_fd_sc_hd__or2_1 _10538_ (.A(\u_uart_core.u_clk_ctl.high_count[3] ),
-    .B(_06012_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06013_));
- sky130_fd_sc_hd__or4_1 _10539_ (.A(\u_uart_core.u_clk_ctl.high_count[4] ),
-    .B(\u_uart_core.u_clk_ctl.high_count[5] ),
-    .C(\u_uart_core.u_clk_ctl.high_count[6] ),
-    .D(_06013_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06014_));
- sky130_fd_sc_hd__or3_1 _10540_ (.A(\u_uart_core.u_clk_ctl.high_count[7] ),
-    .B(\u_uart_core.u_clk_ctl.high_count[8] ),
-    .C(_06014_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06015_));
- sky130_fd_sc_hd__or2_1 _10541_ (.A(\u_uart_core.u_clk_ctl.high_count[9] ),
-    .B(_06015_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10533_ (.A(_06014_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06016_));
- sky130_fd_sc_hd__or2_1 _10542_ (.A(\u_uart_core.u_clk_ctl.high_count[10] ),
-    .B(_06016_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10534_ (.A(_05990_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06017_));
- sky130_fd_sc_hd__nor2_2 _10543_ (.A(\u_uart_core.u_clk_ctl.high_count[11] ),
-    .B(_06017_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10535_ (.A(_06010_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06018_));
- sky130_fd_sc_hd__or2_1 _10544_ (.A(\u_uart_core.u_clk_ctl.high_count[11] ),
-    .B(_06017_),
+    .X(_06018_));
+ sky130_fd_sc_hd__a22o_1 _10536_ (.A1(\u_i2cm.cr[1] ),
+    .A2(_06017_),
+    .B1(_06018_),
+    .B2(\u_i2cm.txr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06019_));
- sky130_fd_sc_hd__clkbuf_1 _10545_ (.A(_06019_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10537_ (.A(_06003_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06020_));
- sky130_fd_sc_hd__or2_1 _10546_ (.A(\u_uart_core.u_clk_ctl.low_count[11] ),
-    .B(\u_uart_core.u_clk_ctl.low_count[10] ),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10538_ (.A(_06006_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06021_));
- sky130_fd_sc_hd__or2_1 _10547_ (.A(\u_uart_core.u_clk_ctl.low_count[0] ),
-    .B(\u_uart_core.u_clk_ctl.low_count[1] ),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10539_ (.A(_06011_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06022_));
- sky130_fd_sc_hd__or2_1 _10548_ (.A(\u_uart_core.u_clk_ctl.low_count[2] ),
-    .B(_06022_),
+ sky130_fd_sc_hd__or2b_1 _10540_ (.A(\u_i2cm.tip ),
+    .B_N(_05986_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06023_));
- sky130_fd_sc_hd__or2_1 _10549_ (.A(\u_uart_core.u_clk_ctl.low_count[3] ),
-    .B(_06023_),
+ sky130_fd_sc_hd__a22o_1 _10541_ (.A1(\u_i2cm.ctr[1] ),
+    .A2(_06022_),
+    .B1(_06023_),
+    .B2(_05996_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06024_));
- sky130_fd_sc_hd__or3_1 _10550_ (.A(\u_uart_core.u_clk_ctl.low_count[4] ),
-    .B(\u_uart_core.u_clk_ctl.low_count[5] ),
-    .C(_06024_),
+ sky130_fd_sc_hd__a221o_1 _10542_ (.A1(\u_i2cm.prer[9] ),
+    .A2(_06020_),
+    .B1(_06021_),
+    .B2(\u_i2cm.rxr[1] ),
+    .C1(_06024_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06025_));
- sky130_fd_sc_hd__or2_1 _10551_ (.A(\u_uart_core.u_clk_ctl.low_count[6] ),
-    .B(_06025_),
+ sky130_fd_sc_hd__o22a_1 _10543_ (.A1(\u_i2cm.prer[1] ),
+    .A2(_06016_),
+    .B1(_06019_),
+    .B2(_06025_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02295_));
+ sky130_fd_sc_hd__and2_1 _10544_ (.A(\u_i2cm.prer[10] ),
+    .B(_06003_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06026_));
- sky130_fd_sc_hd__or3_1 _10552_ (.A(\u_uart_core.u_clk_ctl.low_count[7] ),
-    .B(\u_uart_core.u_clk_ctl.low_count[8] ),
-    .C(_06026_),
+ sky130_fd_sc_hd__a22o_1 _10545_ (.A1(\u_i2cm.cr[2] ),
+    .A2(_05991_),
+    .B1(_06007_),
+    .B2(\u_i2cm.rxr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06027_));
- sky130_fd_sc_hd__or2_1 _10553_ (.A(\u_uart_core.u_clk_ctl.low_count[9] ),
-    .B(_06027_),
+ sky130_fd_sc_hd__and2b_1 _10546_ (.A_N(_05999_),
+    .B(_05994_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06028_));
- sky130_fd_sc_hd__nor2_1 _10554_ (.A(_06021_),
-    .B(_06028_),
+ sky130_fd_sc_hd__clkbuf_2 _10547_ (.A(_06028_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06029_));
- sky130_fd_sc_hd__or2_2 _10555_ (.A(_06020_),
-    .B(_06029_),
+    .X(_06029_));
+ sky130_fd_sc_hd__a221o_1 _10548_ (.A1(\u_i2cm.txr[2] ),
+    .A2(_06010_),
+    .B1(_06012_),
+    .B2(\u_i2cm.ctr[2] ),
+    .C1(_06029_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06030_));
- sky130_fd_sc_hd__a21boi_1 _10556_ (.A1(\u_uart_core.line_clk_16x_in ),
-    .A2(_06018_),
-    .B1_N(_06030_),
+ sky130_fd_sc_hd__o32a_1 _10549_ (.A1(_06026_),
+    .A2(_06027_),
+    .A3(_06030_),
+    .B1(_06015_),
+    .B2(\u_i2cm.prer[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_00079_));
- sky130_fd_sc_hd__nand2_1 _10557_ (.A(net14),
-    .B(_05789_),
+    .X(_02296_));
+ sky130_fd_sc_hd__a21o_1 _10550_ (.A1(\u_i2cm.rxr[3] ),
+    .A2(_06021_),
+    .B1(_06029_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06031_));
- sky130_fd_sc_hd__nor2_2 _10558_ (.A(_05788_),
-    .B(_06031_),
+    .X(_06031_));
+ sky130_fd_sc_hd__a22o_1 _10551_ (.A1(\u_i2cm.prer[11] ),
+    .A2(_06002_),
+    .B1(_06022_),
+    .B2(\u_i2cm.ctr[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_00078_));
- sky130_fd_sc_hd__o21a_1 _10559_ (.A1(_05620_),
-    .A2(\u_sspim.u_spi_ctrl.spiif_cs[4] ),
-    .B1(_05913_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00000_));
- sky130_fd_sc_hd__inv_2 _10560_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.sSDA ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_06032_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10561_ (.A(_05870_),
+    .X(_06032_));
+ sky130_fd_sc_hd__a221o_1 _10552_ (.A1(\u_i2cm.ack ),
+    .A2(_06017_),
+    .B1(_06018_),
+    .B2(\u_i2cm.txr[3] ),
+    .C1(_06032_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06033_));
- sky130_fd_sc_hd__inv_2 _10562_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cmd_stop ),
+ sky130_fd_sc_hd__o22a_1 _10553_ (.A1(\u_i2cm.prer[3] ),
+    .A2(_06016_),
+    .B1(_06031_),
+    .B2(_06033_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06034_));
- sky130_fd_sc_hd__o311a_1 _10563_ (.A1(_06033_),
-    .A2(_05854_),
-    .A3(_05867_),
-    .B1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.sto_condition ),
-    .C1(_06034_),
+    .X(_02297_));
+ sky130_fd_sc_hd__a21o_1 _10554_ (.A1(\u_i2cm.rxr[4] ),
+    .A2(_06021_),
+    .B1(_06029_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06034_));
+ sky130_fd_sc_hd__a22o_1 _10555_ (.A1(\u_i2cm.txr[4] ),
+    .A2(_06009_),
+    .B1(_06022_),
+    .B2(\u_i2cm.ctr[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06035_));
- sky130_fd_sc_hd__a31o_1 _10564_ (.A1(_06032_),
+ sky130_fd_sc_hd__a221o_1 _10556_ (.A1(\u_i2cm.cr[4] ),
+    .A2(_06017_),
+    .B1(_06020_),
+    .B2(\u_i2cm.prer[12] ),
+    .C1(_06035_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06036_));
+ sky130_fd_sc_hd__o22a_1 _10557_ (.A1(\u_i2cm.prer[4] ),
+    .A2(_06016_),
+    .B1(_06034_),
+    .B2(_06036_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02298_));
+ sky130_fd_sc_hd__or2b_1 _10558_ (.A(\u_i2cm.al ),
+    .B_N(_05992_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06037_));
+ sky130_fd_sc_hd__a22o_1 _10559_ (.A1(\u_i2cm.ctr[5] ),
+    .A2(_06012_),
+    .B1(_06037_),
+    .B2(_05997_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06038_));
+ sky130_fd_sc_hd__a22o_1 _10560_ (.A1(\u_i2cm.rxr[5] ),
+    .A2(_06007_),
+    .B1(_06018_),
+    .B2(\u_i2cm.txr[5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06039_));
+ sky130_fd_sc_hd__a22o_1 _10561_ (.A1(_05498_),
+    .A2(_05991_),
+    .B1(_06020_),
+    .B2(\u_i2cm.prer[13] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06040_));
+ sky130_fd_sc_hd__o32a_1 _10562_ (.A1(_06038_),
+    .A2(_06039_),
+    .A3(_06040_),
+    .B1(_06015_),
+    .B2(\u_i2cm.prer[5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02299_));
+ sky130_fd_sc_hd__or2b_1 _10563_ (.A(\u_i2cm.i2c_busy ),
+    .B_N(_05992_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06041_));
+ sky130_fd_sc_hd__a22o_1 _10564_ (.A1(\u_i2cm.ctr[6] ),
+    .A2(_06012_),
+    .B1(_06041_),
+    .B2(_05997_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06042_));
+ sky130_fd_sc_hd__a22o_1 _10565_ (.A1(\u_i2cm.rxr[6] ),
+    .A2(_06007_),
+    .B1(_06010_),
+    .B2(\u_i2cm.txr[6] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06043_));
+ sky130_fd_sc_hd__a22o_1 _10566_ (.A1(\u_i2cm.cr[6] ),
+    .A2(_05991_),
+    .B1(_06020_),
+    .B2(\u_i2cm.prer[14] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06044_));
+ sky130_fd_sc_hd__o32a_1 _10567_ (.A1(_06042_),
+    .A2(_06043_),
+    .A3(_06044_),
+    .B1(_06015_),
+    .B2(\u_i2cm.prer[6] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02300_));
+ sky130_fd_sc_hd__a22o_1 _10568_ (.A1(\u_i2cm.cr[7] ),
+    .A2(_06017_),
+    .B1(_06018_),
+    .B2(\u_i2cm.txr[7] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06045_));
+ sky130_fd_sc_hd__or2b_1 _10569_ (.A(\u_i2cm.rxack ),
+    .B_N(_05986_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06046_));
+ sky130_fd_sc_hd__a22o_1 _10570_ (.A1(_05847_),
+    .A2(_06022_),
+    .B1(_06046_),
+    .B2(_05996_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06047_));
+ sky130_fd_sc_hd__a221o_1 _10571_ (.A1(\u_i2cm.prer[15] ),
+    .A2(_06003_),
+    .B1(_06021_),
+    .B2(\u_i2cm.rxr[7] ),
+    .C1(_06047_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06048_));
+ sky130_fd_sc_hd__o22a_1 _10572_ (.A1(\u_i2cm.prer[7] ),
+    .A2(_06016_),
+    .B1(_06045_),
+    .B2(_06048_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02301_));
+ sky130_fd_sc_hd__or3_1 _10573_ (.A(\u_uart_core.u_clk_ctl.low_count[0] ),
+    .B(\u_uart_core.u_clk_ctl.low_count[1] ),
+    .C(\u_uart_core.u_clk_ctl.low_count[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06049_));
+ sky130_fd_sc_hd__or2_1 _10574_ (.A(\u_uart_core.u_clk_ctl.low_count[3] ),
+    .B(_06049_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06050_));
+ sky130_fd_sc_hd__or3_1 _10575_ (.A(\u_uart_core.u_clk_ctl.low_count[4] ),
+    .B(\u_uart_core.u_clk_ctl.low_count[5] ),
+    .C(_06050_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06051_));
+ sky130_fd_sc_hd__or2_1 _10576_ (.A(\u_uart_core.u_clk_ctl.low_count[6] ),
+    .B(_06051_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06052_));
+ sky130_fd_sc_hd__or2_1 _10577_ (.A(\u_uart_core.u_clk_ctl.low_count[7] ),
+    .B(_06052_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06053_));
+ sky130_fd_sc_hd__or2_1 _10578_ (.A(\u_uart_core.u_clk_ctl.low_count[8] ),
+    .B(_06053_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06054_));
+ sky130_fd_sc_hd__or2_1 _10579_ (.A(\u_uart_core.u_clk_ctl.low_count[9] ),
+    .B(_06054_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06055_));
+ sky130_fd_sc_hd__or3_2 _10580_ (.A(\u_uart_core.u_clk_ctl.low_count[11] ),
+    .B(\u_uart_core.u_clk_ctl.low_count[10] ),
+    .C(_06055_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06056_));
+ sky130_fd_sc_hd__clkbuf_2 _10581_ (.A(_06056_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06057_));
+ sky130_fd_sc_hd__or4_1 _10582_ (.A(\u_uart_core.u_clk_ctl.high_count[0] ),
+    .B(\u_uart_core.u_clk_ctl.high_count[1] ),
+    .C(\u_uart_core.u_clk_ctl.high_count[2] ),
+    .D(\u_uart_core.u_clk_ctl.high_count[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06058_));
+ sky130_fd_sc_hd__or4_2 _10583_ (.A(\u_uart_core.u_clk_ctl.high_count[4] ),
+    .B(\u_uart_core.u_clk_ctl.high_count[5] ),
+    .C(\u_uart_core.u_clk_ctl.high_count[6] ),
+    .D(_06058_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06059_));
+ sky130_fd_sc_hd__or2_1 _10584_ (.A(\u_uart_core.u_clk_ctl.high_count[7] ),
+    .B(_06059_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06060_));
+ sky130_fd_sc_hd__or2_1 _10585_ (.A(\u_uart_core.u_clk_ctl.high_count[8] ),
+    .B(_06060_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06061_));
+ sky130_fd_sc_hd__or2_1 _10586_ (.A(\u_uart_core.u_clk_ctl.high_count[9] ),
+    .B(_06061_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06062_));
+ sky130_fd_sc_hd__or2_1 _10587_ (.A(\u_uart_core.u_clk_ctl.high_count[10] ),
+    .B(_06062_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06063_));
+ sky130_fd_sc_hd__nor2_1 _10588_ (.A(\u_uart_core.u_clk_ctl.high_count[11] ),
+    .B(_06063_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_06064_));
+ sky130_fd_sc_hd__clkbuf_2 _10589_ (.A(_06064_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06065_));
+ sky130_fd_sc_hd__buf_2 _10590_ (.A(_06065_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06066_));
+ sky130_fd_sc_hd__o21ai_1 _10591_ (.A1(\u_uart_core.line_clk_16x_in ),
+    .A2(_06057_),
+    .B1(_06066_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_00079_));
+ sky130_fd_sc_hd__nand2_4 _10592_ (.A(net14),
+    .B(_05672_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_06067_));
+ sky130_fd_sc_hd__nor2_1 _10593_ (.A(\u_uart_core.reg_ack ),
+    .B(_06067_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_00078_));
+ sky130_fd_sc_hd__o21a_1 _10594_ (.A1(_05602_),
+    .A2(\u_sspim.u_spi_ctrl.spiif_cs[4] ),
+    .B1(_05953_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00000_));
+ sky130_fd_sc_hd__inv_2 _10595_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.sSDA ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_06068_));
+ sky130_fd_sc_hd__inv_2 _10596_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cmd_stop ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_06069_));
+ sky130_fd_sc_hd__o311a_1 _10597_ (.A1(_05899_),
+    .A2(_05891_),
+    .A3(_05911_),
+    .B1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.sto_condition ),
+    .C1(_06069_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06070_));
+ sky130_fd_sc_hd__a31o_1 _10598_ (.A1(_06068_),
     .A2(\u_i2cm.u_byte_ctrl.u_bit_ctrl.sda_chk ),
     .A3(net93),
-    .B1(_06035_),
+    .B1(_06070_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00047_));
- sky130_fd_sc_hd__o21ba_1 _10565_ (.A1(\u_i2cm.i2c_busy ),
+ sky130_fd_sc_hd__o21ba_1 _10599_ (.A1(\u_i2cm.i2c_busy ),
     .A2(\u_i2cm.u_byte_ctrl.u_bit_ctrl.sta_condition ),
     .B1_N(\u_i2cm.u_byte_ctrl.u_bit_ctrl.sto_condition ),
     .VGND(vssd1),
@@ -170521,168 +170284,167 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00048_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10566_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.sSCL ),
+ sky130_fd_sc_hd__clkbuf_1 _10600_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.sSCL ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06036_));
- sky130_fd_sc_hd__and3b_1 _10567_ (.A_N(\u_i2cm.u_byte_ctrl.u_bit_ctrl.dSDA ),
+    .X(_06071_));
+ sky130_fd_sc_hd__and3b_1 _10601_ (.A_N(\u_i2cm.u_byte_ctrl.u_bit_ctrl.dSDA ),
     .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.sSDA ),
-    .C(_06036_),
+    .C(_06071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06037_));
- sky130_fd_sc_hd__clkbuf_1 _10568_ (.A(_06037_),
+    .X(_06072_));
+ sky130_fd_sc_hd__clkbuf_1 _10602_ (.A(_06072_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00068_));
- sky130_fd_sc_hd__and3_1 _10569_ (.A(_06036_),
-    .B(_06032_),
+ sky130_fd_sc_hd__and3_1 _10603_ (.A(_06071_),
+    .B(_06068_),
     .C(\u_i2cm.u_byte_ctrl.u_bit_ctrl.dSDA ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06038_));
- sky130_fd_sc_hd__clkbuf_1 _10570_ (.A(_06038_),
+    .X(_06073_));
+ sky130_fd_sc_hd__clkbuf_1 _10604_ (.A(_06073_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00067_));
- sky130_fd_sc_hd__a21o_1 _10571_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSDA[1] ),
+ sky130_fd_sc_hd__a21o_1 _10605_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSDA[1] ),
     .A2(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSDA[0] ),
     .B1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSDA[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06039_));
- sky130_fd_sc_hd__o21a_1 _10572_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSDA[1] ),
+    .X(_06074_));
+ sky130_fd_sc_hd__o21a_1 _10606_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSDA[1] ),
     .A2(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSDA[0] ),
-    .B1(_06039_),
+    .B1(_06074_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00065_));
- sky130_fd_sc_hd__a21o_1 _10573_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSCL[1] ),
+ sky130_fd_sc_hd__a21o_1 _10607_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSCL[1] ),
     .A2(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSCL[0] ),
     .B1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSCL[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06040_));
- sky130_fd_sc_hd__o21a_1 _10574_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSCL[1] ),
+    .X(_06075_));
+ sky130_fd_sc_hd__o21a_1 _10608_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSCL[1] ),
     .A2(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSCL[0] ),
-    .B1(_06040_),
+    .B1(_06075_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00064_));
- sky130_fd_sc_hd__clkbuf_2 _10575_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.slave_wait ),
+ sky130_fd_sc_hd__clkbuf_2 _10609_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.slave_wait ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06041_));
- sky130_fd_sc_hd__and2b_1 _10576_ (.A_N(\u_i2cm.u_byte_ctrl.u_bit_ctrl.dscl_oen ),
-    .B(net92),
+    .X(_06076_));
+ sky130_fd_sc_hd__nor2_1 _10610_ (.A(_05592_),
+    .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.dscl_oen ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06042_));
- sky130_fd_sc_hd__o21ba_1 _10577_ (.A1(_06041_),
-    .A2(_06042_),
-    .B1_N(_06036_),
+    .Y(_06077_));
+ sky130_fd_sc_hd__o21ba_1 _10611_ (.A1(_06076_),
+    .A2(_06077_),
+    .B1_N(_06071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00066_));
- sky130_fd_sc_hd__o21ba_1 _10578_ (.A1(\u_i2cm.irq_flag ),
-    .A2(_05466_),
+ sky130_fd_sc_hd__o21ba_1 _10612_ (.A1(\u_i2cm.irq_flag ),
+    .A2(_05447_),
     .B1_N(\u_i2cm.cr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00040_));
- sky130_fd_sc_hd__inv_2 _10579_ (.A(_05462_),
+ sky130_fd_sc_hd__inv_2 _10613_ (.A(_05443_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06043_));
- sky130_fd_sc_hd__a21o_1 _10580_ (.A1(_06043_),
+    .Y(_06078_));
+ sky130_fd_sc_hd__a21o_1 _10614_ (.A1(_06078_),
     .A2(\u_i2cm.al ),
-    .B1(_05523_),
+    .B1(_05504_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00039_));
- sky130_fd_sc_hd__and4bb_1 _10581_ (.A_N(_05768_),
-    .B_N(_05790_),
-    .C(net14),
-    .D(_05714_),
+ sky130_fd_sc_hd__and3b_2 _10615_ (.A_N(_05823_),
+    .B(_05689_),
+    .C(_05791_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06044_));
- sky130_fd_sc_hd__buf_2 _10582_ (.A(_06044_),
+    .X(_06079_));
+ sky130_fd_sc_hd__clkbuf_1 _10616_ (.A(_06079_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00041_));
- sky130_fd_sc_hd__inv_2 _10583_ (.A(\u_usb_host.u_phy.send_eop_q ),
+ sky130_fd_sc_hd__inv_2 _10617_ (.A(\u_usb_host.u_phy.send_eop_q ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06045_));
- sky130_fd_sc_hd__a31o_1 _10584_ (.A1(_06045_),
-    .A2(_05278_),
-    .A3(_05573_),
-    .B1(_05580_),
+    .Y(_06080_));
+ sky130_fd_sc_hd__a31o_1 _10618_ (.A1(_06080_),
+    .A2(_05258_),
+    .A3(_05552_),
+    .B1(_05559_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00095_));
- sky130_fd_sc_hd__and3_1 _10585_ (.A(_05569_),
+ sky130_fd_sc_hd__and3_1 _10619_ (.A(_05548_),
     .B(\u_usb_host.u_phy.ones_count_q[1] ),
-    .C(_05590_),
+    .C(_05569_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06046_));
- sky130_fd_sc_hd__or3_1 _10586_ (.A(_05545_),
-    .B(_05554_),
-    .C(_06046_),
+    .X(_06081_));
+ sky130_fd_sc_hd__or3_1 _10620_ (.A(_05524_),
+    .B(_05533_),
+    .C(_06081_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06047_));
- sky130_fd_sc_hd__clkbuf_1 _10587_ (.A(_06047_),
+    .X(_06082_));
+ sky130_fd_sc_hd__clkbuf_1 _10621_ (.A(_06082_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00093_));
- sky130_fd_sc_hd__a22o_1 _10588_ (.A1(\u_usb_host.u_core.usb_irq_mask_sof_out_w ),
+ sky130_fd_sc_hd__a22o_1 _10622_ (.A1(\u_usb_host.u_core.usb_irq_mask_sof_out_w ),
     .A2(\u_usb_host.u_core.intr_sof_q ),
     .B1(\u_usb_host.u_core.usb_irq_mask_device_detect_out_w ),
     .B2(\u_usb_host.u_core.device_det_q ),
@@ -170690,3196 +170452,2922 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06048_));
- sky130_fd_sc_hd__a221o_1 _10589_ (.A1(\u_usb_host.u_core.usb_irq_mask_err_out_w ),
+    .X(_06083_));
+ sky130_fd_sc_hd__a221o_1 _10623_ (.A1(\u_usb_host.u_core.usb_irq_mask_err_out_w ),
     .A2(\u_usb_host.u_core.intr_err_q ),
     .B1(\u_usb_host.u_core.usb_irq_mask_done_out_w ),
     .B2(\u_usb_host.u_core.intr_done_q ),
-    .C1(_06048_),
+    .C1(_06083_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00081_));
- sky130_fd_sc_hd__clkbuf_1 _10590_ (.A(_05661_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06049_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10591_ (.A(_06049_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06050_));
- sky130_fd_sc_hd__clkbuf_2 _10592_ (.A(_05663_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06051_));
- sky130_fd_sc_hd__clkbuf_2 _10593_ (.A(_05664_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06052_));
- sky130_fd_sc_hd__mux4_1 _10594_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][4] ),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][4] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][4] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][4] ),
-    .S0(_06051_),
-    .S1(_06052_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06053_));
- sky130_fd_sc_hd__and2_1 _10595_ (.A(_06050_),
-    .B(_06053_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06054_));
- sky130_fd_sc_hd__inv_2 _10596_ (.A(_06054_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_06055_));
- sky130_fd_sc_hd__clkinv_2 _10597_ (.A(_05641_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_06056_));
- sky130_fd_sc_hd__nand3_1 _10598_ (.A(_06049_),
-    .B(_05645_),
-    .C(_05652_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_06057_));
- sky130_fd_sc_hd__or3_2 _10599_ (.A(_06056_),
-    .B(_05669_),
-    .C(_06057_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06058_));
- sky130_fd_sc_hd__nor2_1 _10600_ (.A(_06055_),
-    .B(_06058_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_00089_));
- sky130_fd_sc_hd__clkbuf_1 _10601_ (.A(_06050_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06059_));
- sky130_fd_sc_hd__clkbuf_4 _10602_ (.A(_05663_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06060_));
- sky130_fd_sc_hd__clkbuf_2 _10603_ (.A(_05664_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06061_));
- sky130_fd_sc_hd__mux4_1 _10604_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][5] ),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][5] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][5] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][5] ),
-    .S0(_06060_),
-    .S1(_06061_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06062_));
- sky130_fd_sc_hd__and2_4 _10605_ (.A(_06059_),
-    .B(_06062_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06063_));
- sky130_fd_sc_hd__clkbuf_1 _10606_ (.A(_06063_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06064_));
- sky130_fd_sc_hd__and2b_1 _10607_ (.A_N(_06058_),
-    .B(_06064_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06065_));
- sky130_fd_sc_hd__clkbuf_1 _10608_ (.A(_06065_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00087_));
- sky130_fd_sc_hd__mux4_1 _10609_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][6] ),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][6] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][6] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][6] ),
-    .S0(_06060_),
-    .S1(_06061_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06066_));
- sky130_fd_sc_hd__and2_4 _10610_ (.A(_06059_),
-    .B(_06066_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06067_));
- sky130_fd_sc_hd__clkbuf_1 _10611_ (.A(_06067_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06068_));
- sky130_fd_sc_hd__and2b_1 _10612_ (.A_N(_06058_),
-    .B(_06068_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06069_));
- sky130_fd_sc_hd__clkbuf_1 _10613_ (.A(_06069_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00088_));
- sky130_fd_sc_hd__mux4_2 _10614_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][7] ),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][7] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][7] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][7] ),
-    .S0(_06060_),
-    .S1(_06061_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06070_));
- sky130_fd_sc_hd__and2_4 _10615_ (.A(_06059_),
-    .B(_06070_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06071_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10616_ (.A(_06071_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06072_));
- sky130_fd_sc_hd__and2b_1 _10617_ (.A_N(_06058_),
-    .B(_06072_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06073_));
- sky130_fd_sc_hd__clkbuf_1 _10618_ (.A(_06073_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00086_));
- sky130_fd_sc_hd__clkbuf_2 _10619_ (.A(_05671_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06074_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10620_ (.A(_06050_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06075_));
- sky130_fd_sc_hd__buf_4 _10621_ (.A(_05663_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06076_));
- sky130_fd_sc_hd__buf_2 _10622_ (.A(_06052_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06077_));
- sky130_fd_sc_hd__mux4_2 _10623_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][12] ),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][12] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][12] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][12] ),
-    .S0(_06076_),
-    .S1(_06077_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06078_));
- sky130_fd_sc_hd__and2_1 _10624_ (.A(_06075_),
-    .B(_06078_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06079_));
- sky130_fd_sc_hd__and3_1 _10625_ (.A(_05675_),
-    .B(_06074_),
-    .C(_06079_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06080_));
- sky130_fd_sc_hd__clkbuf_1 _10626_ (.A(_06080_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00084_));
- sky130_fd_sc_hd__buf_2 _10627_ (.A(\u_usb_host.reg_ack ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06081_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10628_ (.A(_06081_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06082_));
- sky130_fd_sc_hd__or2b_1 _10629_ (.A(\u_usb_host.u_async_wb.wbs_ack_f ),
-    .B_N(_05660_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06083_));
- sky130_fd_sc_hd__nor2_1 _10630_ (.A(_06082_),
-    .B(_06083_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_00082_));
- sky130_fd_sc_hd__or2b_2 _10631_ (.A(\u_usb_host.u_core.u_sie.utmi_rxvalid_i ),
-    .B_N(\u_usb_host.u_core.u_sie.utmi_rxactive_i ),
+ sky130_fd_sc_hd__clkbuf_1 _10624_ (.A(_05643_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06084_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10632_ (.A(_06084_),
+ sky130_fd_sc_hd__clkbuf_1 _10625_ (.A(_06084_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06085_));
- sky130_fd_sc_hd__clkbuf_2 _10633_ (.A(_06085_),
+ sky130_fd_sc_hd__clkbuf_2 _10626_ (.A(_05645_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06086_));
- sky130_fd_sc_hd__and2_1 _10634_ (.A(\u_usb_host.u_core.u_sie.data_valid_q[1] ),
-    .B(_06086_),
+ sky130_fd_sc_hd__clkbuf_2 _10627_ (.A(_05646_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06087_));
- sky130_fd_sc_hd__clkbuf_1 _10635_ (.A(_06087_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00038_));
- sky130_fd_sc_hd__and3_2 _10636_ (.A(net8),
-    .B(net9),
-    .C(net14),
+ sky130_fd_sc_hd__mux4_2 _10628_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][4] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][4] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][4] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][4] ),
+    .S0(_06086_),
+    .S1(_06087_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06088_));
- sky130_fd_sc_hd__and2b_1 _10637_ (.A_N(\u_sspim.reg_ack ),
+ sky130_fd_sc_hd__and2_1 _10629_ (.A(_06085_),
     .B(_06088_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06089_));
- sky130_fd_sc_hd__clkbuf_1 _10638_ (.A(_06089_),
+ sky130_fd_sc_hd__inv_2 _10630_ (.A(_06089_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00069_));
- sky130_fd_sc_hd__clkbuf_2 _10639_ (.A(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[6].u_bit_reg.data_out ),
+    .Y(_06090_));
+ sky130_fd_sc_hd__clkinv_2 _10631_ (.A(_05623_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06090_));
- sky130_fd_sc_hd__o22a_1 _10640_ (.A1(_06090_),
-    .A2(_05922_),
-    .B1(_05921_),
-    .B2(\u_sspim.u_spi_ctrl.clk_cnt[2] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06091_));
- sky130_fd_sc_hd__xor2_1 _10641_ (.A(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[2].u_bit_reg.data_out ),
-    .B(\u_sspim.u_spi_ctrl.clk_cnt[4] ),
+    .Y(_06091_));
+ sky130_fd_sc_hd__or4bb_1 _10632_ (.A(_05632_),
+    .B(_05634_),
+    .C_N(_06084_),
+    .D_N(_05626_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06092_));
- sky130_fd_sc_hd__a221o_1 _10642_ (.A1(_05921_),
-    .A2(\u_sspim.u_spi_ctrl.clk_cnt[2] ),
-    .B1(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[1].u_bit_reg.data_out ),
-    .B2(_05937_),
-    .C1(_06092_),
+ sky130_fd_sc_hd__or3_2 _10633_ (.A(_06091_),
+    .B(_05651_),
+    .C(_06092_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06093_));
- sky130_fd_sc_hd__nand2_1 _10643_ (.A(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[7].u_bit_reg.data_out ),
-    .B(_05916_),
+ sky130_fd_sc_hd__nor2_1 _10634_ (.A(_06090_),
+    .B(_06093_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06094_));
- sky130_fd_sc_hd__or2_1 _10644_ (.A(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[7].u_bit_reg.data_out ),
-    .B(\u_sspim.u_spi_ctrl.clk_cnt[1] ),
+    .Y(_00089_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10635_ (.A(_06085_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06094_));
+ sky130_fd_sc_hd__clkbuf_2 _10636_ (.A(_05645_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06095_));
- sky130_fd_sc_hd__a221o_1 _10645_ (.A1(_06090_),
-    .A2(_05922_),
-    .B1(_06094_),
-    .B2(_06095_),
-    .C1(\u_sspim.u_spi_ctrl.clk_cnt[5] ),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10637_ (.A(_05646_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06096_));
- sky130_fd_sc_hd__nor2_1 _10646_ (.A(_06093_),
-    .B(_06096_),
+ sky130_fd_sc_hd__mux4_1 _10638_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][5] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][5] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][5] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][5] ),
+    .S0(_06095_),
+    .S1(_06096_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06097_));
- sky130_fd_sc_hd__o211a_1 _10647_ (.A1(_05926_),
-    .A2(_05937_),
-    .B1(_06091_),
-    .C1(_06097_),
+    .X(_06097_));
+ sky130_fd_sc_hd__and2_4 _10639_ (.A(_06094_),
+    .B(_06097_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06098_));
- sky130_fd_sc_hd__and3b_1 _10648_ (.A_N(_06098_),
-    .B(_05928_),
-    .C(_05621_),
+ sky130_fd_sc_hd__clkbuf_1 _10640_ (.A(_06098_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06099_));
- sky130_fd_sc_hd__clkbuf_1 _10649_ (.A(_06099_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00077_));
- sky130_fd_sc_hd__and2_1 _10650_ (.A(\u_sspim.cfg_op_req ),
-    .B(_06098_),
+ sky130_fd_sc_hd__and2b_1 _10641_ (.A_N(_06093_),
+    .B(_06099_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06100_));
- sky130_fd_sc_hd__clkbuf_1 _10651_ (.A(_06100_),
+ sky130_fd_sc_hd__clkbuf_1 _10642_ (.A(_06100_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00076_));
- sky130_fd_sc_hd__xor2_1 _10652_ (.A(_05279_),
-    .B(_05429_),
+    .X(_00087_));
+ sky130_fd_sc_hd__mux4_1 _10643_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][6] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][6] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][6] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][6] ),
+    .S0(_06095_),
+    .S1(_06096_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00101_));
- sky130_fd_sc_hd__a21oi_1 _10653_ (.A1(_05279_),
-    .A2(_05429_),
-    .B1(\u_uart_core.u_txfsm.divcnt[2] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_06101_));
- sky130_fd_sc_hd__and3_1 _10654_ (.A(_05279_),
-    .B(_05429_),
-    .C(\u_uart_core.u_txfsm.divcnt[2] ),
+    .X(_06101_));
+ sky130_fd_sc_hd__and2_4 _10644_ (.A(_06094_),
+    .B(_06101_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06102_));
- sky130_fd_sc_hd__nor2_1 _10655_ (.A(_06101_),
-    .B(_06102_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_00102_));
- sky130_fd_sc_hd__xor2_1 _10656_ (.A(\u_uart_core.u_txfsm.divcnt[3] ),
-    .B(_06102_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00103_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10657_ (.A(\u_uart_core.u_rxfsm.offset[1] ),
+ sky130_fd_sc_hd__clkbuf_1 _10645_ (.A(_06102_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06103_));
- sky130_fd_sc_hd__xor2_1 _10658_ (.A(_05384_),
+ sky130_fd_sc_hd__and2b_1 _10646_ (.A_N(_06093_),
     .B(_06103_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00097_));
- sky130_fd_sc_hd__and3_1 _10659_ (.A(\u_uart_core.u_rxfsm.offset[0] ),
-    .B(_06103_),
-    .C(\u_uart_core.u_rxfsm.offset[2] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
     .X(_06104_));
- sky130_fd_sc_hd__a21oi_1 _10660_ (.A1(_05384_),
-    .A2(_06103_),
-    .B1(\u_uart_core.u_rxfsm.offset[2] ),
+ sky130_fd_sc_hd__clkbuf_1 _10647_ (.A(_06104_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06105_));
- sky130_fd_sc_hd__nor2_1 _10661_ (.A(_06104_),
+    .X(_00088_));
+ sky130_fd_sc_hd__mux4_1 _10648_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][7] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][7] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][7] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][7] ),
+    .S0(_06095_),
+    .S1(_06096_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06105_));
+ sky130_fd_sc_hd__and2_4 _10649_ (.A(_06094_),
     .B(_06105_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_00098_));
- sky130_fd_sc_hd__inv_2 _10662_ (.A(\u_uart_core.u_rxfsm.offset[3] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_06106_));
- sky130_fd_sc_hd__xnor2_1 _10663_ (.A(_06106_),
-    .B(_06104_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_00099_));
- sky130_fd_sc_hd__clkbuf_1 _10664_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[0] ),
+    .X(_06106_));
+ sky130_fd_sc_hd__clkbuf_1 _10650_ (.A(_06106_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06107_));
- sky130_fd_sc_hd__clkbuf_1 _10665_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[14] ),
+ sky130_fd_sc_hd__and2b_1 _10651_ (.A_N(_06093_),
+    .B(_06107_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06108_));
- sky130_fd_sc_hd__or3b_1 _10666_ (.A(_05855_),
-    .B(_05856_),
-    .C_N(_05877_),
+ sky130_fd_sc_hd__clkbuf_1 _10652_ (.A(_06108_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00086_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10653_ (.A(_05652_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06109_));
- sky130_fd_sc_hd__clkbuf_1 _10667_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[15] ),
+ sky130_fd_sc_hd__clkbuf_1 _10654_ (.A(_06085_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06110_));
- sky130_fd_sc_hd__nor3b_1 _10668_ (.A(_06108_),
-    .B(_06109_),
-    .C_N(_06110_),
+ sky130_fd_sc_hd__clkbuf_4 _10655_ (.A(_05645_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06111_));
- sky130_fd_sc_hd__or3b_1 _10669_ (.A(_05861_),
-    .B(_05859_),
-    .C_N(_05860_),
+    .X(_06111_));
+ sky130_fd_sc_hd__clkbuf_2 _10656_ (.A(_06087_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06112_));
- sky130_fd_sc_hd__clkbuf_1 _10670_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[11] ),
+ sky130_fd_sc_hd__mux4_2 _10657_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][12] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][12] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][12] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][12] ),
+    .S0(_06111_),
+    .S1(_06112_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06113_));
- sky130_fd_sc_hd__or4_1 _10671_ (.A(_05860_),
-    .B(_05861_),
-    .C(_05855_),
-    .D(_05857_),
+ sky130_fd_sc_hd__and2_1 _10658_ (.A(_06110_),
+    .B(_06113_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06114_));
- sky130_fd_sc_hd__clkbuf_1 _10672_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[10] ),
+ sky130_fd_sc_hd__and3_1 _10659_ (.A(_05657_),
+    .B(_06109_),
+    .C(_06114_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06115_));
- sky130_fd_sc_hd__or4b_1 _10673_ (.A(_05870_),
-    .B(_06113_),
-    .C(_06114_),
-    .D_N(_06115_),
+ sky130_fd_sc_hd__clkbuf_1 _10660_ (.A(_06115_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00084_));
+ sky130_fd_sc_hd__buf_2 _10661_ (.A(\u_usb_host.reg_ack ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06116_));
- sky130_fd_sc_hd__or4b_1 _10674_ (.A(_05865_),
-    .B(_06114_),
-    .C(_06115_),
-    .D_N(_06113_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10662_ (.A(_06116_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06117_));
- sky130_fd_sc_hd__and3_1 _10675_ (.A(_06112_),
-    .B(_06116_),
-    .C(_06117_),
+ sky130_fd_sc_hd__or2b_1 _10663_ (.A(\u_usb_host.u_async_wb.wbs_ack_f ),
+    .B_N(_05642_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06118_));
- sky130_fd_sc_hd__clkbuf_1 _10676_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[2] ),
+ sky130_fd_sc_hd__nor2_1 _10664_ (.A(_06117_),
+    .B(_06118_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_00082_));
+ sky130_fd_sc_hd__or2b_2 _10665_ (.A(\u_usb_host.u_core.u_sie.utmi_rxvalid_i ),
+    .B_N(\u_usb_host.u_core.u_sie.utmi_rxactive_i ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06119_));
- sky130_fd_sc_hd__or3_1 _10677_ (.A(_05863_),
-    .B(_05864_),
-    .C(_05867_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10666_ (.A(_06119_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06120_));
- sky130_fd_sc_hd__or3_1 _10678_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[3] ),
-    .B(_06119_),
-    .C(_06120_),
+ sky130_fd_sc_hd__clkbuf_2 _10667_ (.A(_06120_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06121_));
- sky130_fd_sc_hd__clkbuf_1 _10679_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[1] ),
+ sky130_fd_sc_hd__and2_1 _10668_ (.A(\u_usb_host.u_core.u_sie.data_valid_q[1] ),
+    .B(_06121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06122_));
- sky130_fd_sc_hd__or4b_1 _10680_ (.A(_05871_),
-    .B(_06107_),
-    .C(_06121_),
-    .D_N(_06122_),
+ sky130_fd_sc_hd__clkbuf_1 _10669_ (.A(_06122_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00038_));
+ sky130_fd_sc_hd__and3b_1 _10670_ (.A_N(\u_sspim.reg_ack ),
+    .B(_05667_),
+    .C(net14),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06123_));
- sky130_fd_sc_hd__or4b_1 _10681_ (.A(_05870_),
-    .B(_06121_),
-    .C(_06122_),
-    .D_N(_06107_),
+ sky130_fd_sc_hd__clkbuf_1 _10671_ (.A(_06123_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00069_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10672_ (.A(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[1].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06124_));
- sky130_fd_sc_hd__and3_1 _10682_ (.A(_05500_),
-    .B(_05862_),
-    .C(_05878_),
+ sky130_fd_sc_hd__clkbuf_2 _10673_ (.A(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[6].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06125_));
- sky130_fd_sc_hd__nand4_1 _10683_ (.A(_06118_),
-    .B(_06123_),
-    .C(_06124_),
-    .D(_06125_),
+ sky130_fd_sc_hd__o22a_1 _10674_ (.A1(_06125_),
+    .A2(_05961_),
+    .B1(_05960_),
+    .B2(_05971_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06126_));
- sky130_fd_sc_hd__or3_1 _10684_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[16] ),
-    .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[1] ),
-    .C(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[0] ),
+    .X(_06126_));
+ sky130_fd_sc_hd__xor2_1 _10675_ (.A(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[2].u_bit_reg.data_out ),
+    .B(\u_sspim.u_spi_ctrl.clk_cnt[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06127_));
- sky130_fd_sc_hd__clkbuf_1 _10685_ (.A(_06120_),
+ sky130_fd_sc_hd__a221o_1 _10676_ (.A1(_05960_),
+    .A2(_05971_),
+    .B1(_06124_),
+    .B2(_05975_),
+    .C1(_06127_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06128_));
- sky130_fd_sc_hd__clkbuf_1 _10686_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[3] ),
+ sky130_fd_sc_hd__nand2_1 _10677_ (.A(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[7].u_bit_reg.data_out ),
+    .B(\u_sspim.u_spi_ctrl.clk_cnt[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06129_));
- sky130_fd_sc_hd__or4b_1 _10687_ (.A(_06119_),
-    .B(_06127_),
-    .C(_06128_),
-    .D_N(_06129_),
+    .Y(_06129_));
+ sky130_fd_sc_hd__or2_1 _10678_ (.A(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[7].u_bit_reg.data_out ),
+    .B(\u_sspim.u_spi_ctrl.clk_cnt[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06130_));
- sky130_fd_sc_hd__or4_1 _10688_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[16] ),
-    .B(_05854_),
-    .C(_05857_),
-    .D(_05866_),
+ sky130_fd_sc_hd__a221o_1 _10679_ (.A1(_06125_),
+    .A2(_05961_),
+    .B1(_06129_),
+    .B2(_06130_),
+    .C1(\u_sspim.u_spi_ctrl.clk_cnt[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06131_));
- sky130_fd_sc_hd__clkbuf_1 _10689_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[6] ),
+ sky130_fd_sc_hd__nor2_1 _10680_ (.A(_06128_),
+    .B(_06131_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06132_));
- sky130_fd_sc_hd__clkbuf_1 _10690_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[7] ),
+    .Y(_06132_));
+ sky130_fd_sc_hd__o211a_1 _10681_ (.A1(_06124_),
+    .A2(_05975_),
+    .B1(_06126_),
+    .C1(_06132_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06133_));
- sky130_fd_sc_hd__or3b_1 _10691_ (.A(_06131_),
-    .B(_06132_),
-    .C_N(_06133_),
+ sky130_fd_sc_hd__and3b_1 _10682_ (.A_N(_06133_),
+    .B(_05966_),
+    .C(_05603_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06134_));
- sky130_fd_sc_hd__inv_2 _10692_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[2] ),
+ sky130_fd_sc_hd__clkbuf_1 _10683_ (.A(_06134_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06135_));
- sky130_fd_sc_hd__or4_1 _10693_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[3] ),
-    .B(_06135_),
-    .C(_06127_),
-    .D(_06128_),
+    .X(_00077_));
+ sky130_fd_sc_hd__and2_1 _10684_ (.A(\u_sspim.cfg_op_req ),
+    .B(_06133_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06136_));
- sky130_fd_sc_hd__or3b_1 _10694_ (.A(_06133_),
-    .B(_06131_),
-    .C_N(_06132_),
+    .X(_06135_));
+ sky130_fd_sc_hd__clkbuf_1 _10685_ (.A(_06135_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00076_));
+ sky130_fd_sc_hd__xor2_1 _10686_ (.A(_05259_),
+    .B(_05410_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00101_));
+ sky130_fd_sc_hd__a21oi_1 _10687_ (.A1(_05259_),
+    .A2(_05410_),
+    .B1(\u_uart_core.u_txfsm.divcnt[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_06136_));
+ sky130_fd_sc_hd__and3_1 _10688_ (.A(_05259_),
+    .B(_05410_),
+    .C(\u_uart_core.u_txfsm.divcnt[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06137_));
- sky130_fd_sc_hd__nand4_1 _10695_ (.A(_06130_),
-    .B(_06134_),
-    .C(_06136_),
-    .D(_06137_),
+ sky130_fd_sc_hd__nor2_1 _10689_ (.A(_06136_),
+    .B(_06137_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06138_));
- sky130_fd_sc_hd__inv_2 _10696_ (.A(_05869_),
+    .Y(_00102_));
+ sky130_fd_sc_hd__xor2_1 _10690_ (.A(\u_uart_core.u_txfsm.divcnt[3] ),
+    .B(_06137_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06139_));
- sky130_fd_sc_hd__and3b_1 _10697_ (.A_N(_05864_),
-    .B(_05868_),
-    .C(_05863_),
+    .X(_00103_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10691_ (.A(\u_uart_core.u_rxfsm.offset[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06140_));
- sky130_fd_sc_hd__nor2_1 _10698_ (.A(_06139_),
+    .X(_06138_));
+ sky130_fd_sc_hd__xor2_1 _10692_ (.A(_05362_),
+    .B(_06138_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00097_));
+ sky130_fd_sc_hd__and3_1 _10693_ (.A(\u_uart_core.u_rxfsm.offset[0] ),
+    .B(_06138_),
+    .C(\u_uart_core.u_rxfsm.offset[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06139_));
+ sky130_fd_sc_hd__a21oi_1 _10694_ (.A1(_05362_),
+    .A2(_06138_),
+    .B1(\u_uart_core.u_rxfsm.offset[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_06140_));
+ sky130_fd_sc_hd__nor2_1 _10695_ (.A(_06139_),
     .B(_06140_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .Y(_00098_));
+ sky130_fd_sc_hd__inv_2 _10696_ (.A(\u_uart_core.u_rxfsm.offset[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .Y(_06141_));
- sky130_fd_sc_hd__or4bb_1 _10699_ (.A(_05872_),
-    .B(_06138_),
-    .C_N(_06141_),
-    .D_N(_06136_),
+ sky130_fd_sc_hd__xnor2_1 _10697_ (.A(_06141_),
+    .B(_06139_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_00099_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10698_ (.A(\u_uart_core.rx_fifo_wr_data[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06142_));
- sky130_fd_sc_hd__or3b_1 _10700_ (.A(_06109_),
-    .B(_06110_),
-    .C_N(_06108_),
+ sky130_fd_sc_hd__clkbuf_1 _10699_ (.A(_06142_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06143_));
- sky130_fd_sc_hd__clkbuf_1 _10701_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[13] ),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10700_ (.A(\u_uart_core.u_rxfifo.wr_ptr[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06144_));
- sky130_fd_sc_hd__or4bb_1 _10702_ (.A(_05874_),
-    .B(_05876_),
-    .C_N(_05877_),
-    .D_N(_06144_),
+ sky130_fd_sc_hd__clkbuf_2 _10701_ (.A(_06144_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06145_));
- sky130_fd_sc_hd__nand2_1 _10703_ (.A(_06143_),
-    .B(_06145_),
+ sky130_fd_sc_hd__clkbuf_1 _10702_ (.A(\u_uart_core.u_rxfifo.wr_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06146_));
- sky130_fd_sc_hd__or3_1 _10704_ (.A(_06126_),
-    .B(_06142_),
-    .C(_06146_),
+    .X(_06146_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10703_ (.A(_06146_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06147_));
- sky130_fd_sc_hd__nor2_1 _10705_ (.A(\u_i2cm.i2c_al ),
-    .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.clk_en ),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10704_ (.A(\u_uart_core.u_rxfifo.wr_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06148_));
- sky130_fd_sc_hd__o21bai_1 _10706_ (.A1(_06111_),
-    .A2(_06147_),
-    .B1_N(_06148_),
+    .X(_06148_));
+ sky130_fd_sc_hd__inv_2 _10705_ (.A(_06148_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06149_));
- sky130_fd_sc_hd__clkbuf_2 _10707_ (.A(_06149_),
+ sky130_fd_sc_hd__clkbuf_1 _10706_ (.A(\u_uart_core.u_rxfifo.wr_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06150_));
- sky130_fd_sc_hd__clkbuf_2 _10708_ (.A(_06150_),
+ sky130_fd_sc_hd__nand2_1 _10707_ (.A(_06150_),
+    .B(\u_uart_core.rx_fifo_wr ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06151_));
- sky130_fd_sc_hd__clkbuf_2 _10709_ (.A(_06149_),
+    .Y(_06151_));
+ sky130_fd_sc_hd__nor2_1 _10708_ (.A(_06149_),
+    .B(_06151_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06152_));
- sky130_fd_sc_hd__or4b_1 _10710_ (.A(_06033_),
-    .B(_06107_),
-    .C(_06121_),
-    .D_N(_06122_),
+    .Y(_06152_));
+ sky130_fd_sc_hd__nand3_2 _10709_ (.A(_06145_),
+    .B(_06147_),
+    .C(_06152_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06153_));
- sky130_fd_sc_hd__nand2_1 _10711_ (.A(_06124_),
-    .B(_06153_),
+    .Y(_06153_));
+ sky130_fd_sc_hd__clkbuf_4 _10710_ (.A(_06153_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06154_));
- sky130_fd_sc_hd__or4b_1 _10712_ (.A(_05871_),
-    .B(_06113_),
-    .C(_06114_),
-    .D_N(_06115_),
+    .X(_06154_));
+ sky130_fd_sc_hd__mux2_1 _10711_ (.A0(_06143_),
+    .A1(\u_uart_core.u_rxfifo.mem[15][0] ),
+    .S(_06154_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06155_));
- sky130_fd_sc_hd__and3_1 _10713_ (.A(_06112_),
-    .B(_06117_),
-    .C(_06155_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06156_));
- sky130_fd_sc_hd__or4b_1 _10714_ (.A(_06119_),
-    .B(_06127_),
-    .C(_06128_),
-    .D_N(_06129_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06157_));
- sky130_fd_sc_hd__or4_1 _10715_ (.A(_06129_),
-    .B(_06135_),
-    .C(_06127_),
-    .D(_06128_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06158_));
- sky130_fd_sc_hd__or3b_1 _10716_ (.A(_06133_),
-    .B(_06131_),
-    .C_N(_06132_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06159_));
- sky130_fd_sc_hd__and4_1 _10717_ (.A(_06134_),
-    .B(_06157_),
-    .C(_06158_),
-    .D(_06159_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06160_));
- sky130_fd_sc_hd__or2_1 _10718_ (.A(_05876_),
-    .B(_05866_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06161_));
- sky130_fd_sc_hd__o41a_1 _10719_ (.A1(_06033_),
-    .A2(_06144_),
-    .A3(_05875_),
-    .A4(_06161_),
-    .B1(_05873_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06162_));
- sky130_fd_sc_hd__and3_1 _10720_ (.A(_05862_),
-    .B(_05869_),
-    .C(_06162_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06163_));
- sky130_fd_sc_hd__or3b_1 _10721_ (.A(_06109_),
-    .B(_06110_),
-    .C_N(_06108_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06164_));
- sky130_fd_sc_hd__or4b_1 _10722_ (.A(_05871_),
-    .B(_05874_),
-    .C(_06161_),
-    .D_N(_06144_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06165_));
- sky130_fd_sc_hd__and3b_1 _10723_ (.A_N(_06111_),
-    .B(_06164_),
-    .C(_06165_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06166_));
- sky130_fd_sc_hd__and4_1 _10724_ (.A(_06156_),
-    .B(_06160_),
-    .C(_06163_),
-    .D(_06166_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06167_));
- sky130_fd_sc_hd__or4b_1 _10725_ (.A(_05465_),
-    .B(_06154_),
-    .C(_06140_),
-    .D_N(_06167_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06168_));
- sky130_fd_sc_hd__nor2_2 _10726_ (.A(_06152_),
-    .B(_06168_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_06169_));
- sky130_fd_sc_hd__a22o_1 _10727_ (.A1(_06107_),
-    .A2(_06151_),
-    .B1(_06169_),
-    .B2(\u_i2cm.u_byte_ctrl.core_cmd[4] ),
+ sky130_fd_sc_hd__clkbuf_1 _10712_ (.A(_06155_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00105_));
- sky130_fd_sc_hd__clkbuf_1 _10728_ (.A(_05879_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10713_ (.A(\u_uart_core.rx_fifo_wr_data[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06170_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10729_ (.A(_06150_),
+    .X(_06156_));
+ sky130_fd_sc_hd__clkbuf_1 _10714_ (.A(_06156_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06171_));
- sky130_fd_sc_hd__a2bb2o_1 _10730_ (.A1_N(_06170_),
-    .A2_N(_06124_),
-    .B1(_06171_),
-    .B2(_06122_),
+    .X(_06157_));
+ sky130_fd_sc_hd__mux2_1 _10715_ (.A0(_06157_),
+    .A1(\u_uart_core.u_rxfifo.mem[15][1] ),
+    .S(_06154_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06158_));
+ sky130_fd_sc_hd__clkbuf_1 _10716_ (.A(_06158_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00106_));
- sky130_fd_sc_hd__a2bb2o_1 _10731_ (.A1_N(_06170_),
-    .A2_N(_06123_),
-    .B1(_06171_),
-    .B2(_06119_),
+ sky130_fd_sc_hd__clkbuf_2 _10717_ (.A(\u_uart_core.rx_fifo_wr_data[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06159_));
+ sky130_fd_sc_hd__clkbuf_1 _10718_ (.A(_06159_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06160_));
+ sky130_fd_sc_hd__mux2_1 _10719_ (.A0(_06160_),
+    .A1(\u_uart_core.u_rxfifo.mem[15][2] ),
+    .S(_06154_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06161_));
+ sky130_fd_sc_hd__clkbuf_1 _10720_ (.A(_06161_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00107_));
- sky130_fd_sc_hd__clkbuf_1 _10732_ (.A(_06150_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10721_ (.A(\u_uart_core.rx_fifo_wr_data[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06172_));
- sky130_fd_sc_hd__a2bb2o_1 _10733_ (.A1_N(_06170_),
-    .A2_N(_06136_),
-    .B1(_06172_),
-    .B2(_06129_),
+    .X(_06162_));
+ sky130_fd_sc_hd__clkbuf_2 _10722_ (.A(_06162_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06163_));
+ sky130_fd_sc_hd__clkbuf_2 _10723_ (.A(_06153_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06164_));
+ sky130_fd_sc_hd__mux2_1 _10724_ (.A0(_06163_),
+    .A1(\u_uart_core.u_rxfifo.mem[15][3] ),
+    .S(_06164_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06165_));
+ sky130_fd_sc_hd__clkbuf_1 _10725_ (.A(_06165_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00108_));
- sky130_fd_sc_hd__a2bb2o_1 _10734_ (.A1_N(_06170_),
-    .A2_N(_06157_),
-    .B1(_06172_),
-    .B2(_05864_),
+ sky130_fd_sc_hd__clkbuf_2 _10726_ (.A(\u_uart_core.rx_fifo_wr_data[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06166_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10727_ (.A(_06166_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06167_));
+ sky130_fd_sc_hd__mux2_1 _10728_ (.A0(_06167_),
+    .A1(\u_uart_core.u_rxfifo.mem[15][4] ),
+    .S(_06164_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06168_));
+ sky130_fd_sc_hd__clkbuf_1 _10729_ (.A(_06168_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00109_));
- sky130_fd_sc_hd__a22o_1 _10735_ (.A1(_05863_),
-    .A2(_06151_),
-    .B1(_06169_),
-    .B2(\u_i2cm.u_byte_ctrl.core_cmd[3] ),
+ sky130_fd_sc_hd__buf_2 _10730_ (.A(\u_uart_core.rx_fifo_wr_data[5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06169_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10731_ (.A(_06169_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06170_));
+ sky130_fd_sc_hd__mux2_1 _10732_ (.A0(_06170_),
+    .A1(\u_uart_core.u_rxfifo.mem[15][5] ),
+    .S(_06164_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06171_));
+ sky130_fd_sc_hd__clkbuf_1 _10733_ (.A(_06171_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00110_));
- sky130_fd_sc_hd__inv_2 _10736_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.clk_en ),
+ sky130_fd_sc_hd__buf_2 _10734_ (.A(\u_uart_core.rx_fifo_wr_data[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06173_));
- sky130_fd_sc_hd__nor2_1 _10737_ (.A(_05465_),
-    .B(_06173_),
+    .X(_06172_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10735_ (.A(_06172_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06174_));
- sky130_fd_sc_hd__a22o_1 _10738_ (.A1(_06174_),
-    .A2(_06140_),
-    .B1(_06151_),
-    .B2(_06132_),
+    .X(_06173_));
+ sky130_fd_sc_hd__mux2_1 _10736_ (.A0(_06173_),
+    .A1(\u_uart_core.u_rxfifo.mem[15][6] ),
+    .S(_06164_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06174_));
+ sky130_fd_sc_hd__clkbuf_1 _10737_ (.A(_06174_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00111_));
- sky130_fd_sc_hd__clkbuf_1 _10739_ (.A(_05879_),
+ sky130_fd_sc_hd__clkbuf_2 _10738_ (.A(\u_uart_core.rx_fifo_wr_data[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06175_));
- sky130_fd_sc_hd__a2bb2o_1 _10740_ (.A1_N(_06175_),
-    .A2_N(_06137_),
-    .B1(_06172_),
-    .B2(_06133_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00112_));
- sky130_fd_sc_hd__a2bb2o_1 _10741_ (.A1_N(_06175_),
-    .A2_N(_06134_),
-    .B1(_06172_),
-    .B2(_05861_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00113_));
- sky130_fd_sc_hd__a22o_1 _10742_ (.A1(_05860_),
-    .A2(_06152_),
-    .B1(_06169_),
-    .B2(\u_i2cm.u_byte_ctrl.core_cmd[1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00114_));
- sky130_fd_sc_hd__clkbuf_1 _10743_ (.A(_06150_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10739_ (.A(_06175_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06176_));
- sky130_fd_sc_hd__a2bb2o_1 _10744_ (.A1_N(_06175_),
-    .A2_N(_06112_),
-    .B1(_06176_),
-    .B2(_06115_),
+ sky130_fd_sc_hd__mux2_1 _10740_ (.A0(_06176_),
+    .A1(\u_uart_core.u_rxfifo.mem[15][7] ),
+    .S(_06153_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00115_));
- sky130_fd_sc_hd__a2bb2o_1 _10745_ (.A1_N(_06175_),
-    .A2_N(_06116_),
-    .B1(_06176_),
-    .B2(_06113_),
+    .X(_06177_));
+ sky130_fd_sc_hd__clkbuf_1 _10741_ (.A(_06177_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00116_));
- sky130_fd_sc_hd__a2bb2o_1 _10746_ (.A1_N(_05880_),
-    .A2_N(_06117_),
-    .B1(_06176_),
-    .B2(_05874_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00117_));
- sky130_fd_sc_hd__a22o_1 _10747_ (.A1(_06144_),
-    .A2(_06152_),
-    .B1(_06169_),
-    .B2(\u_i2cm.u_byte_ctrl.core_cmd[2] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00118_));
- sky130_fd_sc_hd__a2bb2o_1 _10748_ (.A1_N(_05880_),
-    .A2_N(_06145_),
-    .B1(_06176_),
-    .B2(_06108_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00119_));
- sky130_fd_sc_hd__a2bb2o_1 _10749_ (.A1_N(_05880_),
-    .A2_N(_06143_),
-    .B1(_06151_),
-    .B2(_06110_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00120_));
- sky130_fd_sc_hd__nor2_1 _10750_ (.A(_06147_),
-    .B(_06152_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_06177_));
- sky130_fd_sc_hd__a21o_1 _10751_ (.A1(_06033_),
-    .A2(_06171_),
-    .B1(_06177_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00121_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10752_ (.A(\u_uart_core.rx_fifo_wr_data[0] ),
+    .X(_00112_));
+ sky130_fd_sc_hd__clkbuf_1 _10742_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06178_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10753_ (.A(_06178_),
+ sky130_fd_sc_hd__nor2_1 _10743_ (.A(_05446_),
+    .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.clk_en ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06179_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10754_ (.A(\u_uart_core.u_rxfifo.wr_ptr[3] ),
+    .Y(_06179_));
+ sky130_fd_sc_hd__or4_1 _10744_ (.A(_05885_),
+    .B(_05897_),
+    .C(_05887_),
+    .D(_05895_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06180_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10755_ (.A(_06180_),
+ sky130_fd_sc_hd__or2_1 _10745_ (.A(_05893_),
+    .B(_06180_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06181_));
- sky130_fd_sc_hd__clkbuf_1 _10756_ (.A(\u_uart_core.u_rxfifo.wr_ptr[2] ),
+ sky130_fd_sc_hd__nor3b_1 _10746_ (.A(_05889_),
+    .B(_06181_),
+    .C_N(_05888_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06182_));
- sky130_fd_sc_hd__clkbuf_1 _10757_ (.A(_06182_),
+    .Y(_06182_));
+ sky130_fd_sc_hd__clkbuf_1 _10747_ (.A(_05885_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06183_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10758_ (.A(_06183_),
+ sky130_fd_sc_hd__or4b_2 _10748_ (.A(_06183_),
+    .B(_05907_),
+    .C(_05908_),
+    .D_N(_05904_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06184_));
- sky130_fd_sc_hd__inv_2 _10759_ (.A(\u_uart_core.rx_fifo_wr ),
+ sky130_fd_sc_hd__or4_1 _10749_ (.A(_05885_),
+    .B(_05908_),
+    .C(_05904_),
+    .D(_05901_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06185_));
- sky130_fd_sc_hd__clkbuf_1 _10760_ (.A(\u_uart_core.u_rxfifo.wr_ptr[1] ),
+    .X(_06185_));
+ sky130_fd_sc_hd__or3b_1 _10750_ (.A(_06185_),
+    .B(_05905_),
+    .C_N(_05906_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06186_));
- sky130_fd_sc_hd__clkbuf_1 _10761_ (.A(\u_uart_core.u_rxfifo.wr_ptr[0] ),
+ sky130_fd_sc_hd__or3b_1 _10751_ (.A(_05906_),
+    .B(_06185_),
+    .C_N(_05905_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06187_));
- sky130_fd_sc_hd__nand2_1 _10762_ (.A(_06186_),
-    .B(_06187_),
+ sky130_fd_sc_hd__nand3_1 _10752_ (.A(_06184_),
+    .B(_06186_),
+    .C(_06187_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06188_));
- sky130_fd_sc_hd__nor2_1 _10763_ (.A(_06185_),
-    .B(_06188_),
+ sky130_fd_sc_hd__or3_1 _10753_ (.A(_05913_),
+    .B(_05910_),
+    .C(_05911_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06189_));
- sky130_fd_sc_hd__nand3_2 _10764_ (.A(_06181_),
-    .B(_06184_),
+    .X(_06189_));
+ sky130_fd_sc_hd__or3_1 _10754_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[3] ),
+    .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[2] ),
     .C(_06189_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06190_));
- sky130_fd_sc_hd__buf_2 _10765_ (.A(_06190_),
+    .X(_06190_));
+ sky130_fd_sc_hd__clkbuf_1 _10755_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06191_));
- sky130_fd_sc_hd__mux2_1 _10766_ (.A0(_06179_),
-    .A1(\u_uart_core.u_rxfifo.mem[15][0] ),
-    .S(_06191_),
+ sky130_fd_sc_hd__or4b_1 _10756_ (.A(_06183_),
+    .B(_06190_),
+    .C(_06178_),
+    .D_N(_06191_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06192_));
- sky130_fd_sc_hd__clkbuf_1 _10767_ (.A(_06192_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00122_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10768_ (.A(\u_uart_core.rx_fifo_wr_data[1] ),
+ sky130_fd_sc_hd__or4b_1 _10757_ (.A(_06183_),
+    .B(_06191_),
+    .C(_06190_),
+    .D_N(_06178_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06193_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10769_ (.A(_06193_),
+ sky130_fd_sc_hd__nand2_1 _10758_ (.A(_06192_),
+    .B(_06193_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06194_));
- sky130_fd_sc_hd__mux2_1 _10770_ (.A0(_06194_),
-    .A1(\u_uart_core.u_rxfifo.mem[15][1] ),
-    .S(_06191_),
+    .Y(_06194_));
+ sky130_fd_sc_hd__or4bb_1 _10759_ (.A(_06188_),
+    .B(_06194_),
+    .C_N(_05898_),
+    .D_N(_05909_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06195_));
- sky130_fd_sc_hd__clkbuf_1 _10771_ (.A(_06195_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00123_));
- sky130_fd_sc_hd__clkbuf_1 _10772_ (.A(\u_uart_core.rx_fifo_wr_data[2] ),
+ sky130_fd_sc_hd__or4_1 _10760_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[16] ),
+    .B(_05891_),
+    .C(_05895_),
+    .D(_05900_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06196_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10773_ (.A(_06196_),
+ sky130_fd_sc_hd__or3b_1 _10761_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[6] ),
+    .B(_06196_),
+    .C_N(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06197_));
- sky130_fd_sc_hd__mux2_1 _10774_ (.A0(_06197_),
-    .A1(\u_uart_core.u_rxfifo.mem[15][2] ),
-    .S(_06191_),
+ sky130_fd_sc_hd__inv_2 _10762_ (.A(_06197_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06198_));
- sky130_fd_sc_hd__clkbuf_1 _10775_ (.A(_06198_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00124_));
- sky130_fd_sc_hd__clkbuf_1 _10776_ (.A(\u_uart_core.rx_fifo_wr_data[3] ),
+    .Y(_06198_));
+ sky130_fd_sc_hd__and4bb_1 _10763_ (.A_N(_06183_),
+    .B_N(_05913_),
+    .C(_05910_),
+    .D(_05912_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06199_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10777_ (.A(_06199_),
+ sky130_fd_sc_hd__or2_1 _10764_ (.A(_05914_),
+    .B(_06199_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06200_));
- sky130_fd_sc_hd__buf_2 _10778_ (.A(_06190_),
+ sky130_fd_sc_hd__clkbuf_1 _10765_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06201_));
- sky130_fd_sc_hd__mux2_1 _10779_ (.A0(_06200_),
-    .A1(\u_uart_core.u_rxfifo.mem[15][3] ),
-    .S(_06201_),
+ sky130_fd_sc_hd__or4_1 _10766_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[16] ),
+    .B(_06178_),
+    .C(_06191_),
+    .D(_06189_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06202_));
- sky130_fd_sc_hd__clkbuf_1 _10780_ (.A(_06202_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00125_));
- sky130_fd_sc_hd__clkbuf_1 _10781_ (.A(\u_uart_core.rx_fifo_wr_data[4] ),
+ sky130_fd_sc_hd__clkbuf_1 _10767_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06203_));
- sky130_fd_sc_hd__clkbuf_1 _10782_ (.A(_06203_),
+ sky130_fd_sc_hd__or3b_1 _10768_ (.A(_06201_),
+    .B(_06202_),
+    .C_N(_06203_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06204_));
- sky130_fd_sc_hd__mux2_1 _10783_ (.A0(_06204_),
-    .A1(\u_uart_core.u_rxfifo.mem[15][4] ),
-    .S(_06201_),
+ sky130_fd_sc_hd__or3b_1 _10769_ (.A(_06196_),
+    .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[7] ),
+    .C_N(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06205_));
- sky130_fd_sc_hd__clkbuf_1 _10784_ (.A(_06205_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00126_));
- sky130_fd_sc_hd__clkbuf_1 _10785_ (.A(\u_uart_core.rx_fifo_wr_data[5] ),
+ sky130_fd_sc_hd__or3b_1 _10770_ (.A(_06202_),
+    .B(_06203_),
+    .C_N(_06201_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06206_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10786_ (.A(_06206_),
+ sky130_fd_sc_hd__and3_1 _10771_ (.A(_06204_),
+    .B(_06205_),
+    .C(_06206_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06207_));
- sky130_fd_sc_hd__mux2_1 _10787_ (.A0(_06207_),
-    .A1(\u_uart_core.u_rxfifo.mem[15][5] ),
-    .S(_06201_),
+ sky130_fd_sc_hd__or3b_1 _10772_ (.A(_06198_),
+    .B(_06200_),
+    .C_N(_06207_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06208_));
- sky130_fd_sc_hd__clkbuf_1 _10788_ (.A(_06208_),
+ sky130_fd_sc_hd__nor2_1 _10773_ (.A(_06203_),
+    .B(_06202_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00127_));
- sky130_fd_sc_hd__clkbuf_1 _10789_ (.A(\u_uart_core.rx_fifo_wr_data[6] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06209_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10790_ (.A(_06209_),
+    .Y(_06209_));
+ sky130_fd_sc_hd__a211o_1 _10774_ (.A1(_06201_),
+    .A2(_06209_),
+    .B1(_05902_),
+    .C1(\u_i2cm.i2c_al ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06210_));
- sky130_fd_sc_hd__mux2_1 _10791_ (.A0(_06210_),
-    .A1(\u_uart_core.u_rxfifo.mem[15][6] ),
-    .S(_06201_),
+ sky130_fd_sc_hd__or3b_1 _10775_ (.A(_06181_),
+    .B(_05888_),
+    .C_N(_05889_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06211_));
- sky130_fd_sc_hd__clkbuf_1 _10792_ (.A(_06211_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00128_));
- sky130_fd_sc_hd__clkbuf_1 _10793_ (.A(\u_uart_core.rx_fifo_wr_data[7] ),
+ sky130_fd_sc_hd__or4b_1 _10776_ (.A(_05899_),
+    .B(_05896_),
+    .C(_05897_),
+    .D_N(_05887_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06212_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10794_ (.A(_06212_),
+ sky130_fd_sc_hd__nand2_1 _10777_ (.A(_06211_),
+    .B(_06212_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06213_));
- sky130_fd_sc_hd__mux2_1 _10795_ (.A0(_06213_),
-    .A1(\u_uart_core.u_rxfifo.mem[15][7] ),
-    .S(_06190_),
+    .Y(_06213_));
+ sky130_fd_sc_hd__or4_1 _10778_ (.A(_06195_),
+    .B(_06208_),
+    .C(_06210_),
+    .D(_06213_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06214_));
- sky130_fd_sc_hd__clkbuf_1 _10796_ (.A(_06214_),
+ sky130_fd_sc_hd__nor2_1 _10779_ (.A(_06182_),
+    .B(_06214_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00129_));
- sky130_fd_sc_hd__buf_2 _10797_ (.A(_05392_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06215_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10798_ (.A(_06215_),
+    .Y(_06215_));
+ sky130_fd_sc_hd__or2_1 _10780_ (.A(_06179_),
+    .B(_06215_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06216_));
- sky130_fd_sc_hd__nor2_1 _10799_ (.A(_06216_),
-    .B(_05448_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10781_ (.A(_06216_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06217_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10800_ (.A(_06217_),
+    .X(_06217_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10782_ (.A(_06217_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06218_));
- sky130_fd_sc_hd__xnor2_2 _10801_ (.A(\u_usb_host.u_core.u_sie.token_q[15] ),
-    .B(\u_usb_host.u_core.u_sie.token_q[14] ),
+ sky130_fd_sc_hd__nor2_1 _10783_ (.A(_06182_),
+    .B(_06213_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06219_));
- sky130_fd_sc_hd__xnor2_2 _10802_ (.A(\u_usb_host.u_core.u_sie.token_q[10] ),
-    .B(_06219_),
+ sky130_fd_sc_hd__and3b_1 _10784_ (.A_N(_06188_),
+    .B(_06207_),
+    .C(_06219_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06220_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10803_ (.A(\u_usb_host.u_core.u_sie.token_q[5] ),
+    .X(_06220_));
+ sky130_fd_sc_hd__and3_1 _10785_ (.A(_05916_),
+    .B(_06197_),
+    .C(_06220_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06221_));
- sky130_fd_sc_hd__xnor2_1 _10804_ (.A(\u_usb_host.u_core.u_sie.token_q[11] ),
-    .B(\u_usb_host.u_core.u_sie.token_q[8] ),
+ sky130_fd_sc_hd__or4b_1 _10786_ (.A(_05918_),
+    .B(_06194_),
+    .C(_06199_),
+    .D_N(_06221_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06222_));
- sky130_fd_sc_hd__xor2_1 _10805_ (.A(_06221_),
+    .X(_06222_));
+ sky130_fd_sc_hd__nor2_2 _10787_ (.A(_06215_),
     .B(_06222_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06223_));
- sky130_fd_sc_hd__xnor2_1 _10806_ (.A(_06220_),
-    .B(_06223_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_06224_));
- sky130_fd_sc_hd__a22o_1 _10807_ (.A1(\u_usb_host.u_core.u_sie.token_q[0] ),
+    .Y(_06223_));
+ sky130_fd_sc_hd__a22o_1 _10788_ (.A1(_06178_),
     .A2(_06218_),
+    .B1(_06223_),
+    .B2(\u_i2cm.u_byte_ctrl.core_cmd[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00113_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10789_ (.A(_06216_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06224_));
+ sky130_fd_sc_hd__a2bb2o_1 _10790_ (.A1_N(_05920_),
+    .A2_N(_06193_),
     .B1(_06224_),
-    .B2(_00091_),
+    .B2(_06191_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00130_));
- sky130_fd_sc_hd__xnor2_1 _10808_ (.A(\u_usb_host.u_core.u_sie.token_q[15] ),
-    .B(\u_usb_host.u_core.u_sie.token_q[12] ),
+    .X(_00114_));
+ sky130_fd_sc_hd__a2bb2o_1 _10791_ (.A1_N(_05920_),
+    .A2_N(_06192_),
+    .B1(_06224_),
+    .B2(_06201_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06225_));
- sky130_fd_sc_hd__clkbuf_2 _10809_ (.A(\u_usb_host.u_core.u_sie.token_q[9] ),
+    .X(_00115_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10792_ (.A(_06216_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06225_));
+ sky130_fd_sc_hd__a2bb2o_1 _10793_ (.A1_N(_05920_),
+    .A2_N(_06206_),
+    .B1(_06225_),
+    .B2(_06203_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00116_));
+ sky130_fd_sc_hd__clkbuf_1 _10794_ (.A(_05919_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06226_));
- sky130_fd_sc_hd__xnor2_1 _10810_ (.A(\u_usb_host.u_core.u_sie.token_q[11] ),
-    .B(_06226_),
+ sky130_fd_sc_hd__a2bb2o_1 _10795_ (.A1_N(_06226_),
+    .A2_N(_06204_),
+    .B1(_06225_),
+    .B2(_05913_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00117_));
+ sky130_fd_sc_hd__a22o_1 _10796_ (.A1(_05910_),
+    .A2(_06218_),
+    .B1(_06223_),
+    .B2(\u_i2cm.u_byte_ctrl.core_cmd[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00118_));
+ sky130_fd_sc_hd__inv_2 _10797_ (.A(_05919_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06227_));
- sky130_fd_sc_hd__xnor2_1 _10811_ (.A(_06225_),
-    .B(_06227_),
+ sky130_fd_sc_hd__a22o_1 _10798_ (.A1(_06227_),
+    .A2(_06199_),
+    .B1(_06218_),
+    .B2(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06228_));
- sky130_fd_sc_hd__xnor2_1 _10812_ (.A(\u_usb_host.u_core.u_sie.token_q[6] ),
-    .B(_06228_),
+    .X(_00119_));
+ sky130_fd_sc_hd__a2bb2o_1 _10799_ (.A1_N(_06226_),
+    .A2_N(_06205_),
+    .B1(_06225_),
+    .B2(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06229_));
- sky130_fd_sc_hd__a22o_1 _10813_ (.A1(\u_usb_host.u_core.u_sie.token_q[1] ),
-    .A2(_06218_),
-    .B1(_06229_),
-    .B2(_00091_),
+    .X(_00120_));
+ sky130_fd_sc_hd__a22o_1 _10800_ (.A1(_06227_),
+    .A2(_06198_),
+    .B1(_06218_),
+    .B2(_05908_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00131_));
- sky130_fd_sc_hd__xnor2_1 _10814_ (.A(\u_usb_host.u_core.u_sie.token_q[13] ),
-    .B(\u_usb_host.u_core.u_sie.token_q[12] ),
+    .X(_00121_));
+ sky130_fd_sc_hd__a22o_1 _10801_ (.A1(_05904_),
+    .A2(_06217_),
+    .B1(_06223_),
+    .B2(\u_i2cm.u_byte_ctrl.core_cmd[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00122_));
+ sky130_fd_sc_hd__a2bb2o_1 _10802_ (.A1_N(_06226_),
+    .A2_N(_06184_),
+    .B1(_06225_),
+    .B2(_05906_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00123_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10803_ (.A(_06216_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06228_));
+ sky130_fd_sc_hd__a2bb2o_1 _10804_ (.A1_N(_06226_),
+    .A2_N(_06186_),
+    .B1(_06228_),
+    .B2(_05905_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00124_));
+ sky130_fd_sc_hd__clkbuf_1 _10805_ (.A(_05919_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06229_));
+ sky130_fd_sc_hd__a2bb2o_1 _10806_ (.A1_N(_06229_),
+    .A2_N(_06187_),
+    .B1(_06228_),
+    .B2(_05897_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00125_));
+ sky130_fd_sc_hd__a22o_1 _10807_ (.A1(_05887_),
+    .A2(_06217_),
+    .B1(_06223_),
+    .B2(\u_i2cm.u_byte_ctrl.core_cmd[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00126_));
+ sky130_fd_sc_hd__a2bb2o_1 _10808_ (.A1_N(_06229_),
+    .A2_N(_06212_),
+    .B1(_06228_),
+    .B2(_05889_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00127_));
+ sky130_fd_sc_hd__a2bb2o_1 _10809_ (.A1_N(_06229_),
+    .A2_N(_06211_),
+    .B1(_06228_),
+    .B2(_05888_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00128_));
+ sky130_fd_sc_hd__nor2_1 _10810_ (.A(_06214_),
+    .B(_06217_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06230_));
- sky130_fd_sc_hd__xnor2_2 _10815_ (.A(_06219_),
-    .B(_06230_),
+ sky130_fd_sc_hd__a21o_1 _10811_ (.A1(_05899_),
+    .A2(_06224_),
+    .B1(_06230_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06231_));
- sky130_fd_sc_hd__xnor2_1 _10816_ (.A(\u_usb_host.u_core.u_sie.token_q[7] ),
-    .B(_06222_),
+    .X(_00129_));
+ sky130_fd_sc_hd__buf_2 _10812_ (.A(_05370_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06232_));
- sky130_fd_sc_hd__xnor2_1 _10817_ (.A(_06231_),
-    .B(_06232_),
+    .X(_06231_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10813_ (.A(_06231_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06232_));
+ sky130_fd_sc_hd__nor2_1 _10814_ (.A(_06232_),
+    .B(_05429_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06233_));
- sky130_fd_sc_hd__or2_1 _10818_ (.A(_06221_),
-    .B(_06233_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10815_ (.A(_06233_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06234_));
- sky130_fd_sc_hd__nand2_1 _10819_ (.A(_06221_),
-    .B(_06233_),
+ sky130_fd_sc_hd__xor2_2 _10816_ (.A(\u_usb_host.u_core.u_sie.token_q[15] ),
+    .B(\u_usb_host.u_core.u_sie.token_q[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06235_));
- sky130_fd_sc_hd__a32o_1 _10820_ (.A1(_00091_),
-    .A2(_06234_),
-    .A3(_06235_),
-    .B1(_06218_),
-    .B2(\u_usb_host.u_core.u_sie.token_q[2] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00132_));
- sky130_fd_sc_hd__xnor2_1 _10821_ (.A(\u_usb_host.u_core.u_sie.token_q[9] ),
-    .B(\u_usb_host.u_core.u_sie.token_q[8] ),
+    .X(_06235_));
+ sky130_fd_sc_hd__xnor2_1 _10817_ (.A(\u_usb_host.u_core.u_sie.token_q[10] ),
+    .B(_06235_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06236_));
- sky130_fd_sc_hd__xnor2_1 _10822_ (.A(\u_usb_host.u_core.u_sie.token_q[6] ),
-    .B(_06236_),
+ sky130_fd_sc_hd__xnor2_1 _10818_ (.A(\u_usb_host.u_core.u_sie.token_q[11] ),
+    .B(\u_usb_host.u_core.u_sie.token_q[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06237_));
- sky130_fd_sc_hd__nor2_1 _10823_ (.A(_06231_),
+ sky130_fd_sc_hd__xnor2_1 _10819_ (.A(\u_usb_host.u_core.u_sie.token_q[5] ),
     .B(_06237_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06238_));
- sky130_fd_sc_hd__a2111o_1 _10824_ (.A1(_06231_),
-    .A2(_06237_),
-    .B1(_06238_),
-    .C1(_05447_),
-    .D1(_05355_),
+ sky130_fd_sc_hd__xnor2_1 _10820_ (.A(_06236_),
+    .B(_06238_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06239_));
- sky130_fd_sc_hd__a21bo_1 _10825_ (.A1(\u_usb_host.u_core.u_sie.token_q[3] ),
-    .A2(_06218_),
-    .B1_N(_06239_),
+    .Y(_06239_));
+ sky130_fd_sc_hd__a22o_1 _10821_ (.A1(\u_usb_host.u_core.u_sie.token_q[0] ),
+    .A2(_06234_),
+    .B1(_06239_),
+    .B2(_00091_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00133_));
- sky130_fd_sc_hd__xnor2_1 _10826_ (.A(\u_usb_host.u_core.u_sie.token_q[13] ),
-    .B(_06226_),
+    .X(_00130_));
+ sky130_fd_sc_hd__xnor2_1 _10822_ (.A(\u_usb_host.u_core.u_sie.token_q[6] ),
+    .B(\u_usb_host.u_core.u_sie.token_q[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06240_));
- sky130_fd_sc_hd__xnor2_1 _10827_ (.A(\u_usb_host.u_core.u_sie.token_q[7] ),
-    .B(_06240_),
+ sky130_fd_sc_hd__xnor2_1 _10823_ (.A(\u_usb_host.u_core.u_sie.token_q[15] ),
+    .B(\u_usb_host.u_core.u_sie.token_q[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06241_));
- sky130_fd_sc_hd__nand2_1 _10828_ (.A(_06220_),
+ sky130_fd_sc_hd__xnor2_1 _10824_ (.A(\u_usb_host.u_core.u_sie.token_q[11] ),
     .B(_06241_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06242_));
- sky130_fd_sc_hd__or2_1 _10829_ (.A(_06220_),
-    .B(_06241_),
+ sky130_fd_sc_hd__xnor2_1 _10825_ (.A(_06240_),
+    .B(_06242_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06243_));
- sky130_fd_sc_hd__a32o_1 _10830_ (.A1(_05448_),
-    .A2(_06242_),
-    .A3(_06243_),
-    .B1(_06217_),
+    .Y(_06243_));
+ sky130_fd_sc_hd__a22o_1 _10826_ (.A1(\u_usb_host.u_core.u_sie.token_q[1] ),
+    .A2(_06234_),
+    .B1(_06243_),
+    .B2(_00091_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00131_));
+ sky130_fd_sc_hd__clkbuf_1 _10827_ (.A(\u_usb_host.u_core.u_sie.token_q[7] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06244_));
+ sky130_fd_sc_hd__xor2_1 _10828_ (.A(\u_usb_host.u_core.u_sie.token_q[13] ),
+    .B(\u_usb_host.u_core.u_sie.token_q[12] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06245_));
+ sky130_fd_sc_hd__xnor2_2 _10829_ (.A(_06235_),
+    .B(_06245_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_06246_));
+ sky130_fd_sc_hd__xnor2_1 _10830_ (.A(_06238_),
+    .B(_06246_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_06247_));
+ sky130_fd_sc_hd__or2_1 _10831_ (.A(_06244_),
+    .B(_06247_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06248_));
+ sky130_fd_sc_hd__a21boi_1 _10832_ (.A1(_06244_),
+    .A2(_06247_),
+    .B1_N(_05429_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_06249_));
+ sky130_fd_sc_hd__a22o_1 _10833_ (.A1(\u_usb_host.u_core.u_sie.token_q[2] ),
+    .A2(_06234_),
+    .B1(_06248_),
+    .B2(_06249_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00132_));
+ sky130_fd_sc_hd__xnor2_1 _10834_ (.A(\u_usb_host.u_core.u_sie.token_q[8] ),
+    .B(_06240_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_06250_));
+ sky130_fd_sc_hd__nor2_1 _10835_ (.A(_06246_),
+    .B(_06250_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_06251_));
+ sky130_fd_sc_hd__a2111o_1 _10836_ (.A1(_06246_),
+    .A2(_06250_),
+    .B1(_06251_),
+    .C1(_05428_),
+    .D1(_05333_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06252_));
+ sky130_fd_sc_hd__a21bo_1 _10837_ (.A1(\u_usb_host.u_core.u_sie.token_q[3] ),
+    .A2(_06234_),
+    .B1_N(_06252_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00133_));
+ sky130_fd_sc_hd__xor2_1 _10838_ (.A(\u_usb_host.u_core.u_sie.token_q[13] ),
+    .B(\u_usb_host.u_core.u_sie.token_q[9] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06253_));
+ sky130_fd_sc_hd__xnor2_1 _10839_ (.A(_06236_),
+    .B(_06253_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_06254_));
+ sky130_fd_sc_hd__or2_1 _10840_ (.A(\u_usb_host.u_core.u_sie.token_q[7] ),
+    .B(_06254_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06255_));
+ sky130_fd_sc_hd__nand2_1 _10841_ (.A(_06244_),
+    .B(_06254_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_06256_));
+ sky130_fd_sc_hd__a32o_1 _10842_ (.A1(_00091_),
+    .A2(_06255_),
+    .A3(_06256_),
+    .B1(_06233_),
     .B2(\u_usb_host.u_core.u_sie.token_q[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00134_));
- sky130_fd_sc_hd__clkbuf_2 _10831_ (.A(\u_uart_core.rx_fifo_wr_data[0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06244_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10832_ (.A(_06180_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06245_));
- sky130_fd_sc_hd__clkbuf_1 _10833_ (.A(_06186_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06246_));
- sky130_fd_sc_hd__clkbuf_1 _10834_ (.A(\u_uart_core.rx_fifo_wr ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06247_));
- sky130_fd_sc_hd__nand2_1 _10835_ (.A(_06187_),
-    .B(_06247_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_06248_));
- sky130_fd_sc_hd__nor2_1 _10836_ (.A(_06246_),
-    .B(_06248_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_06249_));
- sky130_fd_sc_hd__and3_1 _10837_ (.A(_06245_),
-    .B(_06183_),
-    .C(_06249_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06250_));
- sky130_fd_sc_hd__buf_2 _10838_ (.A(_06250_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06251_));
- sky130_fd_sc_hd__mux2_1 _10839_ (.A0(\u_uart_core.u_rxfifo.mem[13][0] ),
-    .A1(_06244_),
-    .S(_06251_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06252_));
- sky130_fd_sc_hd__clkbuf_1 _10840_ (.A(_06252_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00135_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10841_ (.A(\u_uart_core.rx_fifo_wr_data[1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06253_));
- sky130_fd_sc_hd__mux2_1 _10842_ (.A0(\u_uart_core.u_rxfifo.mem[13][1] ),
-    .A1(_06253_),
-    .S(_06251_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06254_));
- sky130_fd_sc_hd__clkbuf_1 _10843_ (.A(_06254_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00136_));
- sky130_fd_sc_hd__clkbuf_1 _10844_ (.A(\u_uart_core.rx_fifo_wr_data[2] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06255_));
- sky130_fd_sc_hd__mux2_1 _10845_ (.A0(\u_uart_core.u_rxfifo.mem[13][2] ),
-    .A1(_06255_),
-    .S(_06251_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06256_));
- sky130_fd_sc_hd__clkbuf_1 _10846_ (.A(_06256_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00137_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10847_ (.A(\u_uart_core.rx_fifo_wr_data[3] ),
+ sky130_fd_sc_hd__clkbuf_1 _10843_ (.A(\u_uart_core.rx_fifo_wr_data[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06257_));
- sky130_fd_sc_hd__mux2_1 _10848_ (.A0(\u_uart_core.u_rxfifo.mem[13][3] ),
-    .A1(_06257_),
-    .S(_06251_),
+ sky130_fd_sc_hd__clkbuf_1 _10844_ (.A(\u_uart_core.u_rxfifo.wr_ptr[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06258_));
- sky130_fd_sc_hd__clkbuf_1 _10849_ (.A(_06258_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00138_));
- sky130_fd_sc_hd__clkbuf_1 _10850_ (.A(\u_uart_core.rx_fifo_wr_data[4] ),
+ sky130_fd_sc_hd__clkbuf_1 _10845_ (.A(_06146_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06259_));
- sky130_fd_sc_hd__buf_2 _10851_ (.A(_06250_),
+ sky130_fd_sc_hd__clkbuf_1 _10846_ (.A(_06148_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06260_));
- sky130_fd_sc_hd__mux2_1 _10852_ (.A0(\u_uart_core.u_rxfifo.mem[13][4] ),
-    .A1(_06259_),
-    .S(_06260_),
+ sky130_fd_sc_hd__nor2_1 _10847_ (.A(_06260_),
+    .B(_06151_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06261_));
- sky130_fd_sc_hd__clkbuf_1 _10853_ (.A(_06261_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00139_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10854_ (.A(\u_uart_core.rx_fifo_wr_data[5] ),
+    .Y(_06261_));
+ sky130_fd_sc_hd__and3_2 _10848_ (.A(_06258_),
+    .B(_06259_),
+    .C(_06261_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06262_));
- sky130_fd_sc_hd__mux2_1 _10855_ (.A0(\u_uart_core.u_rxfifo.mem[13][5] ),
-    .A1(_06262_),
-    .S(_06260_),
+ sky130_fd_sc_hd__buf_2 _10849_ (.A(_06262_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06263_));
- sky130_fd_sc_hd__clkbuf_1 _10856_ (.A(_06263_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00140_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10857_ (.A(\u_uart_core.rx_fifo_wr_data[6] ),
+ sky130_fd_sc_hd__mux2_1 _10850_ (.A0(\u_uart_core.u_rxfifo.mem[13][0] ),
+    .A1(_06257_),
+    .S(_06263_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06264_));
- sky130_fd_sc_hd__mux2_1 _10858_ (.A0(\u_uart_core.u_rxfifo.mem[13][6] ),
-    .A1(_06264_),
-    .S(_06260_),
+ sky130_fd_sc_hd__clkbuf_1 _10851_ (.A(_06264_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00135_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10852_ (.A(\u_uart_core.rx_fifo_wr_data[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06265_));
- sky130_fd_sc_hd__clkbuf_1 _10859_ (.A(_06265_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00141_));
- sky130_fd_sc_hd__clkbuf_2 _10860_ (.A(\u_uart_core.rx_fifo_wr_data[7] ),
+ sky130_fd_sc_hd__mux2_1 _10853_ (.A0(\u_uart_core.u_rxfifo.mem[13][1] ),
+    .A1(_06265_),
+    .S(_06263_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06266_));
- sky130_fd_sc_hd__mux2_1 _10861_ (.A0(\u_uart_core.u_rxfifo.mem[13][7] ),
-    .A1(_06266_),
-    .S(_06260_),
+ sky130_fd_sc_hd__clkbuf_1 _10854_ (.A(_06266_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00136_));
+ sky130_fd_sc_hd__clkbuf_1 _10855_ (.A(\u_uart_core.rx_fifo_wr_data[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06267_));
- sky130_fd_sc_hd__clkbuf_1 _10862_ (.A(_06267_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00142_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10863_ (.A(_06187_),
+ sky130_fd_sc_hd__mux2_1 _10856_ (.A0(\u_uart_core.u_rxfifo.mem[13][2] ),
+    .A1(_06267_),
+    .S(_06263_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06268_));
- sky130_fd_sc_hd__nand2_1 _10864_ (.A(_06246_),
-    .B(_06247_),
+ sky130_fd_sc_hd__clkbuf_1 _10857_ (.A(_06268_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06269_));
- sky130_fd_sc_hd__nor2_1 _10865_ (.A(_06268_),
-    .B(_06269_),
+    .X(_00137_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10858_ (.A(\u_uart_core.rx_fifo_wr_data[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06270_));
- sky130_fd_sc_hd__nand3_1 _10866_ (.A(_06181_),
-    .B(_06184_),
-    .C(_06270_),
+    .X(_06269_));
+ sky130_fd_sc_hd__mux2_1 _10859_ (.A0(\u_uart_core.u_rxfifo.mem[13][3] ),
+    .A1(_06269_),
+    .S(_06263_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06271_));
- sky130_fd_sc_hd__clkbuf_2 _10867_ (.A(_06271_),
+    .X(_06270_));
+ sky130_fd_sc_hd__clkbuf_1 _10860_ (.A(_06270_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00138_));
+ sky130_fd_sc_hd__clkbuf_2 _10861_ (.A(\u_uart_core.rx_fifo_wr_data[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06271_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10862_ (.A(_06262_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06272_));
- sky130_fd_sc_hd__mux2_1 _10868_ (.A0(_06179_),
-    .A1(\u_uart_core.u_rxfifo.mem[14][0] ),
+ sky130_fd_sc_hd__mux2_1 _10863_ (.A0(\u_uart_core.u_rxfifo.mem[13][4] ),
+    .A1(_06271_),
     .S(_06272_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06273_));
- sky130_fd_sc_hd__clkbuf_1 _10869_ (.A(_06273_),
+ sky130_fd_sc_hd__clkbuf_1 _10864_ (.A(_06273_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00143_));
- sky130_fd_sc_hd__mux2_1 _10870_ (.A0(_06194_),
-    .A1(\u_uart_core.u_rxfifo.mem[14][1] ),
-    .S(_06272_),
+    .X(_00139_));
+ sky130_fd_sc_hd__clkbuf_2 _10865_ (.A(\u_uart_core.rx_fifo_wr_data[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06274_));
- sky130_fd_sc_hd__clkbuf_1 _10871_ (.A(_06274_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00144_));
- sky130_fd_sc_hd__mux2_1 _10872_ (.A0(_06197_),
-    .A1(\u_uart_core.u_rxfifo.mem[14][2] ),
+ sky130_fd_sc_hd__mux2_1 _10866_ (.A0(\u_uart_core.u_rxfifo.mem[13][5] ),
+    .A1(_06274_),
     .S(_06272_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06275_));
- sky130_fd_sc_hd__clkbuf_1 _10873_ (.A(_06275_),
+ sky130_fd_sc_hd__clkbuf_1 _10867_ (.A(_06275_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00145_));
- sky130_fd_sc_hd__mux2_1 _10874_ (.A0(_06200_),
-    .A1(\u_uart_core.u_rxfifo.mem[14][3] ),
-    .S(_06272_),
+    .X(_00140_));
+ sky130_fd_sc_hd__clkbuf_2 _10868_ (.A(\u_uart_core.rx_fifo_wr_data[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06276_));
- sky130_fd_sc_hd__clkbuf_1 _10875_ (.A(_06276_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00146_));
- sky130_fd_sc_hd__buf_2 _10876_ (.A(_06271_),
+ sky130_fd_sc_hd__mux2_1 _10869_ (.A0(\u_uart_core.u_rxfifo.mem[13][6] ),
+    .A1(_06276_),
+    .S(_06272_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06277_));
- sky130_fd_sc_hd__mux2_1 _10877_ (.A0(_06204_),
-    .A1(\u_uart_core.u_rxfifo.mem[14][4] ),
-    .S(_06277_),
+ sky130_fd_sc_hd__clkbuf_1 _10870_ (.A(_06277_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00141_));
+ sky130_fd_sc_hd__clkbuf_2 _10871_ (.A(\u_uart_core.rx_fifo_wr_data[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06278_));
- sky130_fd_sc_hd__clkbuf_1 _10878_ (.A(_06278_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00147_));
- sky130_fd_sc_hd__mux2_1 _10879_ (.A0(_06207_),
-    .A1(\u_uart_core.u_rxfifo.mem[14][5] ),
-    .S(_06277_),
+ sky130_fd_sc_hd__mux2_1 _10872_ (.A0(\u_uart_core.u_rxfifo.mem[13][7] ),
+    .A1(_06278_),
+    .S(_06272_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06279_));
- sky130_fd_sc_hd__clkbuf_1 _10880_ (.A(_06279_),
+ sky130_fd_sc_hd__clkbuf_1 _10873_ (.A(_06279_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00148_));
- sky130_fd_sc_hd__mux2_1 _10881_ (.A0(_06210_),
-    .A1(\u_uart_core.u_rxfifo.mem[14][6] ),
-    .S(_06277_),
+    .X(_00142_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10874_ (.A(_06142_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06280_));
- sky130_fd_sc_hd__clkbuf_1 _10882_ (.A(_06280_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00149_));
- sky130_fd_sc_hd__mux2_1 _10883_ (.A0(_06213_),
-    .A1(\u_uart_core.u_rxfifo.mem[14][7] ),
-    .S(_06277_),
+ sky130_fd_sc_hd__clkbuf_1 _10875_ (.A(\u_uart_core.rx_fifo_wr ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06281_));
- sky130_fd_sc_hd__clkbuf_1 _10884_ (.A(_06281_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00150_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10885_ (.A(_06189_),
+ sky130_fd_sc_hd__and3b_1 _10876_ (.A_N(_06150_),
+    .B(_06260_),
+    .C(_06281_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06282_));
- sky130_fd_sc_hd__nor2b_1 _10886_ (.A(_06182_),
-    .B_N(_06180_),
+ sky130_fd_sc_hd__and3_1 _10877_ (.A(_06258_),
+    .B(_06259_),
+    .C(_06282_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06283_));
- sky130_fd_sc_hd__nand2_1 _10887_ (.A(_06282_),
-    .B(_06283_),
+    .X(_06283_));
+ sky130_fd_sc_hd__clkbuf_2 _10878_ (.A(_06283_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06284_));
- sky130_fd_sc_hd__buf_2 _10888_ (.A(_06284_),
+    .X(_06284_));
+ sky130_fd_sc_hd__mux2_1 _10879_ (.A0(\u_uart_core.u_rxfifo.mem[14][0] ),
+    .A1(_06280_),
+    .S(_06284_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06285_));
- sky130_fd_sc_hd__mux2_1 _10889_ (.A0(_06179_),
-    .A1(\u_uart_core.u_rxfifo.mem[11][0] ),
-    .S(_06285_),
+ sky130_fd_sc_hd__clkbuf_1 _10880_ (.A(_06285_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00143_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10881_ (.A(_06156_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06286_));
- sky130_fd_sc_hd__clkbuf_1 _10890_ (.A(_06286_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00151_));
- sky130_fd_sc_hd__mux2_1 _10891_ (.A0(_06194_),
-    .A1(\u_uart_core.u_rxfifo.mem[11][1] ),
-    .S(_06285_),
+ sky130_fd_sc_hd__mux2_1 _10882_ (.A0(\u_uart_core.u_rxfifo.mem[14][1] ),
+    .A1(_06286_),
+    .S(_06284_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06287_));
- sky130_fd_sc_hd__clkbuf_1 _10892_ (.A(_06287_),
+ sky130_fd_sc_hd__clkbuf_1 _10883_ (.A(_06287_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00152_));
- sky130_fd_sc_hd__mux2_1 _10893_ (.A0(_06197_),
-    .A1(\u_uart_core.u_rxfifo.mem[11][2] ),
-    .S(_06285_),
+    .X(_00144_));
+ sky130_fd_sc_hd__clkbuf_2 _10884_ (.A(_06159_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06288_));
- sky130_fd_sc_hd__clkbuf_1 _10894_ (.A(_06288_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00153_));
- sky130_fd_sc_hd__mux2_1 _10895_ (.A0(_06200_),
-    .A1(\u_uart_core.u_rxfifo.mem[11][3] ),
-    .S(_06285_),
+ sky130_fd_sc_hd__mux2_1 _10885_ (.A0(\u_uart_core.u_rxfifo.mem[14][2] ),
+    .A1(_06288_),
+    .S(_06284_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06289_));
- sky130_fd_sc_hd__clkbuf_1 _10896_ (.A(_06289_),
+ sky130_fd_sc_hd__clkbuf_1 _10886_ (.A(_06289_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00154_));
- sky130_fd_sc_hd__buf_2 _10897_ (.A(_06284_),
+    .X(_00145_));
+ sky130_fd_sc_hd__mux2_1 _10887_ (.A0(\u_uart_core.u_rxfifo.mem[14][3] ),
+    .A1(_06269_),
+    .S(_06284_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06290_));
- sky130_fd_sc_hd__mux2_1 _10898_ (.A0(_06204_),
-    .A1(\u_uart_core.u_rxfifo.mem[11][4] ),
-    .S(_06290_),
+ sky130_fd_sc_hd__clkbuf_1 _10888_ (.A(_06290_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00146_));
+ sky130_fd_sc_hd__clkbuf_4 _10889_ (.A(_06166_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06291_));
- sky130_fd_sc_hd__clkbuf_1 _10899_ (.A(_06291_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00155_));
- sky130_fd_sc_hd__mux2_1 _10900_ (.A0(_06207_),
-    .A1(\u_uart_core.u_rxfifo.mem[11][5] ),
-    .S(_06290_),
+ sky130_fd_sc_hd__clkbuf_2 _10890_ (.A(_06283_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06292_));
- sky130_fd_sc_hd__clkbuf_1 _10901_ (.A(_06292_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00156_));
- sky130_fd_sc_hd__mux2_1 _10902_ (.A0(_06210_),
-    .A1(\u_uart_core.u_rxfifo.mem[11][6] ),
-    .S(_06290_),
+ sky130_fd_sc_hd__mux2_1 _10891_ (.A0(\u_uart_core.u_rxfifo.mem[14][4] ),
+    .A1(_06291_),
+    .S(_06292_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06293_));
- sky130_fd_sc_hd__clkbuf_1 _10903_ (.A(_06293_),
+ sky130_fd_sc_hd__clkbuf_1 _10892_ (.A(_06293_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00157_));
- sky130_fd_sc_hd__mux2_1 _10904_ (.A0(_06213_),
-    .A1(\u_uart_core.u_rxfifo.mem[11][7] ),
-    .S(_06290_),
+    .X(_00147_));
+ sky130_fd_sc_hd__buf_2 _10893_ (.A(_06169_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06294_));
- sky130_fd_sc_hd__clkbuf_1 _10905_ (.A(_06294_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00158_));
- sky130_fd_sc_hd__clkbuf_2 _10906_ (.A(_06178_),
+ sky130_fd_sc_hd__mux2_1 _10894_ (.A0(\u_uart_core.u_rxfifo.mem[14][5] ),
+    .A1(_06294_),
+    .S(_06292_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06295_));
- sky130_fd_sc_hd__or3_1 _10907_ (.A(_06186_),
-    .B(_06187_),
-    .C(_06185_),
+ sky130_fd_sc_hd__clkbuf_1 _10895_ (.A(_06295_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00148_));
+ sky130_fd_sc_hd__buf_2 _10896_ (.A(_06172_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06296_));
- sky130_fd_sc_hd__inv_2 _10908_ (.A(_06296_),
+ sky130_fd_sc_hd__mux2_1 _10897_ (.A0(\u_uart_core.u_rxfifo.mem[14][6] ),
+    .A1(_06296_),
+    .S(_06292_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06297_));
- sky130_fd_sc_hd__and3_1 _10909_ (.A(_06245_),
-    .B(_06183_),
-    .C(_06297_),
+    .X(_06297_));
+ sky130_fd_sc_hd__clkbuf_1 _10898_ (.A(_06297_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00149_));
+ sky130_fd_sc_hd__mux2_1 _10899_ (.A0(\u_uart_core.u_rxfifo.mem[14][7] ),
+    .A1(_06278_),
+    .S(_06292_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06298_));
- sky130_fd_sc_hd__clkbuf_2 _10910_ (.A(_06298_),
+ sky130_fd_sc_hd__clkbuf_1 _10900_ (.A(_06298_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00150_));
+ sky130_fd_sc_hd__clkbuf_2 _10901_ (.A(_06152_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06299_));
- sky130_fd_sc_hd__mux2_1 _10911_ (.A0(\u_uart_core.u_rxfifo.mem[12][0] ),
-    .A1(_06295_),
-    .S(_06299_),
+ sky130_fd_sc_hd__nor2b_2 _10902_ (.A(_06146_),
+    .B_N(\u_uart_core.u_rxfifo.wr_ptr[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06300_));
- sky130_fd_sc_hd__clkbuf_1 _10912_ (.A(_06300_),
+    .Y(_06300_));
+ sky130_fd_sc_hd__nand2_2 _10903_ (.A(_06299_),
+    .B(_06300_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00159_));
- sky130_fd_sc_hd__clkbuf_2 _10913_ (.A(_06193_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06301_));
- sky130_fd_sc_hd__mux2_1 _10914_ (.A0(\u_uart_core.u_rxfifo.mem[12][1] ),
-    .A1(_06301_),
-    .S(_06299_),
+    .Y(_06301_));
+ sky130_fd_sc_hd__clkbuf_2 _10904_ (.A(_06301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06302_));
- sky130_fd_sc_hd__clkbuf_1 _10915_ (.A(_06302_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00160_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10916_ (.A(_06196_),
+ sky130_fd_sc_hd__mux2_1 _10905_ (.A0(_06143_),
+    .A1(\u_uart_core.u_rxfifo.mem[11][0] ),
+    .S(_06302_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06303_));
- sky130_fd_sc_hd__mux2_1 _10917_ (.A0(\u_uart_core.u_rxfifo.mem[12][2] ),
-    .A1(_06303_),
-    .S(_06299_),
+ sky130_fd_sc_hd__clkbuf_1 _10906_ (.A(_06303_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00151_));
+ sky130_fd_sc_hd__mux2_1 _10907_ (.A0(_06157_),
+    .A1(\u_uart_core.u_rxfifo.mem[11][1] ),
+    .S(_06302_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06304_));
- sky130_fd_sc_hd__clkbuf_1 _10918_ (.A(_06304_),
+ sky130_fd_sc_hd__clkbuf_1 _10908_ (.A(_06304_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00161_));
- sky130_fd_sc_hd__mux2_1 _10919_ (.A0(\u_uart_core.u_rxfifo.mem[12][3] ),
-    .A1(_06257_),
-    .S(_06299_),
+    .X(_00152_));
+ sky130_fd_sc_hd__mux2_1 _10909_ (.A0(_06160_),
+    .A1(\u_uart_core.u_rxfifo.mem[11][2] ),
+    .S(_06302_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06305_));
- sky130_fd_sc_hd__clkbuf_1 _10920_ (.A(_06305_),
+ sky130_fd_sc_hd__clkbuf_1 _10910_ (.A(_06305_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00162_));
- sky130_fd_sc_hd__clkbuf_2 _10921_ (.A(_06203_),
+    .X(_00153_));
+ sky130_fd_sc_hd__mux2_1 _10911_ (.A0(_06163_),
+    .A1(\u_uart_core.u_rxfifo.mem[11][3] ),
+    .S(_06302_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06306_));
- sky130_fd_sc_hd__buf_2 _10922_ (.A(_06298_),
+ sky130_fd_sc_hd__clkbuf_1 _10912_ (.A(_06306_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00154_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10913_ (.A(_06301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06307_));
- sky130_fd_sc_hd__mux2_1 _10923_ (.A0(\u_uart_core.u_rxfifo.mem[12][4] ),
-    .A1(_06306_),
+ sky130_fd_sc_hd__mux2_1 _10914_ (.A0(_06167_),
+    .A1(\u_uart_core.u_rxfifo.mem[11][4] ),
     .S(_06307_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06308_));
- sky130_fd_sc_hd__clkbuf_1 _10924_ (.A(_06308_),
+ sky130_fd_sc_hd__clkbuf_1 _10915_ (.A(_06308_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00163_));
- sky130_fd_sc_hd__clkbuf_2 _10925_ (.A(_06206_),
+    .X(_00155_));
+ sky130_fd_sc_hd__mux2_1 _10916_ (.A0(_06170_),
+    .A1(\u_uart_core.u_rxfifo.mem[11][5] ),
+    .S(_06307_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06309_));
- sky130_fd_sc_hd__mux2_1 _10926_ (.A0(\u_uart_core.u_rxfifo.mem[12][5] ),
-    .A1(_06309_),
+ sky130_fd_sc_hd__clkbuf_1 _10917_ (.A(_06309_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00156_));
+ sky130_fd_sc_hd__mux2_1 _10918_ (.A0(_06173_),
+    .A1(\u_uart_core.u_rxfifo.mem[11][6] ),
     .S(_06307_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06310_));
- sky130_fd_sc_hd__clkbuf_1 _10927_ (.A(_06310_),
+ sky130_fd_sc_hd__clkbuf_1 _10919_ (.A(_06310_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00164_));
- sky130_fd_sc_hd__clkbuf_2 _10928_ (.A(_06209_),
+    .X(_00157_));
+ sky130_fd_sc_hd__mux2_1 _10920_ (.A0(_06176_),
+    .A1(\u_uart_core.u_rxfifo.mem[11][7] ),
+    .S(_06307_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06311_));
- sky130_fd_sc_hd__mux2_1 _10929_ (.A0(\u_uart_core.u_rxfifo.mem[12][6] ),
-    .A1(_06311_),
-    .S(_06307_),
+ sky130_fd_sc_hd__clkbuf_1 _10921_ (.A(_06311_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06312_));
- sky130_fd_sc_hd__clkbuf_1 _10930_ (.A(_06312_),
+    .X(_00158_));
+ sky130_fd_sc_hd__nand2_1 _10922_ (.A(_06258_),
+    .B(_06259_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00165_));
- sky130_fd_sc_hd__mux2_1 _10931_ (.A0(\u_uart_core.u_rxfifo.mem[12][7] ),
-    .A1(_06266_),
-    .S(_06307_),
+    .Y(_06312_));
+ sky130_fd_sc_hd__inv_2 _10923_ (.A(\u_uart_core.rx_fifo_wr ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06313_));
- sky130_fd_sc_hd__clkbuf_1 _10932_ (.A(_06313_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00166_));
- sky130_fd_sc_hd__or3b_2 _10933_ (.A(\u_uart_core.u_rxfsm.rxstate[2] ),
-    .B(\u_uart_core.u_rxfsm.rxstate[0] ),
-    .C_N(_05397_),
+    .Y(_06313_));
+ sky130_fd_sc_hd__or3_1 _10924_ (.A(_06150_),
+    .B(_06148_),
+    .C(_06313_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06314_));
- sky130_fd_sc_hd__inv_2 _10934_ (.A(_06314_),
+ sky130_fd_sc_hd__or2_4 _10925_ (.A(_06312_),
+    .B(_06314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06315_));
- sky130_fd_sc_hd__or2_1 _10935_ (.A(_05396_),
-    .B(_05413_),
+    .X(_06315_));
+ sky130_fd_sc_hd__clkbuf_2 _10926_ (.A(_06315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06316_));
- sky130_fd_sc_hd__nand2_1 _10936_ (.A(_05414_),
-    .B(\u_uart_core.cfg_stop_bit ),
+ sky130_fd_sc_hd__mux2_1 _10927_ (.A0(_06143_),
+    .A1(\u_uart_core.u_rxfifo.mem[12][0] ),
+    .S(_06316_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06317_));
- sky130_fd_sc_hd__nor2_1 _10937_ (.A(_06316_),
-    .B(_06317_),
+    .X(_06317_));
+ sky130_fd_sc_hd__clkbuf_1 _10928_ (.A(_06317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06318_));
- sky130_fd_sc_hd__a211o_1 _10938_ (.A1(_05441_),
-    .A2(_06315_),
-    .B1(_06318_),
-    .C1(_05398_),
+    .X(_00159_));
+ sky130_fd_sc_hd__mux2_1 _10929_ (.A0(_06157_),
+    .A1(\u_uart_core.u_rxfifo.mem[12][1] ),
+    .S(_06316_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06318_));
+ sky130_fd_sc_hd__clkbuf_1 _10930_ (.A(_06318_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00160_));
+ sky130_fd_sc_hd__mux2_1 _10931_ (.A0(_06160_),
+    .A1(\u_uart_core.u_rxfifo.mem[12][2] ),
+    .S(_06316_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06319_));
- sky130_fd_sc_hd__nor2_1 _10939_ (.A(_05405_),
-    .B(_05413_),
+ sky130_fd_sc_hd__clkbuf_1 _10932_ (.A(_06319_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06320_));
- sky130_fd_sc_hd__or3b_2 _10940_ (.A(\u_uart_core.si_ss ),
-    .B(\u_uart_core.rx_fifo_wr_full ),
-    .C_N(\u_uart_core.cfg_rx_enable ),
+    .X(_00161_));
+ sky130_fd_sc_hd__mux2_1 _10933_ (.A0(_06163_),
+    .A1(\u_uart_core.u_rxfifo.mem[12][3] ),
+    .S(_06316_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06320_));
+ sky130_fd_sc_hd__clkbuf_1 _10934_ (.A(_06320_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00162_));
+ sky130_fd_sc_hd__clkbuf_2 _10935_ (.A(_06315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06321_));
- sky130_fd_sc_hd__nand3_2 _10941_ (.A(\u_uart_core.u_rxfsm.cnt[2] ),
+ sky130_fd_sc_hd__mux2_1 _10936_ (.A0(_06167_),
+    .A1(\u_uart_core.u_rxfifo.mem[12][4] ),
+    .S(_06321_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06322_));
+ sky130_fd_sc_hd__clkbuf_1 _10937_ (.A(_06322_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00163_));
+ sky130_fd_sc_hd__mux2_1 _10938_ (.A0(_06170_),
+    .A1(\u_uart_core.u_rxfifo.mem[12][5] ),
+    .S(_06321_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06323_));
+ sky130_fd_sc_hd__clkbuf_1 _10939_ (.A(_06323_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00164_));
+ sky130_fd_sc_hd__mux2_1 _10940_ (.A0(_06173_),
+    .A1(\u_uart_core.u_rxfifo.mem[12][6] ),
+    .S(_06321_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06324_));
+ sky130_fd_sc_hd__clkbuf_1 _10941_ (.A(_06324_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00165_));
+ sky130_fd_sc_hd__mux2_1 _10942_ (.A0(_06176_),
+    .A1(\u_uart_core.u_rxfifo.mem[12][7] ),
+    .S(_06321_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06325_));
+ sky130_fd_sc_hd__clkbuf_1 _10943_ (.A(_06325_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00166_));
+ sky130_fd_sc_hd__and4b_1 _10944_ (.A_N(_05376_),
+    .B(_05392_),
+    .C(\u_uart_core.cfg_stop_bit ),
+    .D(_05394_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06326_));
+ sky130_fd_sc_hd__or3b_1 _10945_ (.A(\u_uart_core.u_rxfsm.rxstate[2] ),
+    .B(\u_uart_core.u_rxfsm.rxstate[0] ),
+    .C_N(\u_uart_core.u_rxfsm.rxstate[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06327_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10946_ (.A(_06327_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06328_));
+ sky130_fd_sc_hd__nor2_1 _10947_ (.A(_05421_),
+    .B(_06328_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_06329_));
+ sky130_fd_sc_hd__or3_1 _10948_ (.A(_05378_),
+    .B(_06326_),
+    .C(_06329_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06330_));
+ sky130_fd_sc_hd__nand3_1 _10949_ (.A(\u_uart_core.u_rxfsm.cnt[2] ),
     .B(\u_uart_core.u_rxfsm.cnt[1] ),
     .C(\u_uart_core.u_rxfsm.cnt[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06322_));
- sky130_fd_sc_hd__or3b_2 _10942_ (.A(\u_uart_core.u_rxfsm.rxstate[2] ),
-    .B(\u_uart_core.u_rxfsm.rxstate[1] ),
-    .C_N(\u_uart_core.u_rxfsm.rxstate[0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06323_));
- sky130_fd_sc_hd__a21o_1 _10943_ (.A1(\u_uart_core.si_ss ),
-    .A2(_06322_),
-    .B1(_06323_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06324_));
- sky130_fd_sc_hd__o21ba_1 _10944_ (.A1(_05414_),
-    .A2(_06322_),
-    .B1_N(_06324_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06325_));
- sky130_fd_sc_hd__nand3b_1 _10945_ (.A_N(_05406_),
-    .B(_05396_),
-    .C(_05408_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_06326_));
- sky130_fd_sc_hd__clkbuf_1 _10946_ (.A(\u_uart_core.u_rxfsm.cnt[2] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06327_));
- sky130_fd_sc_hd__a41o_1 _10947_ (.A1(_06327_),
-    .A2(\u_uart_core.u_rxfsm.cnt[1] ),
-    .A3(\u_uart_core.u_rxfsm.cnt[0] ),
-    .A4(_05403_),
-    .B1(_06314_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06328_));
- sky130_fd_sc_hd__o21ai_1 _10948_ (.A1(_05404_),
-    .A2(_06326_),
-    .B1(_06328_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_06329_));
- sky130_fd_sc_hd__a211o_1 _10949_ (.A1(_05398_),
-    .A2(_06321_),
-    .B1(_06325_),
-    .C1(_06329_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06330_));
- sky130_fd_sc_hd__nor2_1 _10950_ (.A(_06320_),
-    .B(_06330_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
     .Y(_06331_));
- sky130_fd_sc_hd__mux2_1 _10951_ (.A0(_05407_),
-    .A1(_06319_),
-    .S(_06331_),
+ sky130_fd_sc_hd__clkbuf_1 _10950_ (.A(_06331_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06332_));
- sky130_fd_sc_hd__clkbuf_1 _10952_ (.A(_06332_),
+ sky130_fd_sc_hd__nor2_1 _10951_ (.A(_05383_),
+    .B(_06328_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00167_));
- sky130_fd_sc_hd__clkbuf_1 _10953_ (.A(_06314_),
+    .Y(_06333_));
+ sky130_fd_sc_hd__o21a_1 _10952_ (.A1(_05388_),
+    .A2(_05395_),
+    .B1(_05383_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06333_));
- sky130_fd_sc_hd__o21ai_1 _10954_ (.A1(_05440_),
-    .A2(_06333_),
-    .B1(_06324_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_06334_));
- sky130_fd_sc_hd__a21o_1 _10955_ (.A1(_06331_),
-    .A2(_06334_),
-    .B1(_06329_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00168_));
- sky130_fd_sc_hd__a211o_1 _10956_ (.A1(_05440_),
-    .A2(_06315_),
-    .B1(_06318_),
-    .C1(_05409_),
+    .X(_06334_));
+ sky130_fd_sc_hd__or3b_2 _10953_ (.A(\u_uart_core.si_ss ),
+    .B(\u_uart_core.rx_fifo_wr_full ),
+    .C_N(\u_uart_core.cfg_rx_enable ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06335_));
- sky130_fd_sc_hd__a21o_1 _10957_ (.A1(_06331_),
-    .A2(_06335_),
-    .B1(_06320_),
+ sky130_fd_sc_hd__or2_1 _10954_ (.A(\u_uart_core.si_ss ),
+    .B(_06331_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00169_));
- sky130_fd_sc_hd__inv_2 _10958_ (.A(\u_uart_core.u_txfifo.rd_ptr[1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_06336_));
- sky130_fd_sc_hd__clkbuf_1 _10959_ (.A(_06336_),
+    .X(_06336_));
+ sky130_fd_sc_hd__or3b_1 _10955_ (.A(\u_uart_core.u_rxfsm.rxstate[2] ),
+    .B(\u_uart_core.u_rxfsm.rxstate[1] ),
+    .C_N(_05376_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06337_));
- sky130_fd_sc_hd__clkbuf_2 _10960_ (.A(_06337_),
+ sky130_fd_sc_hd__a21oi_1 _10956_ (.A1(_05392_),
+    .A2(_06332_),
+    .B1(_06337_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06338_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10961_ (.A(\u_uart_core.tx_fifo_rd ),
+    .Y(_06338_));
+ sky130_fd_sc_hd__a22o_1 _10957_ (.A1(_05378_),
+    .A2(_06335_),
+    .B1(_06336_),
+    .B2(_06338_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06339_));
- sky130_fd_sc_hd__inv_2 _10962_ (.A(_06339_),
+ sky130_fd_sc_hd__a211o_1 _10958_ (.A1(_06332_),
+    .A2(_06333_),
+    .B1(_06334_),
+    .C1(_06339_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06340_));
- sky130_fd_sc_hd__mux2_1 _10963_ (.A0(_06338_),
-    .A1(\u_uart_core.u_txfifo.grey_rd_ptr[0] ),
+    .X(_06340_));
+ sky130_fd_sc_hd__mux2_1 _10959_ (.A0(_06330_),
+    .A1(_05387_),
     .S(_06340_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06341_));
- sky130_fd_sc_hd__clkbuf_1 _10964_ (.A(_06341_),
+ sky130_fd_sc_hd__clkbuf_1 _10960_ (.A(_06341_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00170_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10965_ (.A(\u_uart_core.u_txfifo.rd_ptr[1] ),
+    .X(_00167_));
+ sky130_fd_sc_hd__or2_1 _10961_ (.A(_06338_),
+    .B(_06329_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06342_));
- sky130_fd_sc_hd__clkbuf_1 _10966_ (.A(_06342_),
+ sky130_fd_sc_hd__mux2_1 _10962_ (.A0(_06342_),
+    .A1(_05398_),
+    .S(_06340_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06343_));
- sky130_fd_sc_hd__clkbuf_2 _10967_ (.A(\u_uart_core.u_txfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__clkbuf_1 _10963_ (.A(_06343_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06344_));
- sky130_fd_sc_hd__clkbuf_2 _10968_ (.A(_06344_),
+    .X(_00168_));
+ sky130_fd_sc_hd__inv_2 _10964_ (.A(_06327_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06345_));
- sky130_fd_sc_hd__clkbuf_1 _10969_ (.A(_06345_),
+    .Y(_06344_));
+ sky130_fd_sc_hd__a211oi_1 _10965_ (.A1(_05421_),
+    .A2(_06344_),
+    .B1(_06326_),
+    .C1(_05389_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06346_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10970_ (.A(_06346_),
+    .Y(_06345_));
+ sky130_fd_sc_hd__a2bb2o_1 _10966_ (.A1_N(_06340_),
+    .A2_N(_06345_),
+    .B1(_05384_),
+    .B2(_05395_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00169_));
+ sky130_fd_sc_hd__inv_2 _10967_ (.A(\u_uart_core.u_txfifo.rd_ptr[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_06346_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10968_ (.A(_06346_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06347_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10971_ (.A(\u_uart_core.u_txfifo.rd_ptr[2] ),
+ sky130_fd_sc_hd__clkbuf_2 _10969_ (.A(_06347_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06348_));
- sky130_fd_sc_hd__clkbuf_1 _10972_ (.A(_06348_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10970_ (.A(\u_uart_core.tx_fifo_rd ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06349_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10973_ (.A(_06349_),
+ sky130_fd_sc_hd__inv_2 _10971_ (.A(_06349_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06350_));
- sky130_fd_sc_hd__o21ai_1 _10974_ (.A1(_06343_),
-    .A2(_06347_),
-    .B1(_06350_),
+    .Y(_06350_));
+ sky130_fd_sc_hd__mux2_1 _10972_ (.A0(_06348_),
+    .A1(\u_uart_core.u_txfifo.grey_rd_ptr[0] ),
+    .S(_06350_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06351_));
- sky130_fd_sc_hd__buf_2 _10975_ (.A(_06339_),
+    .X(_06351_));
+ sky130_fd_sc_hd__clkbuf_1 _10973_ (.A(_06351_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00170_));
+ sky130_fd_sc_hd__clkbuf_2 _10974_ (.A(\u_uart_core.u_txfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06352_));
- sky130_fd_sc_hd__o31a_1 _10976_ (.A1(_06350_),
-    .A2(_06343_),
-    .A3(_06347_),
-    .B1(_06352_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10975_ (.A(_06352_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06353_));
- sky130_fd_sc_hd__a22o_1 _10977_ (.A1(_06340_),
-    .A2(\u_uart_core.u_txfifo.grey_rd_ptr[1] ),
-    .B1(_06351_),
-    .B2(_06353_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00171_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10978_ (.A(\u_uart_core.u_txfifo.rd_ptr[3] ),
+ sky130_fd_sc_hd__clkbuf_2 _10976_ (.A(\u_uart_core.u_txfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06354_));
- sky130_fd_sc_hd__clkbuf_2 _10979_ (.A(_06354_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10977_ (.A(_06354_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06355_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10980_ (.A(\u_uart_core.u_txfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__clkbuf_1 _10978_ (.A(_06355_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06356_));
- sky130_fd_sc_hd__buf_2 _10981_ (.A(_06356_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10979_ (.A(_06356_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06357_));
- sky130_fd_sc_hd__a21oi_1 _10982_ (.A1(_06357_),
-    .A2(_06346_),
-    .B1(_06349_),
+ sky130_fd_sc_hd__clkbuf_2 _10980_ (.A(\u_uart_core.u_txfifo.rd_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06358_));
- sky130_fd_sc_hd__xnor2_1 _10983_ (.A(_06355_),
-    .B(_06358_),
+    .X(_06358_));
+ sky130_fd_sc_hd__clkbuf_1 _10981_ (.A(_06358_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06359_));
- sky130_fd_sc_hd__mux2_1 _10984_ (.A0(\u_uart_core.u_txfifo.grey_rd_ptr[2] ),
-    .A1(_06359_),
-    .S(_06339_),
+    .X(_06359_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10982_ (.A(_06359_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06360_));
- sky130_fd_sc_hd__clkbuf_1 _10985_ (.A(_06360_),
+ sky130_fd_sc_hd__o21ai_1 _10983_ (.A1(_06353_),
+    .A2(_06357_),
+    .B1(_06360_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00172_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10986_ (.A(\u_uart_core.u_txfifo.rd_ptr[1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06361_));
- sky130_fd_sc_hd__clkbuf_1 _10987_ (.A(_06361_),
+    .Y(_06361_));
+ sky130_fd_sc_hd__clkbuf_2 _10984_ (.A(_06349_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06362_));
- sky130_fd_sc_hd__clkbuf_2 _10988_ (.A(_06345_),
+ sky130_fd_sc_hd__o31a_1 _10985_ (.A1(_06360_),
+    .A2(_06353_),
+    .A3(_06357_),
+    .B1(_06362_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06363_));
- sky130_fd_sc_hd__a31o_1 _10989_ (.A1(_06349_),
-    .A2(_06362_),
-    .A3(_06363_),
-    .B1(_06354_),
+ sky130_fd_sc_hd__a22o_1 _10986_ (.A1(_06350_),
+    .A2(\u_uart_core.u_txfifo.grey_rd_ptr[1] ),
+    .B1(_06361_),
+    .B2(_06363_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00171_));
+ sky130_fd_sc_hd__clkbuf_1 _10987_ (.A(\u_uart_core.u_txfifo.rd_ptr[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06364_));
- sky130_fd_sc_hd__xor2_1 _10990_ (.A(\u_uart_core.u_txfifo.grey_rd_ptr[4] ),
-    .B(_06364_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _10988_ (.A(_06364_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06365_));
- sky130_fd_sc_hd__mux2_1 _10991_ (.A0(\u_uart_core.u_txfifo.grey_rd_ptr[3] ),
-    .A1(_06365_),
-    .S(_06339_),
+ sky130_fd_sc_hd__clkbuf_2 _10989_ (.A(\u_uart_core.u_txfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06366_));
- sky130_fd_sc_hd__clkbuf_1 _10992_ (.A(_06366_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00173_));
- sky130_fd_sc_hd__xor2_1 _10993_ (.A(_06347_),
-    .B(_06352_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00174_));
- sky130_fd_sc_hd__and3_1 _10994_ (.A(_06362_),
-    .B(_06346_),
-    .C(\u_uart_core.tx_fifo_rd ),
+ sky130_fd_sc_hd__clkbuf_2 _10990_ (.A(_06366_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06367_));
- sky130_fd_sc_hd__a21oi_1 _10995_ (.A1(_06347_),
-    .A2(_06352_),
-    .B1(_06343_),
+ sky130_fd_sc_hd__a21oi_1 _10991_ (.A1(_06367_),
+    .A2(_06356_),
+    .B1(_06359_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06368_));
- sky130_fd_sc_hd__nor2_1 _10996_ (.A(_06367_),
+ sky130_fd_sc_hd__xnor2_1 _10992_ (.A(_06365_),
     .B(_06368_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_00175_));
- sky130_fd_sc_hd__inv_2 _10997_ (.A(_06348_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
     .Y(_06369_));
- sky130_fd_sc_hd__clkbuf_2 _10998_ (.A(_06369_),
+ sky130_fd_sc_hd__mux2_1 _10993_ (.A0(\u_uart_core.u_txfifo.grey_rd_ptr[2] ),
+    .A1(_06369_),
+    .S(_06349_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06370_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _10999_ (.A(_06370_),
+ sky130_fd_sc_hd__clkbuf_1 _10994_ (.A(_06370_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00172_));
+ sky130_fd_sc_hd__clkbuf_2 _10995_ (.A(\u_uart_core.u_txfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06371_));
- sky130_fd_sc_hd__xnor2_1 _11000_ (.A(_06371_),
-    .B(_06367_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_00176_));
- sky130_fd_sc_hd__clkbuf_1 _11001_ (.A(_06349_),
+ sky130_fd_sc_hd__clkbuf_1 _10996_ (.A(_06371_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06372_));
- sky130_fd_sc_hd__and3_1 _11002_ (.A(_06355_),
-    .B(_06372_),
-    .C(_06367_),
+ sky130_fd_sc_hd__clkbuf_4 _10997_ (.A(_06355_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06373_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11003_ (.A(_06354_),
+ sky130_fd_sc_hd__a31o_1 _10998_ (.A1(_06359_),
+    .A2(_06372_),
+    .A3(_06373_),
+    .B1(_06364_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06374_));
- sky130_fd_sc_hd__a21oi_1 _11004_ (.A1(_06350_),
-    .A2(_06367_),
-    .B1(_06374_),
+ sky130_fd_sc_hd__xor2_1 _10999_ (.A(\u_uart_core.u_txfifo.grey_rd_ptr[4] ),
+    .B(_06374_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06375_));
- sky130_fd_sc_hd__nor2_1 _11005_ (.A(_06373_),
-    .B(_06375_),
+    .X(_06375_));
+ sky130_fd_sc_hd__mux2_1 _11000_ (.A0(\u_uart_core.u_txfifo.grey_rd_ptr[3] ),
+    .A1(_06375_),
+    .S(_06349_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_00177_));
- sky130_fd_sc_hd__xor2_1 _11006_ (.A(\u_uart_core.u_txfifo.grey_rd_ptr[4] ),
-    .B(_06373_),
+    .X(_06376_));
+ sky130_fd_sc_hd__clkbuf_1 _11001_ (.A(_06376_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00178_));
- sky130_fd_sc_hd__inv_2 _11007_ (.A(_06344_),
+    .X(_00173_));
+ sky130_fd_sc_hd__xor2_1 _11002_ (.A(_06357_),
+    .B(_06362_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06376_));
- sky130_fd_sc_hd__xnor2_1 _11008_ (.A(\u_uart_core.u_txfifo.sync_wr_ptr_1[3] ),
-    .B(\u_uart_core.u_txfifo.sync_wr_ptr[4] ),
+    .X(_00174_));
+ sky130_fd_sc_hd__and3_1 _11003_ (.A(_06372_),
+    .B(_06356_),
+    .C(\u_uart_core.tx_fifo_rd ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06377_));
- sky130_fd_sc_hd__xnor2_2 _11009_ (.A(\u_uart_core.u_txfifo.sync_wr_ptr_1[2] ),
-    .B(_06377_),
+    .X(_06377_));
+ sky130_fd_sc_hd__a21oi_1 _11004_ (.A1(_06357_),
+    .A2(_06362_),
+    .B1(_06353_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06378_));
- sky130_fd_sc_hd__xnor2_1 _11010_ (.A(\u_uart_core.u_txfifo.sync_wr_ptr_1[1] ),
+ sky130_fd_sc_hd__nor2_1 _11005_ (.A(_06377_),
     .B(_06378_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .Y(_00175_));
+ sky130_fd_sc_hd__inv_2 _11006_ (.A(_06358_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .Y(_06379_));
- sky130_fd_sc_hd__xnor2_1 _11011_ (.A(\u_uart_core.u_txfifo.sync_wr_ptr_1[0] ),
-    .B(_06379_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11007_ (.A(_06379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06380_));
- sky130_fd_sc_hd__xnor2_1 _11012_ (.A(_06376_),
-    .B(_06380_),
+    .X(_06380_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11008_ (.A(_06380_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06381_));
- sky130_fd_sc_hd__clkbuf_1 _11013_ (.A(_06379_),
+    .X(_06381_));
+ sky130_fd_sc_hd__xnor2_1 _11009_ (.A(_06381_),
+    .B(_06377_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_00176_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11010_ (.A(_06359_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06382_));
- sky130_fd_sc_hd__inv_2 _11014_ (.A(_06380_),
+ sky130_fd_sc_hd__and3_1 _11011_ (.A(_06365_),
+    .B(_06382_),
+    .C(_06377_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06383_));
- sky130_fd_sc_hd__xnor2_1 _11015_ (.A(_06348_),
-    .B(_06378_),
+    .X(_06383_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11012_ (.A(_06364_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06384_));
- sky130_fd_sc_hd__o2111a_1 _11016_ (.A1(_06361_),
-    .A2(_06382_),
-    .B1(_06383_),
-    .C1(_06384_),
-    .D1(_06345_),
+    .X(_06384_));
+ sky130_fd_sc_hd__a21oi_1 _11013_ (.A1(_06360_),
+    .A2(_06377_),
+    .B1(_06384_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06385_));
- sky130_fd_sc_hd__mux2_1 _11017_ (.A0(\u_uart_core.u_txfifo.sync_wr_ptr_1[2] ),
-    .A1(_06377_),
-    .S(_06369_),
+    .Y(_06385_));
+ sky130_fd_sc_hd__nor2_1 _11014_ (.A(_06383_),
+    .B(_06385_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06386_));
- sky130_fd_sc_hd__nor2_1 _11018_ (.A(\u_uart_core.u_txfifo.rd_ptr[3] ),
-    .B(_06386_),
+    .Y(_00177_));
+ sky130_fd_sc_hd__xor2_1 _11015_ (.A(\u_uart_core.u_txfifo.grey_rd_ptr[4] ),
+    .B(_06383_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00178_));
+ sky130_fd_sc_hd__inv_2 _11016_ (.A(_06354_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_06386_));
+ sky130_fd_sc_hd__xnor2_2 _11017_ (.A(\u_uart_core.u_txfifo.sync_wr_ptr_1[3] ),
+    .B(\u_uart_core.u_txfifo.sync_wr_ptr[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06387_));
- sky130_fd_sc_hd__inv_2 _11019_ (.A(\u_uart_core.u_txfifo.sync_wr_ptr[4] ),
+ sky130_fd_sc_hd__xnor2_2 _11018_ (.A(\u_uart_core.u_txfifo.sync_wr_ptr_1[2] ),
+    .B(_06387_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06388_));
- sky130_fd_sc_hd__nand2_2 _11020_ (.A(\u_uart_core.u_txfifo.rd_ptr[3] ),
-    .B(\u_uart_core.u_txfifo.rd_ptr[2] ),
+ sky130_fd_sc_hd__xnor2_1 _11019_ (.A(\u_uart_core.u_txfifo.sync_wr_ptr_1[1] ),
+    .B(_06388_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06389_));
- sky130_fd_sc_hd__mux2_1 _11021_ (.A0(\u_uart_core.u_txfifo.sync_wr_ptr_1[3] ),
-    .A1(_06388_),
-    .S(_06389_),
+ sky130_fd_sc_hd__xnor2_1 _11020_ (.A(\u_uart_core.u_txfifo.sync_wr_ptr_1[0] ),
+    .B(_06389_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06390_));
- sky130_fd_sc_hd__xnor2_1 _11022_ (.A(\u_uart_core.u_txfifo.grey_rd_ptr[4] ),
+    .Y(_06390_));
+ sky130_fd_sc_hd__xnor2_1 _11021_ (.A(_06386_),
     .B(_06390_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06391_));
- sky130_fd_sc_hd__a21o_1 _11023_ (.A1(\u_uart_core.u_txfifo.rd_ptr[3] ),
-    .A2(_06386_),
-    .B1(_06391_),
+ sky130_fd_sc_hd__clkbuf_1 _11022_ (.A(_06389_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06392_));
- sky130_fd_sc_hd__o211a_1 _11024_ (.A1(_06376_),
-    .A2(\u_uart_core.u_txfifo.sync_wr_ptr_1[0] ),
-    .B1(_06382_),
-    .C1(_06356_),
+ sky130_fd_sc_hd__inv_2 _11023_ (.A(_06390_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06393_));
- sky130_fd_sc_hd__or4_1 _11025_ (.A(_06385_),
-    .B(_06387_),
-    .C(_06392_),
-    .D(_06393_),
+    .Y(_06393_));
+ sky130_fd_sc_hd__xnor2_1 _11024_ (.A(_06358_),
+    .B(_06388_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06394_));
- sky130_fd_sc_hd__a311o_1 _11026_ (.A1(_06346_),
-    .A2(\u_uart_core.u_txfifo.sync_wr_ptr_1[0] ),
-    .A3(_06384_),
-    .B1(_06382_),
-    .C1(_06362_),
+    .Y(_06394_));
+ sky130_fd_sc_hd__o2111a_1 _11025_ (.A1(_06371_),
+    .A2(_06392_),
+    .B1(_06393_),
+    .C1(_06394_),
+    .D1(_06355_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06395_));
- sky130_fd_sc_hd__a21o_1 _11027_ (.A1(_06362_),
-    .A2(_06382_),
-    .B1(_06384_),
+ sky130_fd_sc_hd__mux2_1 _11026_ (.A0(\u_uart_core.u_txfifo.sync_wr_ptr_1[2] ),
+    .A1(_06387_),
+    .S(_06379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06396_));
- sky130_fd_sc_hd__nand2_1 _11028_ (.A(_06395_),
+ sky130_fd_sc_hd__nor2_1 _11027_ (.A(\u_uart_core.u_txfifo.rd_ptr[3] ),
     .B(_06396_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06397_));
- sky130_fd_sc_hd__nor2_1 _11029_ (.A(_06394_),
-    .B(_06397_),
+ sky130_fd_sc_hd__inv_2 _11028_ (.A(\u_uart_core.u_txfifo.sync_wr_ptr[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06398_));
- sky130_fd_sc_hd__a21oi_1 _11030_ (.A1(_06381_),
-    .A2(_06398_),
-    .B1(\u_uart_core.tx_fifo_rd_empty ),
+ sky130_fd_sc_hd__nand2_1 _11029_ (.A(\u_uart_core.u_txfifo.rd_ptr[3] ),
+    .B(\u_uart_core.u_txfifo.rd_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06399_));
- sky130_fd_sc_hd__o31a_1 _11031_ (.A1(_06381_),
-    .A2(_06394_),
-    .A3(_06397_),
-    .B1(_06340_),
+ sky130_fd_sc_hd__mux2_1 _11030_ (.A0(\u_uart_core.u_txfifo.sync_wr_ptr_1[3] ),
+    .A1(_06398_),
+    .S(_06399_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06400_));
- sky130_fd_sc_hd__nor2_1 _11032_ (.A(_06399_),
+ sky130_fd_sc_hd__xnor2_1 _11031_ (.A(\u_uart_core.u_txfifo.grey_rd_ptr[4] ),
     .B(_06400_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_00179_));
- sky130_fd_sc_hd__clkbuf_1 _11033_ (.A(\u_uart_core.u_txfifo.wr_ptr[0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06401_));
- sky130_fd_sc_hd__and3_2 _11034_ (.A(net14),
-    .B(net47),
-    .C(_05789_),
+    .Y(_06401_));
+ sky130_fd_sc_hd__a21o_1 _11032_ (.A1(\u_uart_core.u_txfifo.rd_ptr[3] ),
+    .A2(_06396_),
+    .B1(_06401_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06402_));
- sky130_fd_sc_hd__nor2_1 _11035_ (.A(net7),
-    .B(\u_uart_core.app_tx_fifo_full ),
+ sky130_fd_sc_hd__o211a_1 _11033_ (.A1(_06386_),
+    .A2(\u_uart_core.u_txfifo.sync_wr_ptr_1[0] ),
+    .B1(_06392_),
+    .C1(_06366_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06403_));
- sky130_fd_sc_hd__and4_1 _11036_ (.A(\u_uart_core.reg_ack ),
-    .B(_05972_),
+    .X(_06403_));
+ sky130_fd_sc_hd__or4_1 _11034_ (.A(_06395_),
+    .B(_06397_),
     .C(_06402_),
     .D(_06403_),
     .VGND(vssd1),
@@ -173887,5247 +173375,5355 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06404_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11037_ (.A(_06404_),
+ sky130_fd_sc_hd__a311o_1 _11035_ (.A1(_06356_),
+    .A2(\u_uart_core.u_txfifo.sync_wr_ptr_1[0] ),
+    .A3(_06394_),
+    .B1(_06392_),
+    .C1(_06372_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06405_));
- sky130_fd_sc_hd__or2_1 _11038_ (.A(_06401_),
-    .B(_06405_),
+ sky130_fd_sc_hd__a21o_1 _11036_ (.A1(_06372_),
+    .A2(_06392_),
+    .B1(_06394_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06406_));
- sky130_fd_sc_hd__nand2_1 _11039_ (.A(\u_uart_core.u_txfifo.wr_ptr[0] ),
-    .B(_06404_),
+ sky130_fd_sc_hd__nand2_1 _11037_ (.A(_06405_),
+    .B(_06406_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06407_));
- sky130_fd_sc_hd__clkbuf_1 _11040_ (.A(_06407_),
+ sky130_fd_sc_hd__nor2_1 _11038_ (.A(_06404_),
+    .B(_06407_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06408_));
- sky130_fd_sc_hd__and2_1 _11041_ (.A(_06406_),
-    .B(_06408_),
+    .Y(_06408_));
+ sky130_fd_sc_hd__a21oi_1 _11039_ (.A1(_06391_),
+    .A2(_06408_),
+    .B1(\u_uart_core.tx_fifo_rd_empty ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06409_));
- sky130_fd_sc_hd__clkbuf_1 _11042_ (.A(_06409_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00180_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11043_ (.A(\u_uart_core.u_txfifo.wr_ptr[1] ),
+    .Y(_06409_));
+ sky130_fd_sc_hd__o31a_1 _11040_ (.A1(_06391_),
+    .A2(_06404_),
+    .A3(_06407_),
+    .B1(_06350_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06410_));
- sky130_fd_sc_hd__clkbuf_1 _11044_ (.A(_06410_),
+ sky130_fd_sc_hd__nor2_1 _11041_ (.A(_06409_),
+    .B(_06410_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_00179_));
+ sky130_fd_sc_hd__clkbuf_1 _11042_ (.A(\u_uart_core.u_txfifo.wr_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06411_));
- sky130_fd_sc_hd__and2_1 _11045_ (.A(_06411_),
-    .B(_06408_),
+ sky130_fd_sc_hd__or2_1 _11043_ (.A(_05792_),
+    .B(_06067_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06412_));
- sky130_fd_sc_hd__nor2_2 _11046_ (.A(_06410_),
-    .B(_06408_),
+ sky130_fd_sc_hd__and4bb_1 _11044_ (.A_N(net7),
+    .B_N(\u_uart_core.app_tx_fifo_full ),
+    .C(_06009_),
+    .D(\u_uart_core.reg_ack ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06413_));
- sky130_fd_sc_hd__or2_1 _11047_ (.A(_06412_),
+    .X(_06413_));
+ sky130_fd_sc_hd__and2b_1 _11045_ (.A_N(_06412_),
     .B(_06413_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06414_));
- sky130_fd_sc_hd__clkbuf_1 _11048_ (.A(_06414_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00181_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11049_ (.A(\u_uart_core.u_txfifo.wr_ptr[2] ),
+ sky130_fd_sc_hd__clkbuf_2 _11046_ (.A(_06414_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06415_));
- sky130_fd_sc_hd__clkbuf_1 _11050_ (.A(_06415_),
+ sky130_fd_sc_hd__or2_1 _11047_ (.A(_06411_),
+    .B(_06415_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06416_));
- sky130_fd_sc_hd__clkbuf_2 _11051_ (.A(_06416_),
+ sky130_fd_sc_hd__nand2_2 _11048_ (.A(\u_uart_core.u_txfifo.wr_ptr[0] ),
+    .B(_06414_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06417_));
- sky130_fd_sc_hd__inv_2 _11052_ (.A(_06410_),
+    .Y(_06417_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11049_ (.A(_06417_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06418_));
- sky130_fd_sc_hd__or2_1 _11053_ (.A(_06418_),
-    .B(_06407_),
+    .X(_06418_));
+ sky130_fd_sc_hd__and2_1 _11050_ (.A(_06416_),
+    .B(_06418_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06419_));
- sky130_fd_sc_hd__buf_2 _11054_ (.A(_06419_),
+ sky130_fd_sc_hd__clkbuf_1 _11051_ (.A(_06419_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00180_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11052_ (.A(\u_uart_core.u_txfifo.wr_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06420_));
- sky130_fd_sc_hd__xnor2_1 _11055_ (.A(_06417_),
-    .B(_06420_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11053_ (.A(_06420_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_00182_));
- sky130_fd_sc_hd__inv_2 _11056_ (.A(_06420_),
+    .X(_06421_));
+ sky130_fd_sc_hd__xnor2_1 _11054_ (.A(_06421_),
+    .B(_06418_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06421_));
- sky130_fd_sc_hd__clkbuf_1 _11057_ (.A(\u_uart_core.u_txfifo.wr_ptr[3] ),
+    .Y(_00181_));
+ sky130_fd_sc_hd__clkbuf_1 _11055_ (.A(\u_uart_core.u_txfifo.wr_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06422_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11058_ (.A(_06422_),
+ sky130_fd_sc_hd__clkbuf_1 _11056_ (.A(_06422_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06423_));
- sky130_fd_sc_hd__a21oi_1 _11059_ (.A1(_06417_),
-    .A2(_06421_),
-    .B1(_06423_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11057_ (.A(_06423_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06424_));
- sky130_fd_sc_hd__clkbuf_1 _11060_ (.A(_06422_),
+    .X(_06424_));
+ sky130_fd_sc_hd__clkinv_2 _11058_ (.A(_06420_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06425_));
- sky130_fd_sc_hd__clkbuf_1 _11061_ (.A(_06415_),
+    .Y(_06425_));
+ sky130_fd_sc_hd__or2_1 _11059_ (.A(_06425_),
+    .B(_06417_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06426_));
- sky130_fd_sc_hd__nand2_1 _11062_ (.A(_06425_),
-    .B(_06426_),
+ sky130_fd_sc_hd__buf_2 _11060_ (.A(_06426_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06427_));
- sky130_fd_sc_hd__nor2_2 _11063_ (.A(_06419_),
+    .X(_06427_));
+ sky130_fd_sc_hd__xnor2_1 _11061_ (.A(_06424_),
     .B(_06427_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .Y(_00182_));
+ sky130_fd_sc_hd__inv_2 _11062_ (.A(_06427_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .Y(_06428_));
- sky130_fd_sc_hd__clkbuf_2 _11064_ (.A(_06428_),
+ sky130_fd_sc_hd__clkbuf_1 _11063_ (.A(\u_uart_core.u_txfifo.wr_ptr[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06429_));
- sky130_fd_sc_hd__nor2_1 _11065_ (.A(_06424_),
-    .B(_06429_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_00183_));
- sky130_fd_sc_hd__clkbuf_2 _11066_ (.A(_06405_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11064_ (.A(_06429_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06430_));
- sky130_fd_sc_hd__mux2_1 _11067_ (.A0(\u_uart_core.u_txfifo.grey_wr_ptr[0] ),
-    .A1(_06418_),
-    .S(_06430_),
+ sky130_fd_sc_hd__a21oi_1 _11065_ (.A1(_06424_),
+    .A2(_06428_),
+    .B1(_06430_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06431_));
- sky130_fd_sc_hd__clkbuf_1 _11068_ (.A(_06431_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00184_));
- sky130_fd_sc_hd__nor2_1 _11069_ (.A(_06401_),
-    .B(_06411_),
+    .Y(_06431_));
+ sky130_fd_sc_hd__nand2_2 _11066_ (.A(_06430_),
+    .B(_06423_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06432_));
- sky130_fd_sc_hd__xnor2_1 _11070_ (.A(_06417_),
+ sky130_fd_sc_hd__nor2_2 _11067_ (.A(_06426_),
     .B(_06432_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06433_));
- sky130_fd_sc_hd__mux2_1 _11071_ (.A0(\u_uart_core.u_txfifo.grey_wr_ptr[1] ),
-    .A1(_06433_),
-    .S(_06430_),
+ sky130_fd_sc_hd__buf_2 _11068_ (.A(_06433_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06434_));
- sky130_fd_sc_hd__clkbuf_1 _11072_ (.A(_06434_),
+ sky130_fd_sc_hd__nor2_1 _11069_ (.A(_06431_),
+    .B(_06434_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00185_));
- sky130_fd_sc_hd__a21oi_1 _11073_ (.A1(_06401_),
-    .A2(_06411_),
-    .B1(_06417_),
+    .Y(_00183_));
+ sky130_fd_sc_hd__clkbuf_2 _11070_ (.A(_06415_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06435_));
- sky130_fd_sc_hd__xnor2_1 _11074_ (.A(_06423_),
-    .B(_06435_),
+    .X(_06435_));
+ sky130_fd_sc_hd__mux2_1 _11071_ (.A0(\u_uart_core.u_txfifo.grey_wr_ptr[0] ),
+    .A1(_06425_),
+    .S(_06435_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06436_));
- sky130_fd_sc_hd__mux2_1 _11075_ (.A0(\u_uart_core.u_txfifo.grey_wr_ptr[2] ),
-    .A1(_06436_),
-    .S(_06430_),
+    .X(_06436_));
+ sky130_fd_sc_hd__clkbuf_1 _11072_ (.A(_06436_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00184_));
+ sky130_fd_sc_hd__clkbuf_2 _11073_ (.A(_06420_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06437_));
- sky130_fd_sc_hd__clkbuf_1 _11076_ (.A(_06437_),
+ sky130_fd_sc_hd__nor2_2 _11074_ (.A(_06437_),
+    .B(\u_uart_core.u_txfifo.wr_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00186_));
- sky130_fd_sc_hd__a31o_1 _11077_ (.A1(_06401_),
-    .A2(_06411_),
-    .A3(_06416_),
-    .B1(_06423_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06438_));
- sky130_fd_sc_hd__xor2_1 _11078_ (.A(\u_uart_core.u_txfifo.grey_wr_ptr[4] ),
+    .Y(_06438_));
+ sky130_fd_sc_hd__xnor2_1 _11075_ (.A(_06424_),
     .B(_06438_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06439_));
- sky130_fd_sc_hd__mux2_1 _11079_ (.A0(\u_uart_core.u_txfifo.grey_wr_ptr[3] ),
+    .Y(_06439_));
+ sky130_fd_sc_hd__mux2_1 _11076_ (.A0(\u_uart_core.u_txfifo.grey_wr_ptr[1] ),
     .A1(_06439_),
-    .S(_06405_),
+    .S(_06435_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06440_));
- sky130_fd_sc_hd__clkbuf_1 _11080_ (.A(_06440_),
+ sky130_fd_sc_hd__clkbuf_1 _11077_ (.A(_06440_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00187_));
- sky130_fd_sc_hd__xor2_1 _11081_ (.A(\u_uart_core.u_txfifo.grey_wr_ptr[4] ),
-    .B(_06429_),
+    .X(_00185_));
+ sky130_fd_sc_hd__a21oi_1 _11078_ (.A1(_06421_),
+    .A2(_06411_),
+    .B1(_06424_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00188_));
- sky130_fd_sc_hd__clkbuf_4 _11082_ (.A(net15),
+    .Y(_06441_));
+ sky130_fd_sc_hd__xnor2_1 _11079_ (.A(_06430_),
+    .B(_06441_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06441_));
- sky130_fd_sc_hd__or2_2 _11083_ (.A(_06422_),
-    .B(_06415_),
+    .Y(_06442_));
+ sky130_fd_sc_hd__mux2_1 _11080_ (.A0(\u_uart_core.u_txfifo.grey_wr_ptr[2] ),
+    .A1(_06442_),
+    .S(_06435_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06442_));
- sky130_fd_sc_hd__nor2_2 _11084_ (.A(_06420_),
-    .B(_06442_),
+    .X(_06443_));
+ sky130_fd_sc_hd__clkbuf_1 _11081_ (.A(_06443_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06443_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11085_ (.A(_06443_),
+    .X(_00186_));
+ sky130_fd_sc_hd__a31o_1 _11082_ (.A1(_06423_),
+    .A2(_06421_),
+    .A3(_06411_),
+    .B1(_06430_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06444_));
- sky130_fd_sc_hd__mux2_1 _11086_ (.A0(\u_uart_core.u_txfifo.mem[3][0] ),
-    .A1(_06441_),
-    .S(_06444_),
+ sky130_fd_sc_hd__xor2_1 _11083_ (.A(\u_uart_core.u_txfifo.grey_wr_ptr[4] ),
+    .B(_06444_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06445_));
- sky130_fd_sc_hd__clkbuf_1 _11087_ (.A(_06445_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00189_));
- sky130_fd_sc_hd__clkbuf_4 _11088_ (.A(net26),
+ sky130_fd_sc_hd__mux2_1 _11084_ (.A0(\u_uart_core.u_txfifo.grey_wr_ptr[3] ),
+    .A1(_06445_),
+    .S(_06435_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06446_));
- sky130_fd_sc_hd__mux2_1 _11089_ (.A0(\u_uart_core.u_txfifo.mem[3][1] ),
-    .A1(_06446_),
-    .S(_06444_),
+ sky130_fd_sc_hd__clkbuf_1 _11085_ (.A(_06446_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00187_));
+ sky130_fd_sc_hd__xor2_1 _11086_ (.A(\u_uart_core.u_txfifo.grey_wr_ptr[4] ),
+    .B(_06434_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00188_));
+ sky130_fd_sc_hd__buf_2 _11087_ (.A(net15),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06447_));
- sky130_fd_sc_hd__clkbuf_1 _11090_ (.A(_06447_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00190_));
- sky130_fd_sc_hd__clkbuf_4 _11091_ (.A(net37),
+ sky130_fd_sc_hd__or2_2 _11088_ (.A(_06429_),
+    .B(_06423_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06448_));
- sky130_fd_sc_hd__mux2_1 _11092_ (.A0(\u_uart_core.u_txfifo.mem[3][2] ),
-    .A1(_06448_),
-    .S(_06444_),
+ sky130_fd_sc_hd__nor2_2 _11089_ (.A(_06427_),
+    .B(_06448_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06449_));
- sky130_fd_sc_hd__clkbuf_1 _11093_ (.A(_06449_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00191_));
- sky130_fd_sc_hd__clkbuf_2 _11094_ (.A(net40),
+    .Y(_06449_));
+ sky130_fd_sc_hd__clkbuf_2 _11090_ (.A(_06449_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06450_));
- sky130_fd_sc_hd__mux2_1 _11095_ (.A0(\u_uart_core.u_txfifo.mem[3][3] ),
-    .A1(_06450_),
-    .S(_06444_),
+ sky130_fd_sc_hd__mux2_1 _11091_ (.A0(\u_uart_core.u_txfifo.mem[3][0] ),
+    .A1(_06447_),
+    .S(_06450_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06451_));
- sky130_fd_sc_hd__clkbuf_1 _11096_ (.A(_06451_),
+ sky130_fd_sc_hd__clkbuf_1 _11092_ (.A(_06451_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00192_));
- sky130_fd_sc_hd__clkbuf_2 _11097_ (.A(net41),
+    .X(_00189_));
+ sky130_fd_sc_hd__buf_2 _11093_ (.A(net26),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06452_));
- sky130_fd_sc_hd__clkbuf_4 _11098_ (.A(_06452_),
+ sky130_fd_sc_hd__mux2_1 _11094_ (.A0(\u_uart_core.u_txfifo.mem[3][1] ),
+    .A1(_06452_),
+    .S(_06450_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06453_));
- sky130_fd_sc_hd__clkbuf_2 _11099_ (.A(_06443_),
+ sky130_fd_sc_hd__clkbuf_1 _11095_ (.A(_06453_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00190_));
+ sky130_fd_sc_hd__clkbuf_2 _11096_ (.A(net37),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06454_));
- sky130_fd_sc_hd__mux2_1 _11100_ (.A0(\u_uart_core.u_txfifo.mem[3][4] ),
-    .A1(_06453_),
-    .S(_06454_),
+ sky130_fd_sc_hd__mux2_1 _11097_ (.A0(\u_uart_core.u_txfifo.mem[3][2] ),
+    .A1(_06454_),
+    .S(_06450_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06455_));
- sky130_fd_sc_hd__clkbuf_1 _11101_ (.A(_06455_),
+ sky130_fd_sc_hd__clkbuf_1 _11098_ (.A(_06455_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00193_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11102_ (.A(net42),
+    .X(_00191_));
+ sky130_fd_sc_hd__clkbuf_4 _11099_ (.A(net40),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06456_));
- sky130_fd_sc_hd__mux2_1 _11103_ (.A0(\u_uart_core.u_txfifo.mem[3][5] ),
+ sky130_fd_sc_hd__mux2_1 _11100_ (.A0(\u_uart_core.u_txfifo.mem[3][3] ),
     .A1(_06456_),
-    .S(_06454_),
+    .S(_06450_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06457_));
- sky130_fd_sc_hd__clkbuf_1 _11104_ (.A(_06457_),
+ sky130_fd_sc_hd__clkbuf_1 _11101_ (.A(_06457_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00194_));
- sky130_fd_sc_hd__clkbuf_2 _11105_ (.A(net43),
+    .X(_00192_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11102_ (.A(net41),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06458_));
- sky130_fd_sc_hd__mux2_1 _11106_ (.A0(\u_uart_core.u_txfifo.mem[3][6] ),
-    .A1(_06458_),
-    .S(_06454_),
+ sky130_fd_sc_hd__buf_2 _11103_ (.A(_06458_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06459_));
- sky130_fd_sc_hd__clkbuf_1 _11107_ (.A(_06459_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00195_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11108_ (.A(net44),
+ sky130_fd_sc_hd__clkbuf_2 _11104_ (.A(_06449_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06460_));
- sky130_fd_sc_hd__mux2_1 _11109_ (.A0(\u_uart_core.u_txfifo.mem[3][7] ),
-    .A1(_06460_),
-    .S(_06454_),
+ sky130_fd_sc_hd__mux2_1 _11105_ (.A0(\u_uart_core.u_txfifo.mem[3][4] ),
+    .A1(_06459_),
+    .S(_06460_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06461_));
- sky130_fd_sc_hd__clkbuf_1 _11110_ (.A(_06461_),
+ sky130_fd_sc_hd__clkbuf_1 _11106_ (.A(_06461_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00196_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11111_ (.A(_06249_),
+    .X(_00193_));
+ sky130_fd_sc_hd__buf_2 _11107_ (.A(net42),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06462_));
- sky130_fd_sc_hd__nor2_1 _11112_ (.A(\u_uart_core.u_rxfifo.wr_ptr[3] ),
-    .B(_06182_),
+ sky130_fd_sc_hd__mux2_1 _11108_ (.A0(\u_uart_core.u_txfifo.mem[3][5] ),
+    .A1(_06462_),
+    .S(_06460_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06463_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11113_ (.A(_06463_),
+    .X(_06463_));
+ sky130_fd_sc_hd__clkbuf_1 _11109_ (.A(_06463_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00194_));
+ sky130_fd_sc_hd__buf_2 _11110_ (.A(net43),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06464_));
- sky130_fd_sc_hd__nand2_1 _11114_ (.A(_06462_),
-    .B(_06464_),
+ sky130_fd_sc_hd__mux2_1 _11111_ (.A0(\u_uart_core.u_txfifo.mem[3][6] ),
+    .A1(_06464_),
+    .S(_06460_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06465_));
- sky130_fd_sc_hd__buf_2 _11115_ (.A(_06465_),
+    .X(_06465_));
+ sky130_fd_sc_hd__clkbuf_1 _11112_ (.A(_06465_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00195_));
+ sky130_fd_sc_hd__clkbuf_2 _11113_ (.A(net44),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06466_));
- sky130_fd_sc_hd__mux2_1 _11116_ (.A0(_06179_),
-    .A1(\u_uart_core.u_rxfifo.mem[1][0] ),
-    .S(_06466_),
+ sky130_fd_sc_hd__mux2_1 _11114_ (.A0(\u_uart_core.u_txfifo.mem[3][7] ),
+    .A1(_06466_),
+    .S(_06460_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06467_));
- sky130_fd_sc_hd__clkbuf_1 _11117_ (.A(_06467_),
+ sky130_fd_sc_hd__clkbuf_1 _11115_ (.A(_06467_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00197_));
- sky130_fd_sc_hd__mux2_1 _11118_ (.A0(_06194_),
-    .A1(\u_uart_core.u_rxfifo.mem[1][1] ),
-    .S(_06466_),
+    .X(_00196_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11116_ (.A(_06261_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06468_));
- sky130_fd_sc_hd__clkbuf_1 _11119_ (.A(_06468_),
+ sky130_fd_sc_hd__nor2_1 _11117_ (.A(_06144_),
+    .B(_06259_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00198_));
- sky130_fd_sc_hd__mux2_1 _11120_ (.A0(_06197_),
-    .A1(\u_uart_core.u_rxfifo.mem[1][2] ),
-    .S(_06466_),
+    .Y(_06469_));
+ sky130_fd_sc_hd__nand2_2 _11118_ (.A(_06468_),
+    .B(_06469_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06469_));
- sky130_fd_sc_hd__clkbuf_1 _11121_ (.A(_06469_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00199_));
- sky130_fd_sc_hd__mux2_1 _11122_ (.A0(_06200_),
-    .A1(\u_uart_core.u_rxfifo.mem[1][3] ),
-    .S(_06466_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06470_));
- sky130_fd_sc_hd__clkbuf_1 _11123_ (.A(_06470_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00200_));
- sky130_fd_sc_hd__buf_2 _11124_ (.A(_06465_),
+    .Y(_06470_));
+ sky130_fd_sc_hd__clkbuf_2 _11119_ (.A(_06470_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06471_));
- sky130_fd_sc_hd__mux2_1 _11125_ (.A0(_06204_),
-    .A1(\u_uart_core.u_rxfifo.mem[1][4] ),
+ sky130_fd_sc_hd__mux2_1 _11120_ (.A0(_06143_),
+    .A1(\u_uart_core.u_rxfifo.mem[1][0] ),
     .S(_06471_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06472_));
- sky130_fd_sc_hd__clkbuf_1 _11126_ (.A(_06472_),
+ sky130_fd_sc_hd__clkbuf_1 _11121_ (.A(_06472_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00201_));
- sky130_fd_sc_hd__mux2_1 _11127_ (.A0(_06207_),
-    .A1(\u_uart_core.u_rxfifo.mem[1][5] ),
+    .X(_00197_));
+ sky130_fd_sc_hd__mux2_1 _11122_ (.A0(_06157_),
+    .A1(\u_uart_core.u_rxfifo.mem[1][1] ),
     .S(_06471_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06473_));
- sky130_fd_sc_hd__clkbuf_1 _11128_ (.A(_06473_),
+ sky130_fd_sc_hd__clkbuf_1 _11123_ (.A(_06473_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00202_));
- sky130_fd_sc_hd__mux2_1 _11129_ (.A0(_06210_),
-    .A1(\u_uart_core.u_rxfifo.mem[1][6] ),
+    .X(_00198_));
+ sky130_fd_sc_hd__mux2_1 _11124_ (.A0(_06160_),
+    .A1(\u_uart_core.u_rxfifo.mem[1][2] ),
     .S(_06471_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06474_));
- sky130_fd_sc_hd__clkbuf_1 _11130_ (.A(_06474_),
+ sky130_fd_sc_hd__clkbuf_1 _11125_ (.A(_06474_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00203_));
- sky130_fd_sc_hd__mux2_1 _11131_ (.A0(_06213_),
-    .A1(\u_uart_core.u_rxfifo.mem[1][7] ),
+    .X(_00199_));
+ sky130_fd_sc_hd__mux2_1 _11126_ (.A0(_06163_),
+    .A1(\u_uart_core.u_rxfifo.mem[1][3] ),
     .S(_06471_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06475_));
- sky130_fd_sc_hd__clkbuf_1 _11132_ (.A(_06475_),
+ sky130_fd_sc_hd__clkbuf_1 _11127_ (.A(_06475_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00204_));
- sky130_fd_sc_hd__and2_4 _11133_ (.A(_05525_),
-    .B(\u_sspim.byte_in[0] ),
+    .X(_00200_));
+ sky130_fd_sc_hd__clkbuf_2 _11128_ (.A(_06470_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06476_));
- sky130_fd_sc_hd__clkbuf_1 _11134_ (.A(\u_sspim.cfg_endian ),
+ sky130_fd_sc_hd__mux2_1 _11129_ (.A0(_06167_),
+    .A1(\u_uart_core.u_rxfifo.mem[1][4] ),
+    .S(_06476_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06477_));
- sky130_fd_sc_hd__and2b_1 _11135_ (.A_N(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[6].u_bit_reg.data_out ),
-    .B(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[5].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_1 _11130_ (.A(_06477_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00201_));
+ sky130_fd_sc_hd__mux2_1 _11131_ (.A0(_06170_),
+    .A1(\u_uart_core.u_rxfifo.mem[1][5] ),
+    .S(_06476_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06478_));
- sky130_fd_sc_hd__clkbuf_1 _11136_ (.A(_06478_),
+ sky130_fd_sc_hd__clkbuf_1 _11132_ (.A(_06478_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00202_));
+ sky130_fd_sc_hd__mux2_1 _11133_ (.A0(_06173_),
+    .A1(\u_uart_core.u_rxfifo.mem[1][6] ),
+    .S(_06476_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06479_));
- sky130_fd_sc_hd__and3_1 _11137_ (.A(\u_sspim.u_spi_ctrl.byte_cnt[1] ),
-    .B(\u_sspim.u_spi_ctrl.byte_cnt[0] ),
-    .C(_05631_),
+ sky130_fd_sc_hd__clkbuf_1 _11134_ (.A(_06479_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00203_));
+ sky130_fd_sc_hd__mux2_1 _11135_ (.A0(_06176_),
+    .A1(\u_uart_core.u_rxfifo.mem[1][7] ),
+    .S(_06476_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06480_));
- sky130_fd_sc_hd__or3b_1 _11138_ (.A(\u_sspim.cfg_endian ),
-    .B(_05634_),
-    .C_N(_06478_),
+ sky130_fd_sc_hd__clkbuf_1 _11136_ (.A(_06480_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00204_));
+ sky130_fd_sc_hd__and2_4 _11137_ (.A(_05506_),
+    .B(\u_sspim.byte_in[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06481_));
- sky130_fd_sc_hd__clkbuf_1 _11139_ (.A(\u_sspim.u_spi_ctrl.byte_cnt[0] ),
+ sky130_fd_sc_hd__clkbuf_1 _11138_ (.A(\u_sspim.cfg_endian ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06482_));
- sky130_fd_sc_hd__or2_1 _11140_ (.A(_05624_),
-    .B(_06482_),
+ sky130_fd_sc_hd__and2b_1 _11139_ (.A_N(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[6].u_bit_reg.data_out ),
+    .B(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[5].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06483_));
- sky130_fd_sc_hd__nor2_1 _11141_ (.A(_06481_),
-    .B(_06483_),
+ sky130_fd_sc_hd__clkbuf_1 _11140_ (.A(_06483_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06484_));
- sky130_fd_sc_hd__a31o_1 _11142_ (.A1(_06477_),
-    .A2(_06479_),
-    .A3(_06480_),
-    .B1(_06484_),
+    .X(_06484_));
+ sky130_fd_sc_hd__and3_1 _11141_ (.A(\u_sspim.u_spi_ctrl.byte_cnt[1] ),
+    .B(\u_sspim.u_spi_ctrl.byte_cnt[0] ),
+    .C(_05613_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06485_));
- sky130_fd_sc_hd__inv_2 _11143_ (.A(_05624_),
+ sky130_fd_sc_hd__or3b_1 _11142_ (.A(\u_sspim.cfg_endian ),
+    .B(_05616_),
+    .C_N(_06483_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06486_));
- sky130_fd_sc_hd__and3_1 _11144_ (.A(_06477_),
-    .B(_05632_),
-    .C(_06479_),
+    .X(_06486_));
+ sky130_fd_sc_hd__clkbuf_1 _11143_ (.A(\u_sspim.u_spi_ctrl.byte_cnt[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06487_));
- sky130_fd_sc_hd__nand2_1 _11145_ (.A(_05624_),
-    .B(_05622_),
+ sky130_fd_sc_hd__or2_1 _11144_ (.A(_05606_),
+    .B(_06487_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06488_));
- sky130_fd_sc_hd__or2b_1 _11146_ (.A(\u_sspim.u_spi_ctrl.spiif_cs[1] ),
-    .B_N(\u_sspim.u_spi_ctrl.spiif_cs[0] ),
+    .X(_06488_));
+ sky130_fd_sc_hd__nor2_1 _11145_ (.A(_06486_),
+    .B(_06488_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06489_));
- sky130_fd_sc_hd__a21bo_1 _11147_ (.A1(_05632_),
-    .A2(_06479_),
-    .B1_N(_06489_),
+    .Y(_06489_));
+ sky130_fd_sc_hd__a31o_1 _11146_ (.A1(_06482_),
+    .A2(_06484_),
+    .A3(_06485_),
+    .B1(_06489_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06490_));
- sky130_fd_sc_hd__o21ai_1 _11148_ (.A1(_06481_),
-    .A2(_06488_),
-    .B1(_06490_),
+ sky130_fd_sc_hd__inv_2 _11147_ (.A(_05606_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06491_));
- sky130_fd_sc_hd__a31o_1 _11149_ (.A1(_06486_),
-    .A2(_06482_),
-    .A3(_06487_),
-    .B1(_06491_),
+ sky130_fd_sc_hd__and3_1 _11148_ (.A(_06482_),
+    .B(_05614_),
+    .C(_06484_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06492_));
- sky130_fd_sc_hd__inv_2 _11150_ (.A(\u_sspim.cfg_endian ),
+ sky130_fd_sc_hd__nand2_1 _11149_ (.A(_05606_),
+    .B(_05604_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06493_));
- sky130_fd_sc_hd__and3_1 _11151_ (.A(_06493_),
-    .B(_06479_),
-    .C(_06480_),
+ sky130_fd_sc_hd__or2b_1 _11150_ (.A(\u_sspim.u_spi_ctrl.spiif_cs[1] ),
+    .B_N(\u_sspim.u_spi_ctrl.spiif_cs[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06494_));
- sky130_fd_sc_hd__a31o_1 _11152_ (.A1(_06486_),
-    .A2(_05622_),
-    .A3(_06487_),
-    .B1(_06494_),
+ sky130_fd_sc_hd__a21bo_1 _11151_ (.A1(_05614_),
+    .A2(_06484_),
+    .B1_N(_06494_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06495_));
- sky130_fd_sc_hd__or2_1 _11153_ (.A(_06492_),
-    .B(_06495_),
+ sky130_fd_sc_hd__o21ai_1 _11152_ (.A1(_06486_),
+    .A2(_06493_),
+    .B1(_06495_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06496_));
- sky130_fd_sc_hd__a211oi_2 _11154_ (.A1(_05619_),
-    .A2(_05913_),
-    .B1(_06485_),
-    .C1(_06496_),
+    .Y(_06496_));
+ sky130_fd_sc_hd__a31o_1 _11153_ (.A1(_06491_),
+    .A2(_06487_),
+    .A3(_06492_),
+    .B1(_06496_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06497_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11155_ (.A(_06497_),
+    .X(_06497_));
+ sky130_fd_sc_hd__clkinv_2 _11154_ (.A(\u_sspim.cfg_endian ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06498_));
- sky130_fd_sc_hd__mux2_1 _11156_ (.A0(\u_sspim.cfg_dataout[8] ),
-    .A1(_06476_),
-    .S(_06498_),
+    .Y(_06498_));
+ sky130_fd_sc_hd__and3_1 _11155_ (.A(_06498_),
+    .B(_06484_),
+    .C(_06485_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06499_));
- sky130_fd_sc_hd__clkbuf_1 _11157_ (.A(_06499_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00205_));
- sky130_fd_sc_hd__and2_4 _11158_ (.A(_05525_),
-    .B(\u_sspim.byte_in[1] ),
+ sky130_fd_sc_hd__a31o_1 _11156_ (.A1(_06491_),
+    .A2(_05604_),
+    .A3(_06492_),
+    .B1(_06499_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06500_));
- sky130_fd_sc_hd__mux2_1 _11159_ (.A0(\u_sspim.cfg_dataout[9] ),
-    .A1(_06500_),
-    .S(_06498_),
+ sky130_fd_sc_hd__or2_1 _11157_ (.A(_06497_),
+    .B(_06500_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06501_));
- sky130_fd_sc_hd__clkbuf_1 _11160_ (.A(_06501_),
+ sky130_fd_sc_hd__a211oi_4 _11158_ (.A1(_05601_),
+    .A2(_05953_),
+    .B1(_06490_),
+    .C1(_06501_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00206_));
- sky130_fd_sc_hd__clkbuf_1 _11161_ (.A(_05524_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06502_));
- sky130_fd_sc_hd__clkbuf_1 _11162_ (.A(_06502_),
+    .Y(_06502_));
+ sky130_fd_sc_hd__clkbuf_2 _11159_ (.A(_06502_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06503_));
- sky130_fd_sc_hd__and2_4 _11163_ (.A(_06503_),
-    .B(\u_sspim.byte_in[2] ),
+ sky130_fd_sc_hd__mux2_1 _11160_ (.A0(\u_sspim.cfg_dataout[8] ),
+    .A1(_06481_),
+    .S(_06503_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06504_));
- sky130_fd_sc_hd__mux2_1 _11164_ (.A0(\u_sspim.cfg_dataout[10] ),
-    .A1(_06504_),
-    .S(_06498_),
+ sky130_fd_sc_hd__clkbuf_1 _11161_ (.A(_06504_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00205_));
+ sky130_fd_sc_hd__and2_4 _11162_ (.A(_05506_),
+    .B(\u_sspim.byte_in[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06505_));
- sky130_fd_sc_hd__clkbuf_1 _11165_ (.A(_06505_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00207_));
- sky130_fd_sc_hd__and2_4 _11166_ (.A(_06503_),
-    .B(\u_sspim.byte_in[3] ),
+ sky130_fd_sc_hd__mux2_1 _11163_ (.A0(\u_sspim.cfg_dataout[9] ),
+    .A1(_06505_),
+    .S(_06503_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06506_));
- sky130_fd_sc_hd__mux2_1 _11167_ (.A0(\u_sspim.cfg_dataout[11] ),
-    .A1(_06506_),
-    .S(_06498_),
+ sky130_fd_sc_hd__clkbuf_1 _11164_ (.A(_06506_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00206_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11165_ (.A(_05505_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06507_));
- sky130_fd_sc_hd__clkbuf_1 _11168_ (.A(_06507_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00208_));
- sky130_fd_sc_hd__and2_4 _11169_ (.A(_06503_),
-    .B(\u_sspim.byte_in[4] ),
+ sky130_fd_sc_hd__clkbuf_1 _11166_ (.A(_06507_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06508_));
- sky130_fd_sc_hd__clkbuf_2 _11170_ (.A(_06497_),
+ sky130_fd_sc_hd__and2_4 _11167_ (.A(_06508_),
+    .B(\u_sspim.byte_in[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06509_));
- sky130_fd_sc_hd__mux2_1 _11171_ (.A0(\u_sspim.cfg_dataout[12] ),
-    .A1(_06508_),
-    .S(_06509_),
+ sky130_fd_sc_hd__mux2_1 _11168_ (.A0(\u_sspim.cfg_dataout[10] ),
+    .A1(_06509_),
+    .S(_06503_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06510_));
- sky130_fd_sc_hd__clkbuf_1 _11172_ (.A(_06510_),
+ sky130_fd_sc_hd__clkbuf_1 _11169_ (.A(_06510_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00209_));
- sky130_fd_sc_hd__and2_4 _11173_ (.A(_06503_),
-    .B(\u_sspim.byte_in[5] ),
+    .X(_00207_));
+ sky130_fd_sc_hd__and2_4 _11170_ (.A(_06508_),
+    .B(\u_sspim.byte_in[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06511_));
- sky130_fd_sc_hd__mux2_1 _11174_ (.A0(\u_sspim.cfg_dataout[13] ),
+ sky130_fd_sc_hd__mux2_1 _11171_ (.A0(\u_sspim.cfg_dataout[11] ),
     .A1(_06511_),
-    .S(_06509_),
+    .S(_06503_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06512_));
- sky130_fd_sc_hd__clkbuf_1 _11175_ (.A(_06512_),
+ sky130_fd_sc_hd__clkbuf_1 _11172_ (.A(_06512_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00210_));
- sky130_fd_sc_hd__and2_4 _11176_ (.A(_06502_),
-    .B(\u_sspim.byte_in[6] ),
+    .X(_00208_));
+ sky130_fd_sc_hd__and2_4 _11173_ (.A(_06508_),
+    .B(\u_sspim.byte_in[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06513_));
- sky130_fd_sc_hd__mux2_1 _11177_ (.A0(\u_sspim.cfg_dataout[14] ),
-    .A1(_06513_),
-    .S(_06509_),
+ sky130_fd_sc_hd__clkbuf_2 _11174_ (.A(_06502_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06514_));
- sky130_fd_sc_hd__clkbuf_1 _11178_ (.A(_06514_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00211_));
- sky130_fd_sc_hd__and2_4 _11179_ (.A(_06502_),
-    .B(\u_sspim.byte_in[7] ),
+ sky130_fd_sc_hd__mux2_1 _11175_ (.A0(\u_sspim.cfg_dataout[12] ),
+    .A1(_06513_),
+    .S(_06514_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06515_));
- sky130_fd_sc_hd__mux2_1 _11180_ (.A0(\u_sspim.cfg_dataout[15] ),
-    .A1(_06515_),
-    .S(_06509_),
+ sky130_fd_sc_hd__clkbuf_1 _11176_ (.A(_06515_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00209_));
+ sky130_fd_sc_hd__and2_4 _11177_ (.A(_06508_),
+    .B(\u_sspim.byte_in[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06516_));
- sky130_fd_sc_hd__clkbuf_1 _11181_ (.A(_06516_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00212_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11182_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[1].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__mux2_1 _11178_ (.A0(\u_sspim.cfg_dataout[13] ),
+    .A1(_06516_),
+    .S(_06514_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06517_));
- sky130_fd_sc_hd__clkbuf_1 _11183_ (.A(_06029_),
+ sky130_fd_sc_hd__clkbuf_1 _11179_ (.A(_06517_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00210_));
+ sky130_fd_sc_hd__and2_4 _11180_ (.A(_06507_),
+    .B(\u_sspim.byte_in[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06518_));
- sky130_fd_sc_hd__a21oi_1 _11184_ (.A1(_06517_),
-    .A2(_06518_),
-    .B1(\u_uart_core.u_clk_ctl.low_count[0] ),
+ sky130_fd_sc_hd__mux2_1 _11181_ (.A0(\u_sspim.cfg_dataout[14] ),
+    .A1(_06518_),
+    .S(_06514_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06519_));
- sky130_fd_sc_hd__mux2_1 _11185_ (.A0(\u_uart_core.u_clk_ctl.low_count[0] ),
-    .A1(_06519_),
-    .S(_06018_),
+    .X(_06519_));
+ sky130_fd_sc_hd__clkbuf_1 _11182_ (.A(_06519_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00211_));
+ sky130_fd_sc_hd__and2_4 _11183_ (.A(_06507_),
+    .B(\u_sspim.byte_in[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06520_));
- sky130_fd_sc_hd__clkbuf_1 _11186_ (.A(_06520_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00213_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11187_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[2].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__mux2_1 _11184_ (.A0(\u_sspim.cfg_dataout[15] ),
+    .A1(_06520_),
+    .S(_06514_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06521_));
- sky130_fd_sc_hd__or2_1 _11188_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[1].u_bit_reg.data_out ),
-    .B(_06521_),
+ sky130_fd_sc_hd__clkbuf_1 _11185_ (.A(_06521_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00212_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11186_ (.A(\u_uart_core.u_clk_ctl.low_count[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06522_));
- sky130_fd_sc_hd__clkbuf_2 _11189_ (.A(_06521_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11187_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[1].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06523_));
- sky130_fd_sc_hd__nand2_1 _11190_ (.A(_06517_),
-    .B(_06523_),
+ sky130_fd_sc_hd__nor3_1 _11188_ (.A(\u_uart_core.u_clk_ctl.low_count[11] ),
+    .B(\u_uart_core.u_clk_ctl.low_count[10] ),
+    .C(_06055_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06524_));
- sky130_fd_sc_hd__nand2_1 _11191_ (.A(\u_uart_core.u_clk_ctl.low_count[0] ),
-    .B(\u_uart_core.u_clk_ctl.low_count[1] ),
+ sky130_fd_sc_hd__clkbuf_2 _11189_ (.A(_06524_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06525_));
- sky130_fd_sc_hd__a21oi_1 _11192_ (.A1(_06022_),
+    .X(_06525_));
+ sky130_fd_sc_hd__a21oi_1 _11190_ (.A1(_06523_),
     .A2(_06525_),
-    .B1(_06029_),
+    .B1(_06522_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06526_));
- sky130_fd_sc_hd__a31o_1 _11193_ (.A1(_06518_),
-    .A2(_06522_),
-    .A3(_06524_),
-    .B1(_06526_),
+ sky130_fd_sc_hd__mux2_1 _11191_ (.A0(_06522_),
+    .A1(_06526_),
+    .S(_06065_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06527_));
- sky130_fd_sc_hd__mux2_1 _11194_ (.A0(\u_uart_core.u_clk_ctl.low_count[1] ),
-    .A1(_06527_),
-    .S(_06018_),
+ sky130_fd_sc_hd__clkbuf_1 _11192_ (.A(_06527_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06528_));
- sky130_fd_sc_hd__clkbuf_1 _11195_ (.A(_06528_),
+    .X(_00213_));
+ sky130_fd_sc_hd__nand2_2 _11193_ (.A(_06064_),
+    .B(_06056_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00214_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11196_ (.A(_06019_),
+    .Y(_06528_));
+ sky130_fd_sc_hd__xnor2_1 _11194_ (.A(_06522_),
+    .B(\u_uart_core.u_clk_ctl.low_count[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06529_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11197_ (.A(_06529_),
+    .Y(_06529_));
+ sky130_fd_sc_hd__clkbuf_2 _11195_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[2].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06530_));
- sky130_fd_sc_hd__and3_1 _11198_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[1].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__xnor2_1 _11196_ (.A(_06523_),
+    .B(_06530_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_06531_));
+ sky130_fd_sc_hd__or2_1 _11197_ (.A(\u_uart_core.u_clk_ctl.high_count[11] ),
+    .B(_06063_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06532_));
+ sky130_fd_sc_hd__nor2_1 _11198_ (.A(_06532_),
+    .B(_06056_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_06533_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11199_ (.A(_06065_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06534_));
+ sky130_fd_sc_hd__o2bb2a_1 _11200_ (.A1_N(_06531_),
+    .A2_N(_06533_),
+    .B1(\u_uart_core.u_clk_ctl.low_count[1] ),
+    .B2(_06534_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06535_));
+ sky130_fd_sc_hd__o21a_1 _11201_ (.A1(_06528_),
+    .A2(_06529_),
+    .B1(_06535_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00214_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11202_ (.A(_06532_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06536_));
+ sky130_fd_sc_hd__clkbuf_2 _11203_ (.A(_06536_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06537_));
+ sky130_fd_sc_hd__and3_1 _11204_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[1].u_bit_reg.data_out ),
     .B(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[2].u_bit_reg.data_out ),
     .C(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[3].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06531_));
- sky130_fd_sc_hd__clkbuf_1 _11199_ (.A(_06531_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06532_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11200_ (.A(_06517_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06533_));
- sky130_fd_sc_hd__clkbuf_2 _11201_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[3].u_bit_reg.data_out ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06534_));
- sky130_fd_sc_hd__a21oi_1 _11202_ (.A1(_06533_),
-    .A2(_06523_),
-    .B1(_06534_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_06535_));
- sky130_fd_sc_hd__clkbuf_2 _11203_ (.A(_06518_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06536_));
- sky130_fd_sc_hd__o21ai_1 _11204_ (.A1(_06532_),
-    .A2(_06535_),
-    .B1(_06536_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_06537_));
- sky130_fd_sc_hd__nand2_1 _11205_ (.A(\u_uart_core.u_clk_ctl.low_count[2] ),
-    .B(_06022_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_06538_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11206_ (.A(_06020_),
+    .X(_06538_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11205_ (.A(_06523_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06539_));
- sky130_fd_sc_hd__a21oi_1 _11207_ (.A1(_06023_),
-    .A2(_06538_),
-    .B1(_06539_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11206_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[3].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06540_));
- sky130_fd_sc_hd__a22o_1 _11208_ (.A1(\u_uart_core.u_clk_ctl.low_count[2] ),
+    .X(_06540_));
+ sky130_fd_sc_hd__a21oi_1 _11207_ (.A1(_06539_),
     .A2(_06530_),
-    .B1(_06537_),
-    .B2(_06540_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00215_));
- sky130_fd_sc_hd__nand2_1 _11209_ (.A(\u_uart_core.u_clk_ctl.low_count[3] ),
-    .B(_06023_),
+    .B1(_06540_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06541_));
- sky130_fd_sc_hd__and2_1 _11210_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[4].u_bit_reg.data_out ),
-    .B(_06531_),
+ sky130_fd_sc_hd__clkbuf_2 _11208_ (.A(_06525_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06542_));
- sky130_fd_sc_hd__nor2_1 _11211_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[4].u_bit_reg.data_out ),
-    .B(_06532_),
+ sky130_fd_sc_hd__o21ai_1 _11209_ (.A1(_06538_),
+    .A2(_06541_),
+    .B1(_06542_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06543_));
- sky130_fd_sc_hd__nor2_1 _11212_ (.A(_06542_),
-    .B(_06543_),
+ sky130_fd_sc_hd__o21ai_1 _11210_ (.A1(_06522_),
+    .A2(\u_uart_core.u_clk_ctl.low_count[1] ),
+    .B1(\u_uart_core.u_clk_ctl.low_count[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06544_));
- sky130_fd_sc_hd__inv_2 _11213_ (.A(_06544_),
+ sky130_fd_sc_hd__clkbuf_2 _11211_ (.A(_06536_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06545_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11214_ (.A(_06029_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06546_));
- sky130_fd_sc_hd__a221o_1 _11215_ (.A1(_06024_),
-    .A2(_06541_),
+    .X(_06545_));
+ sky130_fd_sc_hd__a21oi_1 _11212_ (.A1(_06049_),
+    .A2(_06544_),
     .B1(_06545_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_06546_));
+ sky130_fd_sc_hd__a22o_1 _11213_ (.A1(\u_uart_core.u_clk_ctl.low_count[2] ),
+    .A2(_06537_),
+    .B1(_06543_),
     .B2(_06546_),
-    .C1(_06529_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00215_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11214_ (.A(_06532_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06547_));
- sky130_fd_sc_hd__a21bo_1 _11216_ (.A1(\u_uart_core.u_clk_ctl.low_count[3] ),
-    .A2(_06530_),
-    .B1_N(_06547_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00216_));
- sky130_fd_sc_hd__clkbuf_2 _11217_ (.A(_06020_),
+ sky130_fd_sc_hd__clkbuf_2 _11215_ (.A(_06547_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06548_));
- sky130_fd_sc_hd__xnor2_1 _11218_ (.A(\u_uart_core.u_clk_ctl.low_count[4] ),
-    .B(_06024_),
+ sky130_fd_sc_hd__and2_1 _11216_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[4].u_bit_reg.data_out ),
+    .B(_06538_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06549_));
- sky130_fd_sc_hd__and3_1 _11219_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[4].u_bit_reg.data_out ),
-    .B(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[5].u_bit_reg.data_out ),
-    .C(_06532_),
+    .X(_06549_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11217_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[4].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06550_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11220_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[5].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__nor2_1 _11218_ (.A(_06550_),
+    .B(_06538_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06551_));
- sky130_fd_sc_hd__nor2_1 _11221_ (.A(_06551_),
-    .B(_06542_),
+    .Y(_06551_));
+ sky130_fd_sc_hd__nor2_1 _11219_ (.A(_06549_),
+    .B(_06551_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06552_));
- sky130_fd_sc_hd__or2_1 _11222_ (.A(_06550_),
-    .B(_06552_),
+ sky130_fd_sc_hd__and2_1 _11220_ (.A(\u_uart_core.u_clk_ctl.low_count[3] ),
+    .B(_06049_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06553_));
- sky130_fd_sc_hd__a21oi_1 _11223_ (.A1(_06536_),
-    .A2(_06553_),
-    .B1(_06539_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11221_ (.A(_06050_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06554_));
- sky130_fd_sc_hd__a22o_1 _11224_ (.A1(\u_uart_core.u_clk_ctl.low_count[4] ),
-    .A2(_06548_),
-    .B1(_06549_),
-    .B2(_06554_),
+    .X(_06554_));
+ sky130_fd_sc_hd__inv_2 _11222_ (.A(_06554_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00217_));
- sky130_fd_sc_hd__and3_1 _11225_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[5].u_bit_reg.data_out ),
-    .B(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[6].u_bit_reg.data_out ),
-    .C(_06542_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06555_));
- sky130_fd_sc_hd__clkbuf_2 _11226_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[6].u_bit_reg.data_out ),
+    .Y(_06555_));
+ sky130_fd_sc_hd__o221a_1 _11223_ (.A1(_06057_),
+    .A2(_06552_),
+    .B1(_06553_),
+    .B2(_06555_),
+    .C1(_06534_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06556_));
- sky130_fd_sc_hd__nor2_1 _11227_ (.A(_06556_),
-    .B(_06550_),
+ sky130_fd_sc_hd__a21o_1 _11224_ (.A1(\u_uart_core.u_clk_ctl.low_count[3] ),
+    .A2(_06548_),
+    .B1(_06556_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06557_));
- sky130_fd_sc_hd__o21ai_2 _11228_ (.A1(_06555_),
-    .A2(_06557_),
-    .B1(_06536_),
+    .X(_00216_));
+ sky130_fd_sc_hd__clkbuf_1 _11225_ (.A(\u_uart_core.u_clk_ctl.low_count[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06557_));
+ sky130_fd_sc_hd__o21bai_1 _11226_ (.A1(_06557_),
+    .A2(_06554_),
+    .B1_N(_06528_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06558_));
- sky130_fd_sc_hd__o21ai_1 _11229_ (.A1(\u_uart_core.u_clk_ctl.low_count[4] ),
-    .A2(_06024_),
-    .B1(\u_uart_core.u_clk_ctl.low_count[5] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_06559_));
- sky130_fd_sc_hd__a21oi_1 _11230_ (.A1(_06025_),
-    .A2(_06559_),
-    .B1(_06539_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_06560_));
- sky130_fd_sc_hd__a22o_1 _11231_ (.A1(\u_uart_core.u_clk_ctl.low_count[5] ),
-    .A2(_06548_),
+ sky130_fd_sc_hd__a21o_1 _11227_ (.A1(_06557_),
+    .A2(_06554_),
     .B1(_06558_),
-    .B2(_06560_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00218_));
- sky130_fd_sc_hd__and2_1 _11232_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[7].u_bit_reg.data_out ),
-    .B(_06555_),
+    .X(_06559_));
+ sky130_fd_sc_hd__and3_1 _11228_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[4].u_bit_reg.data_out ),
+    .B(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[5].u_bit_reg.data_out ),
+    .C(_06538_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06560_));
+ sky130_fd_sc_hd__clkbuf_1 _11229_ (.A(_06560_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06561_));
- sky130_fd_sc_hd__clkbuf_1 _11233_ (.A(_06561_),
+ sky130_fd_sc_hd__clkbuf_1 _11230_ (.A(_06561_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06562_));
- sky130_fd_sc_hd__clkbuf_2 _11234_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[7].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11231_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[5].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06563_));
- sky130_fd_sc_hd__nor2_1 _11235_ (.A(_06563_),
-    .B(_06555_),
+ sky130_fd_sc_hd__nor2_1 _11232_ (.A(_06563_),
+    .B(_06549_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06564_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11236_ (.A(_06518_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06565_));
- sky130_fd_sc_hd__o21a_1 _11237_ (.A1(_06562_),
+ sky130_fd_sc_hd__o21ai_1 _11233_ (.A1(_06562_),
     .A2(_06564_),
-    .B1(_06565_),
+    .B1(_06533_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06566_));
- sky130_fd_sc_hd__or2_1 _11238_ (.A(_06019_),
-    .B(_06026_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06567_));
- sky130_fd_sc_hd__clkbuf_2 _11239_ (.A(_06020_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06568_));
- sky130_fd_sc_hd__o21ai_1 _11240_ (.A1(_06568_),
-    .A2(_06025_),
-    .B1(\u_uart_core.u_clk_ctl.low_count[6] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_06569_));
- sky130_fd_sc_hd__o21ai_1 _11241_ (.A1(_06566_),
-    .A2(_06567_),
-    .B1(_06569_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_00219_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11242_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[0].u_bit_reg.data_out ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06570_));
- sky130_fd_sc_hd__xnor2_1 _11243_ (.A(_06570_),
-    .B(_06561_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_06571_));
- sky130_fd_sc_hd__a211o_1 _11244_ (.A1(_06546_),
-    .A2(_06571_),
-    .B1(_06567_),
-    .C1(\u_uart_core.u_clk_ctl.low_count[7] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06572_));
- sky130_fd_sc_hd__a21bo_1 _11245_ (.A1(\u_uart_core.u_clk_ctl.low_count[7] ),
-    .A2(_06567_),
-    .B1_N(_06572_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00220_));
- sky130_fd_sc_hd__and3_1 _11246_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[0].u_bit_reg.data_out ),
-    .B(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[1].u_bit_reg.data_out ),
-    .C(_06561_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06573_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11247_ (.A(_06573_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06574_));
- sky130_fd_sc_hd__clkbuf_2 _11248_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[1].u_bit_reg.data_out ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06575_));
- sky130_fd_sc_hd__a21oi_1 _11249_ (.A1(_06570_),
-    .A2(_06562_),
-    .B1(_06575_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_06576_));
- sky130_fd_sc_hd__o21a_1 _11250_ (.A1(_06574_),
-    .A2(_06576_),
-    .B1(_06546_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06577_));
- sky130_fd_sc_hd__o21ai_1 _11251_ (.A1(\u_uart_core.u_clk_ctl.low_count[7] ),
-    .A2(_06567_),
-    .B1(\u_uart_core.u_clk_ctl.low_count[8] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_06578_));
- sky130_fd_sc_hd__o31ai_1 _11252_ (.A1(_06539_),
-    .A2(_06027_),
-    .A3(_06577_),
-    .B1(_06578_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_00221_));
- sky130_fd_sc_hd__or2_1 _11253_ (.A(_06019_),
-    .B(_06028_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06579_));
- sky130_fd_sc_hd__o21ai_1 _11254_ (.A1(_06568_),
-    .A2(_06027_),
-    .B1(\u_uart_core.u_clk_ctl.low_count[9] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_06580_));
- sky130_fd_sc_hd__and2_1 _11255_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[2].u_bit_reg.data_out ),
-    .B(_06573_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06581_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11256_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[2].u_bit_reg.data_out ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06582_));
- sky130_fd_sc_hd__nor2_1 _11257_ (.A(_06582_),
-    .B(_06574_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_06583_));
- sky130_fd_sc_hd__o211a_1 _11258_ (.A1(_06581_),
-    .A2(_06583_),
-    .B1(_06018_),
+    .Y(_06565_));
+ sky130_fd_sc_hd__o211a_1 _11234_ (.A1(_06557_),
+    .A2(_06066_),
+    .B1(_06559_),
     .C1(_06565_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .X(_00217_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11235_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[6].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06566_));
+ sky130_fd_sc_hd__and2_1 _11236_ (.A(_06566_),
+    .B(_06561_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06567_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11237_ (.A(_06566_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06568_));
+ sky130_fd_sc_hd__nor2_1 _11238_ (.A(_06568_),
+    .B(_06562_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_06569_));
+ sky130_fd_sc_hd__o21ai_1 _11239_ (.A1(_06567_),
+    .A2(_06569_),
+    .B1(_06542_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_06570_));
+ sky130_fd_sc_hd__o21ai_1 _11240_ (.A1(_06557_),
+    .A2(_06554_),
+    .B1(\u_uart_core.u_clk_ctl.low_count[5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_06571_));
+ sky130_fd_sc_hd__a21oi_1 _11241_ (.A1(_06051_),
+    .A2(_06571_),
+    .B1(_06547_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_06572_));
+ sky130_fd_sc_hd__a22o_1 _11242_ (.A1(\u_uart_core.u_clk_ctl.low_count[5] ),
+    .A2(_06537_),
+    .B1(_06570_),
+    .B2(_06572_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00218_));
+ sky130_fd_sc_hd__and3_1 _11243_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[6].u_bit_reg.data_out ),
+    .B(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[7].u_bit_reg.data_out ),
+    .C(_06560_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06573_));
+ sky130_fd_sc_hd__clkbuf_2 _11244_ (.A(_06573_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06574_));
+ sky130_fd_sc_hd__clkbuf_2 _11245_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[7].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06575_));
+ sky130_fd_sc_hd__o21ai_1 _11246_ (.A1(_06575_),
+    .A2(_06567_),
+    .B1(_06525_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_06576_));
+ sky130_fd_sc_hd__nand2_1 _11247_ (.A(\u_uart_core.u_clk_ctl.low_count[6] ),
+    .B(_06051_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_06577_));
+ sky130_fd_sc_hd__a21o_1 _11248_ (.A1(_06052_),
+    .A2(_06577_),
+    .B1(_06524_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06578_));
+ sky130_fd_sc_hd__o21ai_1 _11249_ (.A1(_06574_),
+    .A2(_06576_),
+    .B1(_06578_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_06579_));
+ sky130_fd_sc_hd__mux2_1 _11250_ (.A0(\u_uart_core.u_clk_ctl.low_count[6] ),
+    .A1(_06579_),
+    .S(_06065_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06580_));
+ sky130_fd_sc_hd__clkbuf_1 _11251_ (.A(_06580_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00219_));
+ sky130_fd_sc_hd__clkbuf_2 _11252_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[0].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06581_));
+ sky130_fd_sc_hd__xnor2_1 _11253_ (.A(_06581_),
+    .B(_06574_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_06582_));
+ sky130_fd_sc_hd__nand2_1 _11254_ (.A(\u_uart_core.u_clk_ctl.low_count[7] ),
+    .B(_06052_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_06583_));
+ sky130_fd_sc_hd__a221o_1 _11255_ (.A1(_06542_),
+    .A2(_06582_),
+    .B1(_06583_),
+    .B2(_06053_),
+    .C1(_06536_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .X(_06584_));
- sky130_fd_sc_hd__a21oi_1 _11259_ (.A1(_06579_),
-    .A2(_06580_),
-    .B1(_06584_),
+ sky130_fd_sc_hd__a21bo_1 _11256_ (.A1(\u_uart_core.u_clk_ctl.low_count[7] ),
+    .A2(_06548_),
+    .B1_N(_06584_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_00222_));
- sky130_fd_sc_hd__nand2_1 _11260_ (.A(\u_uart_core.u_clk_ctl.low_count[10] ),
-    .B(_06579_),
+    .X(_00220_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11257_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[1].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06585_));
- sky130_fd_sc_hd__or2_1 _11261_ (.A(\u_uart_core.u_clk_ctl.low_count[10] ),
-    .B(_06579_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06586_));
- sky130_fd_sc_hd__clkbuf_1 _11262_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[3].u_bit_reg.data_out ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06587_));
- sky130_fd_sc_hd__and3_1 _11263_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[2].u_bit_reg.data_out ),
-    .B(_06587_),
+    .X(_06585_));
+ sky130_fd_sc_hd__and3_1 _11258_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[0].u_bit_reg.data_out ),
+    .B(_06585_),
     .C(_06573_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06588_));
- sky130_fd_sc_hd__nor2_1 _11264_ (.A(_06587_),
-    .B(_06581_),
+    .X(_06586_));
+ sky130_fd_sc_hd__clkbuf_1 _11259_ (.A(_06586_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06589_));
- sky130_fd_sc_hd__nor2_1 _11265_ (.A(_06588_),
-    .B(_06589_),
+    .X(_06587_));
+ sky130_fd_sc_hd__a21oi_1 _11260_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[0].u_bit_reg.data_out ),
+    .A2(_06573_),
+    .B1(_06585_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_06588_));
+ sky130_fd_sc_hd__or2_1 _11261_ (.A(_06587_),
+    .B(_06588_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06589_));
+ sky130_fd_sc_hd__nand2_1 _11262_ (.A(\u_uart_core.u_clk_ctl.low_count[8] ),
+    .B(_06053_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06590_));
- sky130_fd_sc_hd__o2bb2a_1 _11266_ (.A1_N(_06585_),
-    .A2_N(_06586_),
+ sky130_fd_sc_hd__a221o_1 _11263_ (.A1(_06525_),
+    .A2(_06589_),
     .B1(_06590_),
-    .B2(_06021_),
+    .B2(_06054_),
+    .C1(_06536_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00223_));
- sky130_fd_sc_hd__nor2_2 _11267_ (.A(_06021_),
-    .B(_06579_),
+    .X(_06591_));
+ sky130_fd_sc_hd__a21bo_1 _11264_ (.A1(\u_uart_core.u_clk_ctl.low_count[8] ),
+    .A2(_06548_),
+    .B1_N(_06591_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06591_));
- sky130_fd_sc_hd__a22o_1 _11268_ (.A1(\u_uart_core.u_clk_ctl.low_count[11] ),
-    .A2(_06586_),
-    .B1(_06588_),
-    .B2(_06591_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00224_));
- sky130_fd_sc_hd__buf_2 _11269_ (.A(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+    .X(_00221_));
+ sky130_fd_sc_hd__or2_1 _11265_ (.A(_06532_),
+    .B(_06055_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06592_));
- sky130_fd_sc_hd__inv_2 _11270_ (.A(_06592_),
+ sky130_fd_sc_hd__o21ai_1 _11266_ (.A1(_06547_),
+    .A2(_06054_),
+    .B1(\u_uart_core.u_clk_ctl.low_count[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06593_));
- sky130_fd_sc_hd__clkbuf_1 _11271_ (.A(_06593_),
+ sky130_fd_sc_hd__and2_1 _11267_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[2].u_bit_reg.data_out ),
+    .B(_06586_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06594_));
- sky130_fd_sc_hd__clkbuf_2 _11272_ (.A(_06594_),
+ sky130_fd_sc_hd__clkbuf_1 _11268_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[2].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06595_));
- sky130_fd_sc_hd__or2_2 _11273_ (.A(net47),
-    .B(_06031_),
+ sky130_fd_sc_hd__nor2_1 _11269_ (.A(_06595_),
+    .B(_06587_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06596_));
- sky130_fd_sc_hd__clkbuf_1 _11274_ (.A(net7),
+    .Y(_06596_));
+ sky130_fd_sc_hd__o21a_1 _11270_ (.A1(_06594_),
+    .A2(_06596_),
+    .B1(_06533_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06597_));
- sky130_fd_sc_hd__and2b_1 _11275_ (.A_N(_06597_),
-    .B(_05947_),
+ sky130_fd_sc_hd__a21oi_1 _11271_ (.A1(_06592_),
+    .A2(_06593_),
+    .B1(_06597_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_00222_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11272_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[3].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06598_));
- sky130_fd_sc_hd__and2_1 _11276_ (.A(_05951_),
+ sky130_fd_sc_hd__and3_1 _11273_ (.A(_06595_),
     .B(_06598_),
+    .C(_06586_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06599_));
- sky130_fd_sc_hd__and4bb_2 _11277_ (.A_N(\u_uart_core.app_rxfifo_empty ),
-    .B_N(_06596_),
-    .C(_06599_),
-    .D(_05788_),
+ sky130_fd_sc_hd__nor2_1 _11274_ (.A(_06598_),
+    .B(_06594_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06600_));
- sky130_fd_sc_hd__clkbuf_2 _11278_ (.A(_06600_),
+    .Y(_06600_));
+ sky130_fd_sc_hd__o21ba_1 _11275_ (.A1(_06599_),
+    .A2(_06600_),
+    .B1_N(\u_uart_core.u_clk_ctl.low_count[11] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06601_));
- sky130_fd_sc_hd__mux2_1 _11279_ (.A0(\u_uart_core.u_rxfifo.grey_rd_ptr[0] ),
-    .A1(_06595_),
-    .S(_06601_),
+ sky130_fd_sc_hd__or2_1 _11276_ (.A(\u_uart_core.u_clk_ctl.low_count[10] ),
+    .B(_06592_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06602_));
- sky130_fd_sc_hd__clkbuf_1 _11280_ (.A(_06602_),
+ sky130_fd_sc_hd__nand2_1 _11277_ (.A(\u_uart_core.u_clk_ctl.low_count[10] ),
+    .B(_06592_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00225_));
- sky130_fd_sc_hd__clkbuf_2 _11281_ (.A(\u_uart_core.u_rxfifo.rd_ptr[2] ),
+    .Y(_06603_));
+ sky130_fd_sc_hd__o21ai_1 _11278_ (.A1(_06601_),
+    .A2(_06602_),
+    .B1(_06603_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06603_));
- sky130_fd_sc_hd__buf_2 _11282_ (.A(_06603_),
+    .Y(_00223_));
+ sky130_fd_sc_hd__clkbuf_2 _11279_ (.A(_06533_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06604_));
- sky130_fd_sc_hd__clkbuf_2 _11283_ (.A(_06604_),
+ sky130_fd_sc_hd__a22o_1 _11280_ (.A1(_06604_),
+    .A2(_06599_),
+    .B1(_06602_),
+    .B2(\u_uart_core.u_clk_ctl.low_count[11] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00224_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11281_ (.A(\u_uart_core.u_rxfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06605_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11284_ (.A(_06605_),
+ sky130_fd_sc_hd__clkbuf_2 _11282_ (.A(_06605_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06606_));
- sky130_fd_sc_hd__clkbuf_1 _11285_ (.A(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__inv_2 _11283_ (.A(_06606_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06607_));
- sky130_fd_sc_hd__clkbuf_2 _11286_ (.A(\u_uart_core.u_rxfifo.rd_ptr[0] ),
+    .Y(_06607_));
+ sky130_fd_sc_hd__clkbuf_1 _11284_ (.A(_06607_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06608_));
- sky130_fd_sc_hd__nor2_1 _11287_ (.A(_06607_),
-    .B(_06608_),
+ sky130_fd_sc_hd__clkbuf_4 _11285_ (.A(_06608_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06609_));
- sky130_fd_sc_hd__clkbuf_2 _11288_ (.A(_06609_),
+    .X(_06609_));
+ sky130_fd_sc_hd__and2b_1 _11286_ (.A_N(net7),
+    .B(_05999_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06610_));
- sky130_fd_sc_hd__xnor2_1 _11289_ (.A(_06606_),
+ sky130_fd_sc_hd__nand2_2 _11287_ (.A(_05988_),
     .B(_06610_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06611_));
- sky130_fd_sc_hd__mux2_1 _11290_ (.A0(\u_uart_core.u_rxfifo.grey_rd_ptr[1] ),
-    .A1(_06611_),
-    .S(_06601_),
+ sky130_fd_sc_hd__clkbuf_2 _11288_ (.A(_06611_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06612_));
- sky130_fd_sc_hd__clkbuf_1 _11291_ (.A(_06612_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00226_));
- sky130_fd_sc_hd__clkbuf_2 _11292_ (.A(\u_uart_core.u_rxfifo.rd_ptr[3] ),
+ sky130_fd_sc_hd__or3b_2 _11289_ (.A(_05800_),
+    .B(\u_uart_core.app_rxfifo_empty ),
+    .C_N(\u_uart_core.reg_ack ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06613_));
- sky130_fd_sc_hd__clkbuf_1 _11293_ (.A(_06613_),
+ sky130_fd_sc_hd__or3_2 _11290_ (.A(_06067_),
+    .B(_06612_),
+    .C(_06613_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06614_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11294_ (.A(_06614_),
+ sky130_fd_sc_hd__mux2_1 _11291_ (.A0(_06609_),
+    .A1(\u_uart_core.u_rxfifo.grey_rd_ptr[0] ),
+    .S(_06614_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06615_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11295_ (.A(_06615_),
+ sky130_fd_sc_hd__clkbuf_1 _11292_ (.A(_06615_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06616_));
- sky130_fd_sc_hd__buf_2 _11296_ (.A(_06603_),
+    .X(_00225_));
+ sky130_fd_sc_hd__nor3_2 _11293_ (.A(_06067_),
+    .B(_06612_),
+    .C(_06613_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_06616_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11294_ (.A(_06616_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06617_));
- sky130_fd_sc_hd__clkbuf_2 _11297_ (.A(_06617_),
+ sky130_fd_sc_hd__clkbuf_2 _11295_ (.A(\u_uart_core.u_rxfifo.rd_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06618_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11298_ (.A(_06618_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11296_ (.A(_06618_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06619_));
- sky130_fd_sc_hd__inv_2 _11299_ (.A(\u_uart_core.u_rxfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__clkbuf_2 _11297_ (.A(_06619_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06620_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11300_ (.A(_06620_),
+    .X(_06620_));
+ sky130_fd_sc_hd__clkbuf_1 _11298_ (.A(_06620_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06621_));
- sky130_fd_sc_hd__nor2_2 _11301_ (.A(_06593_),
-    .B(_06621_),
+ sky130_fd_sc_hd__clkbuf_1 _11299_ (.A(_06606_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06622_));
- sky130_fd_sc_hd__nor2_1 _11302_ (.A(_06619_),
-    .B(_06622_),
+    .X(_06622_));
+ sky130_fd_sc_hd__clkbuf_2 _11300_ (.A(_06622_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06623_));
- sky130_fd_sc_hd__xnor2_1 _11303_ (.A(_06616_),
-    .B(_06623_),
+    .X(_06623_));
+ sky130_fd_sc_hd__clkbuf_2 _11301_ (.A(_06623_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06624_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11304_ (.A(_06600_),
+    .X(_06624_));
+ sky130_fd_sc_hd__clkbuf_1 _11302_ (.A(_06624_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06625_));
- sky130_fd_sc_hd__mux2_1 _11305_ (.A0(\u_uart_core.u_rxfifo.grey_rd_ptr[2] ),
-    .A1(_06624_),
-    .S(_06625_),
+ sky130_fd_sc_hd__clkbuf_2 _11303_ (.A(\u_uart_core.u_rxfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06626_));
- sky130_fd_sc_hd__clkbuf_1 _11306_ (.A(_06626_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00227_));
- sky130_fd_sc_hd__clkbuf_2 _11307_ (.A(_06607_),
+ sky130_fd_sc_hd__clkbuf_2 _11304_ (.A(_06626_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06627_));
- sky130_fd_sc_hd__clkbuf_2 _11308_ (.A(_06627_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11305_ (.A(_06627_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06628_));
- sky130_fd_sc_hd__clkbuf_2 _11309_ (.A(_06628_),
+ sky130_fd_sc_hd__buf_2 _11306_ (.A(_06628_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06629_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11310_ (.A(_06629_),
+ sky130_fd_sc_hd__clkbuf_2 _11307_ (.A(_06629_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06630_));
- sky130_fd_sc_hd__buf_2 _11311_ (.A(_06608_),
+ sky130_fd_sc_hd__clkbuf_1 _11308_ (.A(_06630_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06631_));
- sky130_fd_sc_hd__buf_2 _11312_ (.A(_06631_),
+ sky130_fd_sc_hd__or3_1 _11309_ (.A(_06621_),
+    .B(_06625_),
+    .C(_06631_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06632_));
- sky130_fd_sc_hd__clkbuf_2 _11313_ (.A(_06632_),
+ sky130_fd_sc_hd__clkbuf_1 _11310_ (.A(_06621_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06633_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11314_ (.A(_06633_),
+ sky130_fd_sc_hd__o21ai_1 _11311_ (.A1(_06625_),
+    .A2(_06631_),
+    .B1(_06633_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06634_));
- sky130_fd_sc_hd__a31o_1 _11315_ (.A1(_06605_),
-    .A2(_06630_),
-    .A3(_06634_),
-    .B1(_06615_),
+    .Y(_06634_));
+ sky130_fd_sc_hd__and3_1 _11312_ (.A(_06617_),
+    .B(_06632_),
+    .C(_06634_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06635_));
- sky130_fd_sc_hd__xor2_1 _11316_ (.A(\u_uart_core.u_rxfifo.grey_rd_ptr[4] ),
-    .B(_06635_),
+ sky130_fd_sc_hd__a21o_1 _11313_ (.A1(\u_uart_core.u_rxfifo.grey_rd_ptr[1] ),
+    .A2(_06614_),
+    .B1(_06635_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00226_));
+ sky130_fd_sc_hd__clkbuf_1 _11314_ (.A(\u_uart_core.u_rxfifo.rd_ptr[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06636_));
- sky130_fd_sc_hd__mux2_1 _11317_ (.A0(\u_uart_core.u_rxfifo.grey_rd_ptr[3] ),
-    .A1(_06636_),
-    .S(_06625_),
+ sky130_fd_sc_hd__clkbuf_1 _11315_ (.A(_06636_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06637_));
- sky130_fd_sc_hd__clkbuf_1 _11318_ (.A(_06637_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00228_));
- sky130_fd_sc_hd__clkbuf_2 _11319_ (.A(_06621_),
+ sky130_fd_sc_hd__clkbuf_2 _11316_ (.A(_06637_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06638_));
- sky130_fd_sc_hd__xnor2_1 _11320_ (.A(_06638_),
-    .B(_06601_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_00229_));
- sky130_fd_sc_hd__clkbuf_2 _11321_ (.A(_06629_),
+ sky130_fd_sc_hd__clkbuf_2 _11317_ (.A(_06638_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06639_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11322_ (.A(_06639_),
+ sky130_fd_sc_hd__clkbuf_1 _11318_ (.A(_06639_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06640_));
- sky130_fd_sc_hd__a21oi_1 _11323_ (.A1(_06634_),
-    .A2(_06601_),
-    .B1(_06640_),
+ sky130_fd_sc_hd__buf_2 _11319_ (.A(\u_uart_core.u_rxfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06641_));
- sky130_fd_sc_hd__and3_1 _11324_ (.A(_06630_),
-    .B(_06634_),
-    .C(_06600_),
+    .X(_06641_));
+ sky130_fd_sc_hd__clkinv_2 _11320_ (.A(_06641_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06642_));
- sky130_fd_sc_hd__nor2_1 _11325_ (.A(_06641_),
-    .B(_06642_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_00230_));
- sky130_fd_sc_hd__nor2_1 _11326_ (.A(_06606_),
+    .Y(_06642_));
+ sky130_fd_sc_hd__nor2_1 _11321_ (.A(_06609_),
     .B(_06642_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06643_));
- sky130_fd_sc_hd__and3_1 _11327_ (.A(_06606_),
-    .B(_06625_),
-    .C(_06622_),
+ sky130_fd_sc_hd__or3_1 _11322_ (.A(_06640_),
+    .B(_06621_),
+    .C(_06643_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06644_));
- sky130_fd_sc_hd__nor2_1 _11328_ (.A(_06643_),
-    .B(_06644_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_00231_));
- sky130_fd_sc_hd__nor2_1 _11329_ (.A(_06616_),
-    .B(_06644_),
+ sky130_fd_sc_hd__o21ai_1 _11323_ (.A1(_06633_),
+    .A2(_06643_),
+    .B1(_06640_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06645_));
- sky130_fd_sc_hd__and3_1 _11330_ (.A(_06616_),
-    .B(_06606_),
-    .C(_06642_),
+ sky130_fd_sc_hd__and3_1 _11324_ (.A(_06617_),
+    .B(_06644_),
+    .C(_06645_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06646_));
- sky130_fd_sc_hd__nor2_1 _11331_ (.A(_06645_),
-    .B(_06646_),
+ sky130_fd_sc_hd__a21o_1 _11325_ (.A1(\u_uart_core.u_rxfifo.grey_rd_ptr[2] ),
+    .A2(_06614_),
+    .B1(_06646_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_00232_));
- sky130_fd_sc_hd__xor2_1 _11332_ (.A(\u_uart_core.u_rxfifo.grey_rd_ptr[4] ),
-    .B(_06646_),
+    .X(_00227_));
+ sky130_fd_sc_hd__a31o_1 _11326_ (.A1(_06621_),
+    .A2(_06624_),
+    .A3(_06630_),
+    .B1(_06639_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00233_));
- sky130_fd_sc_hd__xnor2_2 _11333_ (.A(\u_uart_core.u_rxfifo.sync_wr_ptr_1[3] ),
-    .B(\u_uart_core.u_rxfifo.sync_wr_ptr[4] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_06647_));
- sky130_fd_sc_hd__nand2_1 _11334_ (.A(_06613_),
+    .X(_06647_));
+ sky130_fd_sc_hd__xor2_1 _11327_ (.A(\u_uart_core.u_rxfifo.grey_rd_ptr[4] ),
     .B(_06647_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06648_));
- sky130_fd_sc_hd__inv_2 _11335_ (.A(\u_uart_core.u_rxfifo.rd_ptr[2] ),
+    .X(_06648_));
+ sky130_fd_sc_hd__mux2_1 _11328_ (.A0(\u_uart_core.u_rxfifo.grey_rd_ptr[3] ),
+    .A1(_06648_),
+    .S(_06616_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06649_));
- sky130_fd_sc_hd__clkbuf_2 _11336_ (.A(_06649_),
+    .X(_06649_));
+ sky130_fd_sc_hd__clkbuf_1 _11329_ (.A(_06649_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06650_));
- sky130_fd_sc_hd__clkbuf_2 _11337_ (.A(_06650_),
+    .X(_00228_));
+ sky130_fd_sc_hd__xnor2_1 _11330_ (.A(_06642_),
+    .B(_06617_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_00229_));
+ sky130_fd_sc_hd__a21oi_1 _11331_ (.A1(_06631_),
+    .A2(_06617_),
+    .B1(_06625_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_06650_));
+ sky130_fd_sc_hd__and3_1 _11332_ (.A(_06625_),
+    .B(_06631_),
+    .C(_06616_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06651_));
- sky130_fd_sc_hd__xnor2_2 _11338_ (.A(\u_uart_core.u_rxfifo.sync_wr_ptr_1[2] ),
-    .B(_06647_),
+ sky130_fd_sc_hd__nor2_1 _11333_ (.A(_06650_),
+    .B(_06651_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_00230_));
+ sky130_fd_sc_hd__inv_2 _11334_ (.A(\u_uart_core.u_rxfifo.rd_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06652_));
- sky130_fd_sc_hd__clkbuf_4 _11339_ (.A(_06607_),
+ sky130_fd_sc_hd__buf_2 _11335_ (.A(_06652_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06653_));
- sky130_fd_sc_hd__xnor2_2 _11340_ (.A(\u_uart_core.u_rxfifo.sync_wr_ptr_1[1] ),
-    .B(_06652_),
+ sky130_fd_sc_hd__clkbuf_2 _11336_ (.A(_06653_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06654_));
- sky130_fd_sc_hd__xnor2_1 _11341_ (.A(\u_uart_core.u_rxfifo.sync_wr_ptr_1[0] ),
-    .B(_06654_),
+    .X(_06654_));
+ sky130_fd_sc_hd__clkbuf_2 _11337_ (.A(_06654_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06655_));
- sky130_fd_sc_hd__nor2_1 _11342_ (.A(_06620_),
-    .B(_06655_),
+    .X(_06655_));
+ sky130_fd_sc_hd__xnor2_1 _11338_ (.A(_06655_),
+    .B(_06651_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_00231_));
+ sky130_fd_sc_hd__a21oi_1 _11339_ (.A1(_06633_),
+    .A2(_06651_),
+    .B1(_06640_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06656_));
- sky130_fd_sc_hd__xnor2_1 _11343_ (.A(\u_uart_core.u_rxfifo.rd_ptr[1] ),
-    .B(_06654_),
+ sky130_fd_sc_hd__and3_1 _11340_ (.A(_06640_),
+    .B(_06633_),
+    .C(_06651_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06657_));
- sky130_fd_sc_hd__or2_1 _11344_ (.A(_06656_),
+    .X(_06657_));
+ sky130_fd_sc_hd__nor2_1 _11341_ (.A(_06656_),
     .B(_06657_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06658_));
- sky130_fd_sc_hd__o21a_1 _11345_ (.A1(_06653_),
-    .A2(_06654_),
-    .B1(_06658_),
+    .Y(_00232_));
+ sky130_fd_sc_hd__xor2_1 _11342_ (.A(\u_uart_core.u_rxfifo.grey_rd_ptr[4] ),
+    .B(_06657_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06659_));
- sky130_fd_sc_hd__xnor2_1 _11346_ (.A(_06603_),
-    .B(_06652_),
+    .X(_00233_));
+ sky130_fd_sc_hd__xnor2_4 _11343_ (.A(\u_uart_core.u_rxfifo.sync_wr_ptr_1[3] ),
+    .B(\u_uart_core.u_rxfifo.sync_wr_ptr[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_06658_));
+ sky130_fd_sc_hd__xnor2_4 _11344_ (.A(\u_uart_core.u_rxfifo.sync_wr_ptr_1[2] ),
+    .B(_06658_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_06659_));
+ sky130_fd_sc_hd__xnor2_4 _11345_ (.A(\u_uart_core.u_rxfifo.sync_wr_ptr_1[1] ),
+    .B(_06659_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06660_));
- sky130_fd_sc_hd__and2b_1 _11347_ (.A_N(_06659_),
+ sky130_fd_sc_hd__xnor2_2 _11346_ (.A(\u_uart_core.u_rxfifo.sync_wr_ptr_1[0] ),
     .B(_06660_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06661_));
- sky130_fd_sc_hd__a21o_1 _11348_ (.A1(_06651_),
-    .A2(_06652_),
-    .B1(_06661_),
+    .Y(_06661_));
+ sky130_fd_sc_hd__nor2_1 _11347_ (.A(_06642_),
+    .B(_06661_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06662_));
- sky130_fd_sc_hd__nor2_1 _11349_ (.A(\u_uart_core.u_rxfifo.rd_ptr[3] ),
-    .B(_06647_),
+    .Y(_06662_));
+ sky130_fd_sc_hd__nor2_1 _11348_ (.A(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+    .B(_06660_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06663_));
- sky130_fd_sc_hd__a21oi_1 _11350_ (.A1(_06648_),
-    .A2(_06662_),
-    .B1(_06663_),
+ sky130_fd_sc_hd__and2_1 _11349_ (.A(\u_uart_core.u_rxfifo.rd_ptr[1] ),
+    .B(_06660_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06664_));
- sky130_fd_sc_hd__xor2_1 _11351_ (.A(\u_uart_core.u_rxfifo.sync_wr_ptr[4] ),
-    .B(\u_uart_core.u_rxfifo.grey_rd_ptr[4] ),
+    .X(_06664_));
+ sky130_fd_sc_hd__or2_1 _11350_ (.A(_06663_),
+    .B(_06664_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06665_));
- sky130_fd_sc_hd__xnor2_1 _11352_ (.A(_06664_),
+ sky130_fd_sc_hd__nor2_1 _11351_ (.A(_06662_),
     .B(_06665_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06666_));
- sky130_fd_sc_hd__or2b_1 _11353_ (.A(_06663_),
-    .B_N(_06648_),
+ sky130_fd_sc_hd__and2_1 _11352_ (.A(_06662_),
+    .B(_06665_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06667_));
- sky130_fd_sc_hd__xor2_1 _11354_ (.A(_06667_),
-    .B(_06662_),
+ sky130_fd_sc_hd__or2_1 _11353_ (.A(_06666_),
+    .B(_06667_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06668_));
- sky130_fd_sc_hd__nand2_1 _11355_ (.A(_06656_),
-    .B(_06657_),
+ sky130_fd_sc_hd__inv_2 _11354_ (.A(_06668_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06669_));
- sky130_fd_sc_hd__nand2_1 _11356_ (.A(_06638_),
-    .B(_06655_),
+ sky130_fd_sc_hd__nand2_1 _11355_ (.A(_06642_),
+    .B(_06661_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06670_));
- sky130_fd_sc_hd__and2b_1 _11357_ (.A_N(_06656_),
+ sky130_fd_sc_hd__and2b_1 _11356_ (.A_N(_06662_),
     .B(_06670_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06671_));
- sky130_fd_sc_hd__a21oi_1 _11358_ (.A1(_06658_),
-    .A2(_06669_),
-    .B1(_06671_),
+ sky130_fd_sc_hd__nor2_1 _11357_ (.A(_06669_),
+    .B(_06671_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06672_));
- sky130_fd_sc_hd__and2b_1 _11359_ (.A_N(_06658_),
-    .B(_06670_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06673_));
- sky130_fd_sc_hd__and2b_1 _11360_ (.A_N(_06660_),
+ sky130_fd_sc_hd__xnor2_1 _11358_ (.A(\u_uart_core.u_rxfifo.rd_ptr[2] ),
     .B(_06659_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06674_));
- sky130_fd_sc_hd__or2_1 _11361_ (.A(_06661_),
-    .B(_06674_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06675_));
- sky130_fd_sc_hd__o221a_1 _11362_ (.A1(\u_uart_core.app_rxfifo_empty ),
-    .A2(_06672_),
+    .Y(_06673_));
+ sky130_fd_sc_hd__o21a_1 _11359_ (.A1(_06663_),
+    .A2(_06666_),
     .B1(_06673_),
-    .B2(_06625_),
-    .C1(_06675_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06676_));
- sky130_fd_sc_hd__and3_1 _11363_ (.A(_06666_),
-    .B(_06668_),
-    .C(_06676_),
+    .X(_06674_));
+ sky130_fd_sc_hd__nor3_1 _11360_ (.A(_06673_),
+    .B(_06663_),
+    .C(_06666_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06677_));
- sky130_fd_sc_hd__clkbuf_1 _11364_ (.A(_06677_),
+    .Y(_06675_));
+ sky130_fd_sc_hd__nor2_1 _11361_ (.A(_06674_),
+    .B(_06675_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00234_));
- sky130_fd_sc_hd__clkbuf_1 _11365_ (.A(_06268_),
+    .Y(_06676_));
+ sky130_fd_sc_hd__a21oi_1 _11362_ (.A1(_06666_),
+    .A2(_06670_),
+    .B1(_06616_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06678_));
- sky130_fd_sc_hd__or2_1 _11366_ (.A(_06678_),
-    .B(_06247_),
+    .Y(_06677_));
+ sky130_fd_sc_hd__nor2_1 _11363_ (.A(_06676_),
+    .B(_06677_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_06678_));
+ sky130_fd_sc_hd__or2_1 _11364_ (.A(_06636_),
+    .B(_06658_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06679_));
- sky130_fd_sc_hd__and2_1 _11367_ (.A(_06248_),
-    .B(_06679_),
+ sky130_fd_sc_hd__nand2_1 _11365_ (.A(_06637_),
+    .B(_06658_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06680_));
- sky130_fd_sc_hd__clkbuf_1 _11368_ (.A(_06680_),
+    .Y(_06680_));
+ sky130_fd_sc_hd__nand2_1 _11366_ (.A(_06679_),
+    .B(_06680_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00235_));
- sky130_fd_sc_hd__clkbuf_1 _11369_ (.A(_06246_),
+    .Y(_06681_));
+ sky130_fd_sc_hd__a21oi_2 _11367_ (.A1(_06653_),
+    .A2(_06659_),
+    .B1(_06674_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06681_));
- sky130_fd_sc_hd__and2_1 _11370_ (.A(_06681_),
-    .B(_06248_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06682_));
- sky130_fd_sc_hd__or2_1 _11371_ (.A(_06462_),
-    .B(_06682_),
+    .Y(_06682_));
+ sky130_fd_sc_hd__o21a_1 _11368_ (.A1(_06681_),
+    .A2(_06682_),
+    .B1(_06679_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06683_));
- sky130_fd_sc_hd__clkbuf_1 _11372_ (.A(_06683_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00236_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11373_ (.A(_06184_),
+ sky130_fd_sc_hd__xor2_1 _11369_ (.A(\u_uart_core.u_rxfifo.sync_wr_ptr[4] ),
+    .B(\u_uart_core.u_rxfifo.grey_rd_ptr[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06684_));
- sky130_fd_sc_hd__xor2_1 _11374_ (.A(_06684_),
-    .B(_06282_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00237_));
- sky130_fd_sc_hd__nor2b_1 _11375_ (.A(\u_uart_core.u_rxfifo.wr_ptr[3] ),
-    .B_N(_06182_),
+ sky130_fd_sc_hd__xnor2_2 _11370_ (.A(_06683_),
+    .B(_06684_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06685_));
- sky130_fd_sc_hd__nand2_2 _11376_ (.A(_06189_),
-    .B(_06685_),
+ sky130_fd_sc_hd__xnor2_2 _11371_ (.A(_06681_),
+    .B(_06682_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06686_));
- sky130_fd_sc_hd__clkbuf_4 _11377_ (.A(_06686_),
+ sky130_fd_sc_hd__o2111a_1 _11372_ (.A1(\u_uart_core.app_rxfifo_empty ),
+    .A2(_06672_),
+    .B1(_06678_),
+    .C1(_06685_),
+    .D1(_06686_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00234_));
+ sky130_fd_sc_hd__clkbuf_1 _11373_ (.A(_06150_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06687_));
- sky130_fd_sc_hd__a21bo_1 _11378_ (.A1(_06684_),
-    .A2(_06282_),
-    .B1_N(_06181_),
+ sky130_fd_sc_hd__clkbuf_1 _11374_ (.A(_06687_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06688_));
- sky130_fd_sc_hd__nand2_1 _11379_ (.A(_06687_),
-    .B(_06688_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_00238_));
- sky130_fd_sc_hd__clkbuf_2 _11380_ (.A(_06247_),
+ sky130_fd_sc_hd__or2_1 _11375_ (.A(_06688_),
+    .B(_06281_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06689_));
- sky130_fd_sc_hd__o21a_1 _11381_ (.A1(_06689_),
-    .A2(\u_uart_core.u_rxfifo.grey_wr_ptr[0] ),
-    .B1(_06269_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00239_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11382_ (.A(_06185_),
+ sky130_fd_sc_hd__and2_1 _11376_ (.A(_06151_),
+    .B(_06689_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06690_));
- sky130_fd_sc_hd__o21ai_1 _11383_ (.A1(_06681_),
-    .A2(_06678_),
-    .B1(_06684_),
+ sky130_fd_sc_hd__clkbuf_1 _11377_ (.A(_06690_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06691_));
- sky130_fd_sc_hd__o31a_1 _11384_ (.A1(_06684_),
-    .A2(_06681_),
-    .A3(_06678_),
-    .B1(_06689_),
+    .X(_00235_));
+ sky130_fd_sc_hd__clkbuf_1 _11378_ (.A(_06260_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06691_));
+ sky130_fd_sc_hd__and2_1 _11379_ (.A(_06691_),
+    .B(_06151_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06692_));
- sky130_fd_sc_hd__a22o_1 _11385_ (.A1(_06690_),
-    .A2(\u_uart_core.u_rxfifo.grey_wr_ptr[1] ),
-    .B1(_06691_),
-    .B2(_06692_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00240_));
- sky130_fd_sc_hd__a21o_1 _11386_ (.A1(_06681_),
-    .A2(_06678_),
-    .B1(_06184_),
+ sky130_fd_sc_hd__or2_1 _11380_ (.A(_06468_),
+    .B(_06692_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06693_));
- sky130_fd_sc_hd__a221o_1 _11387_ (.A1(_06188_),
-    .A2(_06464_),
-    .B1(_06693_),
-    .B2(_06181_),
-    .C1(_06690_),
+ sky130_fd_sc_hd__clkbuf_1 _11381_ (.A(_06693_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00236_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11382_ (.A(_06147_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06694_));
- sky130_fd_sc_hd__a21bo_1 _11388_ (.A1(_06690_),
-    .A2(\u_uart_core.u_rxfifo.grey_wr_ptr[2] ),
-    .B1_N(_06694_),
+ sky130_fd_sc_hd__xor2_1 _11383_ (.A(_06694_),
+    .B(_06299_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00241_));
- sky130_fd_sc_hd__a31o_1 _11389_ (.A1(_06183_),
-    .A2(_06246_),
-    .A3(_06268_),
-    .B1(_06245_),
+    .X(_00237_));
+ sky130_fd_sc_hd__nor2b_1 _11384_ (.A(\u_uart_core.u_rxfifo.wr_ptr[3] ),
+    .B_N(_06146_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06695_));
- sky130_fd_sc_hd__xor2_1 _11390_ (.A(\u_uart_core.u_rxfifo.grey_wr_ptr[4] ),
+    .Y(_06695_));
+ sky130_fd_sc_hd__nand2_1 _11385_ (.A(_06152_),
     .B(_06695_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06696_));
- sky130_fd_sc_hd__mux2_1 _11391_ (.A0(\u_uart_core.u_rxfifo.grey_wr_ptr[3] ),
-    .A1(_06696_),
-    .S(_06689_),
+    .Y(_06696_));
+ sky130_fd_sc_hd__clkbuf_4 _11386_ (.A(_06696_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06697_));
- sky130_fd_sc_hd__clkbuf_1 _11392_ (.A(_06697_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00242_));
- sky130_fd_sc_hd__xnor2_1 _11393_ (.A(\u_uart_core.u_rxfifo.grey_wr_ptr[4] ),
-    .B(_06191_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_00243_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11394_ (.A(\u_uart_core.u_txfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__a21bo_1 _11387_ (.A1(_06694_),
+    .A2(_06299_),
+    .B1_N(_06145_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06698_));
- sky130_fd_sc_hd__clkbuf_2 _11395_ (.A(_06698_),
+ sky130_fd_sc_hd__nand2_1 _11388_ (.A(_06697_),
+    .B(_06698_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_00238_));
+ sky130_fd_sc_hd__mux2_1 _11389_ (.A0(_06149_),
+    .A1(\u_uart_core.u_rxfifo.grey_wr_ptr[0] ),
+    .S(_06313_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06699_));
- sky130_fd_sc_hd__mux2_1 _11396_ (.A0(\u_uart_core.u_txfifo.mem[0][0] ),
-    .A1(\u_uart_core.u_txfifo.mem[1][0] ),
-    .S(_06699_),
+ sky130_fd_sc_hd__clkbuf_1 _11390_ (.A(_06699_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06700_));
- sky130_fd_sc_hd__or2_1 _11397_ (.A(_06343_),
-    .B(_06700_),
+    .X(_00239_));
+ sky130_fd_sc_hd__o21ai_1 _11391_ (.A1(_06688_),
+    .A2(_06691_),
+    .B1(_06694_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_06700_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11392_ (.A(_06281_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06701_));
- sky130_fd_sc_hd__clkbuf_1 _11398_ (.A(_06336_),
+ sky130_fd_sc_hd__o31a_1 _11393_ (.A1(_06688_),
+    .A2(_06694_),
+    .A3(_06691_),
+    .B1(_06701_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06702_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11399_ (.A(_06698_),
+ sky130_fd_sc_hd__a22o_1 _11394_ (.A1(_06313_),
+    .A2(\u_uart_core.u_rxfifo.grey_wr_ptr[1] ),
+    .B1(_06700_),
+    .B2(_06702_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06703_));
- sky130_fd_sc_hd__mux2_1 _11400_ (.A0(\u_uart_core.u_txfifo.mem[2][0] ),
-    .A1(\u_uart_core.u_txfifo.mem[3][0] ),
-    .S(_06703_),
+    .X(_00240_));
+ sky130_fd_sc_hd__a21oi_1 _11395_ (.A1(_06688_),
+    .A2(_06691_),
+    .B1(_06147_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06704_));
- sky130_fd_sc_hd__or2_1 _11401_ (.A(_06702_),
-    .B(_06704_),
+    .Y(_06703_));
+ sky130_fd_sc_hd__xnor2_1 _11396_ (.A(_06145_),
+    .B(_06703_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_06704_));
+ sky130_fd_sc_hd__mux2_1 _11397_ (.A0(\u_uart_core.u_rxfifo.grey_wr_ptr[2] ),
+    .A1(_06704_),
+    .S(_06701_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06705_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11402_ (.A(\u_uart_core.u_txfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__clkbuf_1 _11398_ (.A(_06705_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00241_));
+ sky130_fd_sc_hd__a31o_1 _11399_ (.A1(_06687_),
+    .A2(_06147_),
+    .A3(_06260_),
+    .B1(_06145_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06706_));
- sky130_fd_sc_hd__buf_2 _11403_ (.A(_06706_),
+ sky130_fd_sc_hd__xor2_1 _11400_ (.A(\u_uart_core.u_rxfifo.grey_wr_ptr[4] ),
+    .B(_06706_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06707_));
- sky130_fd_sc_hd__mux2_1 _11404_ (.A0(\u_uart_core.u_txfifo.mem[6][0] ),
-    .A1(\u_uart_core.u_txfifo.mem[7][0] ),
-    .S(_06707_),
+ sky130_fd_sc_hd__mux2_1 _11401_ (.A0(\u_uart_core.u_rxfifo.grey_wr_ptr[3] ),
+    .A1(_06707_),
+    .S(_06701_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06708_));
- sky130_fd_sc_hd__clkbuf_1 _11405_ (.A(_06361_),
+ sky130_fd_sc_hd__clkbuf_1 _11402_ (.A(_06708_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00242_));
+ sky130_fd_sc_hd__xnor2_1 _11403_ (.A(\u_uart_core.u_rxfifo.grey_wr_ptr[4] ),
+    .B(_06154_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_00243_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11404_ (.A(\u_uart_core.u_txfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06709_));
- sky130_fd_sc_hd__mux2_1 _11406_ (.A0(\u_uart_core.u_txfifo.mem[4][0] ),
-    .A1(\u_uart_core.u_txfifo.mem[5][0] ),
-    .S(_06706_),
+ sky130_fd_sc_hd__buf_2 _11405_ (.A(_06709_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06710_));
- sky130_fd_sc_hd__or2_1 _11407_ (.A(_06709_),
-    .B(_06710_),
+ sky130_fd_sc_hd__mux2_1 _11406_ (.A0(\u_uart_core.u_txfifo.mem[0][0] ),
+    .A1(\u_uart_core.u_txfifo.mem[1][0] ),
+    .S(_06710_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06711_));
- sky130_fd_sc_hd__o211a_1 _11408_ (.A1(_06338_),
-    .A2(_06708_),
-    .B1(_06711_),
-    .C1(_06372_),
+ sky130_fd_sc_hd__or2_1 _11407_ (.A(_06353_),
+    .B(_06711_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06712_));
- sky130_fd_sc_hd__a311o_1 _11409_ (.A1(_06371_),
-    .A2(_06701_),
-    .A3(_06705_),
-    .B1(_06712_),
-    .C1(_06374_),
+ sky130_fd_sc_hd__clkbuf_1 _11408_ (.A(_06346_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06713_));
- sky130_fd_sc_hd__clkbuf_1 _11410_ (.A(_06389_),
+ sky130_fd_sc_hd__clkbuf_2 _11409_ (.A(_06709_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06714_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11411_ (.A(_06344_),
+ sky130_fd_sc_hd__mux2_1 _11410_ (.A0(\u_uart_core.u_txfifo.mem[2][0] ),
+    .A1(\u_uart_core.u_txfifo.mem[3][0] ),
+    .S(_06714_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06715_));
- sky130_fd_sc_hd__clkbuf_2 _11412_ (.A(_06715_),
+ sky130_fd_sc_hd__or2_1 _11411_ (.A(_06713_),
+    .B(_06715_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06716_));
- sky130_fd_sc_hd__clkbuf_2 _11413_ (.A(_06356_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11412_ (.A(\u_uart_core.u_txfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06717_));
- sky130_fd_sc_hd__mux4_1 _11414_ (.A0(\u_uart_core.u_txfifo.mem[12][0] ),
-    .A1(\u_uart_core.u_txfifo.mem[13][0] ),
-    .A2(\u_uart_core.u_txfifo.mem[14][0] ),
-    .A3(\u_uart_core.u_txfifo.mem[15][0] ),
-    .S0(_06716_),
-    .S1(_06717_),
+ sky130_fd_sc_hd__clkbuf_2 _11413_ (.A(_06717_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06718_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11415_ (.A(_06342_),
+ sky130_fd_sc_hd__mux2_1 _11414_ (.A0(\u_uart_core.u_txfifo.mem[6][0] ),
+    .A1(\u_uart_core.u_txfifo.mem[7][0] ),
+    .S(_06718_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06719_));
- sky130_fd_sc_hd__mux4_1 _11416_ (.A0(\u_uart_core.u_txfifo.mem[8][0] ),
-    .A1(\u_uart_core.u_txfifo.mem[9][0] ),
-    .A2(\u_uart_core.u_txfifo.mem[10][0] ),
-    .A3(\u_uart_core.u_txfifo.mem[11][0] ),
-    .S0(_06363_),
-    .S1(_06719_),
+ sky130_fd_sc_hd__clkbuf_1 _11415_ (.A(_06371_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06720_));
- sky130_fd_sc_hd__nand2_1 _11417_ (.A(_06354_),
-    .B(_06370_),
+ sky130_fd_sc_hd__mux2_1 _11416_ (.A0(\u_uart_core.u_txfifo.mem[4][0] ),
+    .A1(\u_uart_core.u_txfifo.mem[5][0] ),
+    .S(_06717_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06721_));
- sky130_fd_sc_hd__clkbuf_1 _11418_ (.A(_06721_),
+    .X(_06721_));
+ sky130_fd_sc_hd__or2_1 _11417_ (.A(_06720_),
+    .B(_06721_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06722_));
- sky130_fd_sc_hd__nor2_2 _11419_ (.A(_05443_),
-    .B(_05424_),
+ sky130_fd_sc_hd__o211a_1 _11418_ (.A1(_06348_),
+    .A2(_06719_),
+    .B1(_06722_),
+    .C1(_06382_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06723_));
- sky130_fd_sc_hd__clkbuf_2 _11420_ (.A(_06723_),
+    .X(_06723_));
+ sky130_fd_sc_hd__a311o_1 _11419_ (.A1(_06381_),
+    .A2(_06712_),
+    .A3(_06716_),
+    .B1(_06723_),
+    .C1(_06384_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06724_));
- sky130_fd_sc_hd__o221a_1 _11421_ (.A1(_06714_),
-    .A2(_06718_),
-    .B1(_06720_),
-    .B2(_06722_),
-    .C1(_06724_),
+ sky130_fd_sc_hd__clkbuf_1 _11420_ (.A(_06399_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06725_));
- sky130_fd_sc_hd__a22o_1 _11422_ (.A1(\u_uart_core.u_txfsm.txdata[0] ),
-    .A2(_05445_),
-    .B1(_06713_),
-    .B2(_06725_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00244_));
- sky130_fd_sc_hd__clkbuf_1 _11423_ (.A(_06709_),
+ sky130_fd_sc_hd__clkbuf_2 _11421_ (.A(_06354_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06726_));
- sky130_fd_sc_hd__mux2_1 _11424_ (.A0(\u_uart_core.u_txfifo.mem[0][1] ),
-    .A1(\u_uart_core.u_txfifo.mem[1][1] ),
-    .S(_06699_),
+ sky130_fd_sc_hd__clkbuf_2 _11422_ (.A(_06726_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06727_));
- sky130_fd_sc_hd__or2_1 _11425_ (.A(_06726_),
-    .B(_06727_),
+ sky130_fd_sc_hd__clkbuf_2 _11423_ (.A(_06366_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06728_));
- sky130_fd_sc_hd__mux2_1 _11426_ (.A0(\u_uart_core.u_txfifo.mem[2][1] ),
-    .A1(\u_uart_core.u_txfifo.mem[3][1] ),
-    .S(_06703_),
+ sky130_fd_sc_hd__mux4_1 _11424_ (.A0(\u_uart_core.u_txfifo.mem[12][0] ),
+    .A1(\u_uart_core.u_txfifo.mem[13][0] ),
+    .A2(\u_uart_core.u_txfifo.mem[14][0] ),
+    .A3(\u_uart_core.u_txfifo.mem[15][0] ),
+    .S0(_06727_),
+    .S1(_06728_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06729_));
- sky130_fd_sc_hd__or2_1 _11427_ (.A(_06702_),
-    .B(_06729_),
+ sky130_fd_sc_hd__clkbuf_2 _11425_ (.A(_06352_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06730_));
- sky130_fd_sc_hd__mux2_1 _11428_ (.A0(\u_uart_core.u_txfifo.mem[6][1] ),
-    .A1(\u_uart_core.u_txfifo.mem[7][1] ),
-    .S(_06707_),
+ sky130_fd_sc_hd__mux4_2 _11426_ (.A0(\u_uart_core.u_txfifo.mem[8][0] ),
+    .A1(\u_uart_core.u_txfifo.mem[9][0] ),
+    .A2(\u_uart_core.u_txfifo.mem[10][0] ),
+    .A3(\u_uart_core.u_txfifo.mem[11][0] ),
+    .S0(_06373_),
+    .S1(_06730_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06731_));
- sky130_fd_sc_hd__mux2_1 _11429_ (.A0(\u_uart_core.u_txfifo.mem[4][1] ),
-    .A1(\u_uart_core.u_txfifo.mem[5][1] ),
-    .S(_06706_),
+ sky130_fd_sc_hd__nand2_1 _11427_ (.A(_06364_),
+    .B(_06380_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06732_));
- sky130_fd_sc_hd__or2_1 _11430_ (.A(_06709_),
-    .B(_06732_),
+    .Y(_06732_));
+ sky130_fd_sc_hd__clkbuf_1 _11428_ (.A(_06732_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06733_));
- sky130_fd_sc_hd__o211a_1 _11431_ (.A1(_06338_),
-    .A2(_06731_),
-    .B1(_06733_),
-    .C1(_06372_),
+ sky130_fd_sc_hd__nor2_2 _11429_ (.A(_05424_),
+    .B(_05405_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06734_));
- sky130_fd_sc_hd__a311o_1 _11432_ (.A1(_06371_),
-    .A2(_06728_),
-    .A3(_06730_),
-    .B1(_06734_),
-    .C1(_06374_),
+    .Y(_06734_));
+ sky130_fd_sc_hd__clkbuf_2 _11430_ (.A(_06734_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06735_));
- sky130_fd_sc_hd__clkbuf_2 _11433_ (.A(_06356_),
+ sky130_fd_sc_hd__o221a_1 _11431_ (.A1(_06725_),
+    .A2(_06729_),
+    .B1(_06731_),
+    .B2(_06733_),
+    .C1(_06735_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06736_));
- sky130_fd_sc_hd__mux4_1 _11434_ (.A0(\u_uart_core.u_txfifo.mem[12][1] ),
-    .A1(\u_uart_core.u_txfifo.mem[13][1] ),
-    .A2(\u_uart_core.u_txfifo.mem[14][1] ),
-    .A3(\u_uart_core.u_txfifo.mem[15][1] ),
-    .S0(_06716_),
-    .S1(_06736_),
+ sky130_fd_sc_hd__a22o_1 _11432_ (.A1(\u_uart_core.u_txfsm.txdata[0] ),
+    .A2(_05426_),
+    .B1(_06724_),
+    .B2(_06736_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00244_));
+ sky130_fd_sc_hd__clkbuf_1 _11433_ (.A(_06720_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06737_));
- sky130_fd_sc_hd__clkbuf_2 _11435_ (.A(_06342_),
+ sky130_fd_sc_hd__mux2_1 _11434_ (.A0(\u_uart_core.u_txfifo.mem[0][1] ),
+    .A1(\u_uart_core.u_txfifo.mem[1][1] ),
+    .S(_06710_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06738_));
- sky130_fd_sc_hd__mux4_1 _11436_ (.A0(\u_uart_core.u_txfifo.mem[8][1] ),
-    .A1(\u_uart_core.u_txfifo.mem[9][1] ),
-    .A2(\u_uart_core.u_txfifo.mem[10][1] ),
-    .A3(\u_uart_core.u_txfifo.mem[11][1] ),
-    .S0(_06363_),
-    .S1(_06738_),
+ sky130_fd_sc_hd__or2_1 _11435_ (.A(_06737_),
+    .B(_06738_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06739_));
- sky130_fd_sc_hd__o221a_1 _11437_ (.A1(_06714_),
-    .A2(_06737_),
-    .B1(_06739_),
-    .B2(_06722_),
-    .C1(_06724_),
+ sky130_fd_sc_hd__mux2_1 _11436_ (.A0(\u_uart_core.u_txfifo.mem[2][1] ),
+    .A1(\u_uart_core.u_txfifo.mem[3][1] ),
+    .S(_06714_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06740_));
- sky130_fd_sc_hd__a22o_1 _11438_ (.A1(\u_uart_core.u_txfsm.txdata[1] ),
-    .A2(_05445_),
-    .B1(_06735_),
-    .B2(_06740_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00245_));
- sky130_fd_sc_hd__mux2_1 _11439_ (.A0(\u_uart_core.u_txfifo.mem[0][2] ),
-    .A1(\u_uart_core.u_txfifo.mem[1][2] ),
-    .S(_06699_),
+ sky130_fd_sc_hd__or2_1 _11437_ (.A(_06713_),
+    .B(_06740_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06741_));
- sky130_fd_sc_hd__or2_1 _11440_ (.A(_06726_),
-    .B(_06741_),
+ sky130_fd_sc_hd__mux2_1 _11438_ (.A0(\u_uart_core.u_txfifo.mem[6][1] ),
+    .A1(\u_uart_core.u_txfifo.mem[7][1] ),
+    .S(_06718_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06742_));
- sky130_fd_sc_hd__mux2_1 _11441_ (.A0(\u_uart_core.u_txfifo.mem[2][2] ),
-    .A1(\u_uart_core.u_txfifo.mem[3][2] ),
-    .S(_06703_),
+ sky130_fd_sc_hd__mux2_1 _11439_ (.A0(\u_uart_core.u_txfifo.mem[4][1] ),
+    .A1(\u_uart_core.u_txfifo.mem[5][1] ),
+    .S(_06717_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06743_));
- sky130_fd_sc_hd__or2_1 _11442_ (.A(_06702_),
+ sky130_fd_sc_hd__or2_1 _11440_ (.A(_06720_),
     .B(_06743_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06744_));
- sky130_fd_sc_hd__mux2_1 _11443_ (.A0(\u_uart_core.u_txfifo.mem[6][2] ),
-    .A1(\u_uart_core.u_txfifo.mem[7][2] ),
-    .S(_06707_),
+ sky130_fd_sc_hd__o211a_1 _11441_ (.A1(_06348_),
+    .A2(_06742_),
+    .B1(_06744_),
+    .C1(_06382_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06745_));
- sky130_fd_sc_hd__mux2_1 _11444_ (.A0(\u_uart_core.u_txfifo.mem[4][2] ),
-    .A1(\u_uart_core.u_txfifo.mem[5][2] ),
-    .S(_06706_),
+ sky130_fd_sc_hd__a311o_1 _11442_ (.A1(_06381_),
+    .A2(_06739_),
+    .A3(_06741_),
+    .B1(_06745_),
+    .C1(_06384_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06746_));
- sky130_fd_sc_hd__or2_1 _11445_ (.A(_06709_),
-    .B(_06746_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11443_ (.A(_06366_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06747_));
- sky130_fd_sc_hd__o211a_1 _11446_ (.A1(_06338_),
-    .A2(_06745_),
-    .B1(_06747_),
-    .C1(_06372_),
+ sky130_fd_sc_hd__mux4_1 _11444_ (.A0(\u_uart_core.u_txfifo.mem[12][1] ),
+    .A1(\u_uart_core.u_txfifo.mem[13][1] ),
+    .A2(\u_uart_core.u_txfifo.mem[14][1] ),
+    .A3(\u_uart_core.u_txfifo.mem[15][1] ),
+    .S0(_06727_),
+    .S1(_06747_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06748_));
- sky130_fd_sc_hd__a311o_1 _11447_ (.A1(_06371_),
-    .A2(_06742_),
-    .A3(_06744_),
-    .B1(_06748_),
-    .C1(_06374_),
+ sky130_fd_sc_hd__clkbuf_2 _11445_ (.A(_06352_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06749_));
- sky130_fd_sc_hd__mux4_1 _11448_ (.A0(\u_uart_core.u_txfifo.mem[12][2] ),
-    .A1(\u_uart_core.u_txfifo.mem[13][2] ),
-    .A2(\u_uart_core.u_txfifo.mem[14][2] ),
-    .A3(\u_uart_core.u_txfifo.mem[15][2] ),
-    .S0(_06716_),
-    .S1(_06736_),
+ sky130_fd_sc_hd__mux4_2 _11446_ (.A0(\u_uart_core.u_txfifo.mem[8][1] ),
+    .A1(\u_uart_core.u_txfifo.mem[9][1] ),
+    .A2(\u_uart_core.u_txfifo.mem[10][1] ),
+    .A3(\u_uart_core.u_txfifo.mem[11][1] ),
+    .S0(_06373_),
+    .S1(_06749_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06750_));
- sky130_fd_sc_hd__mux4_1 _11449_ (.A0(\u_uart_core.u_txfifo.mem[8][2] ),
-    .A1(\u_uart_core.u_txfifo.mem[9][2] ),
-    .A2(\u_uart_core.u_txfifo.mem[10][2] ),
-    .A3(\u_uart_core.u_txfifo.mem[11][2] ),
-    .S0(_06363_),
-    .S1(_06738_),
+ sky130_fd_sc_hd__o221a_1 _11447_ (.A1(_06725_),
+    .A2(_06748_),
+    .B1(_06750_),
+    .B2(_06733_),
+    .C1(_06735_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06751_));
- sky130_fd_sc_hd__o221a_1 _11450_ (.A1(_06714_),
-    .A2(_06750_),
-    .B1(_06751_),
-    .B2(_06722_),
-    .C1(_06724_),
+ sky130_fd_sc_hd__a22o_1 _11448_ (.A1(\u_uart_core.u_txfsm.txdata[1] ),
+    .A2(_05426_),
+    .B1(_06746_),
+    .B2(_06751_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00245_));
+ sky130_fd_sc_hd__mux2_1 _11449_ (.A0(\u_uart_core.u_txfifo.mem[0][2] ),
+    .A1(\u_uart_core.u_txfifo.mem[1][2] ),
+    .S(_06710_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06752_));
- sky130_fd_sc_hd__a22o_1 _11451_ (.A1(\u_uart_core.u_txfsm.txdata[2] ),
-    .A2(_05445_),
-    .B1(_06749_),
-    .B2(_06752_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00246_));
- sky130_fd_sc_hd__clkbuf_1 _11452_ (.A(_05444_),
+ sky130_fd_sc_hd__or2_1 _11450_ (.A(_06737_),
+    .B(_06752_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06753_));
- sky130_fd_sc_hd__clkbuf_1 _11453_ (.A(_06370_),
+ sky130_fd_sc_hd__mux2_1 _11451_ (.A0(\u_uart_core.u_txfifo.mem[2][2] ),
+    .A1(\u_uart_core.u_txfifo.mem[3][2] ),
+    .S(_06714_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06754_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11454_ (.A(_06698_),
+ sky130_fd_sc_hd__or2_1 _11452_ (.A(_06713_),
+    .B(_06754_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06755_));
- sky130_fd_sc_hd__mux2_1 _11455_ (.A0(\u_uart_core.u_txfifo.mem[0][3] ),
-    .A1(\u_uart_core.u_txfifo.mem[1][3] ),
-    .S(_06755_),
+ sky130_fd_sc_hd__mux2_1 _11453_ (.A0(\u_uart_core.u_txfifo.mem[6][2] ),
+    .A1(\u_uart_core.u_txfifo.mem[7][2] ),
+    .S(_06718_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06756_));
- sky130_fd_sc_hd__or2_1 _11456_ (.A(_06726_),
-    .B(_06756_),
+ sky130_fd_sc_hd__mux2_1 _11454_ (.A0(\u_uart_core.u_txfifo.mem[4][2] ),
+    .A1(\u_uart_core.u_txfifo.mem[5][2] ),
+    .S(_06717_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06757_));
- sky130_fd_sc_hd__clkbuf_1 _11457_ (.A(_06337_),
+ sky130_fd_sc_hd__or2_1 _11455_ (.A(_06720_),
+    .B(_06757_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06758_));
- sky130_fd_sc_hd__clkbuf_2 _11458_ (.A(_06344_),
+ sky130_fd_sc_hd__o211a_1 _11456_ (.A1(_06348_),
+    .A2(_06756_),
+    .B1(_06758_),
+    .C1(_06382_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06759_));
- sky130_fd_sc_hd__mux2_1 _11459_ (.A0(\u_uart_core.u_txfifo.mem[2][3] ),
-    .A1(\u_uart_core.u_txfifo.mem[3][3] ),
-    .S(_06759_),
+ sky130_fd_sc_hd__a311o_1 _11457_ (.A1(_06381_),
+    .A2(_06753_),
+    .A3(_06755_),
+    .B1(_06759_),
+    .C1(_06384_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06760_));
- sky130_fd_sc_hd__or2_1 _11460_ (.A(_06758_),
-    .B(_06760_),
+ sky130_fd_sc_hd__mux4_1 _11458_ (.A0(\u_uart_core.u_txfifo.mem[12][2] ),
+    .A1(\u_uart_core.u_txfifo.mem[13][2] ),
+    .A2(\u_uart_core.u_txfifo.mem[14][2] ),
+    .A3(\u_uart_core.u_txfifo.mem[15][2] ),
+    .S0(_06727_),
+    .S1(_06747_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06761_));
- sky130_fd_sc_hd__clkbuf_1 _11461_ (.A(_06337_),
+ sky130_fd_sc_hd__mux4_2 _11459_ (.A0(\u_uart_core.u_txfifo.mem[8][2] ),
+    .A1(\u_uart_core.u_txfifo.mem[9][2] ),
+    .A2(\u_uart_core.u_txfifo.mem[10][2] ),
+    .A3(\u_uart_core.u_txfifo.mem[11][2] ),
+    .S0(_06373_),
+    .S1(_06749_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06762_));
- sky130_fd_sc_hd__clkbuf_2 _11462_ (.A(_06715_),
+ sky130_fd_sc_hd__o221a_1 _11460_ (.A1(_06725_),
+    .A2(_06761_),
+    .B1(_06762_),
+    .B2(_06733_),
+    .C1(_06735_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06763_));
- sky130_fd_sc_hd__mux2_1 _11463_ (.A0(\u_uart_core.u_txfifo.mem[6][3] ),
-    .A1(\u_uart_core.u_txfifo.mem[7][3] ),
-    .S(_06763_),
+ sky130_fd_sc_hd__a22o_1 _11461_ (.A1(\u_uart_core.u_txfsm.txdata[2] ),
+    .A2(_05426_),
+    .B1(_06760_),
+    .B2(_06763_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00246_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11462_ (.A(_05425_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06764_));
- sky130_fd_sc_hd__clkbuf_1 _11464_ (.A(_06361_),
+ sky130_fd_sc_hd__clkbuf_1 _11463_ (.A(_06380_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06765_));
- sky130_fd_sc_hd__clkbuf_2 _11465_ (.A(\u_uart_core.u_txfifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11464_ (.A(_06709_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06766_));
- sky130_fd_sc_hd__mux2_1 _11466_ (.A0(\u_uart_core.u_txfifo.mem[4][3] ),
-    .A1(\u_uart_core.u_txfifo.mem[5][3] ),
+ sky130_fd_sc_hd__mux2_1 _11465_ (.A0(\u_uart_core.u_txfifo.mem[0][3] ),
+    .A1(\u_uart_core.u_txfifo.mem[1][3] ),
     .S(_06766_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06767_));
- sky130_fd_sc_hd__or2_1 _11467_ (.A(_06765_),
+ sky130_fd_sc_hd__or2_1 _11466_ (.A(_06737_),
     .B(_06767_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06768_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11468_ (.A(_06348_),
+ sky130_fd_sc_hd__clkbuf_1 _11467_ (.A(_06347_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06769_));
- sky130_fd_sc_hd__o211a_1 _11469_ (.A1(_06762_),
-    .A2(_06764_),
-    .B1(_06768_),
-    .C1(_06769_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11468_ (.A(_06354_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06770_));
- sky130_fd_sc_hd__clkbuf_1 _11470_ (.A(_06355_),
+ sky130_fd_sc_hd__mux2_1 _11469_ (.A0(\u_uart_core.u_txfifo.mem[2][3] ),
+    .A1(\u_uart_core.u_txfifo.mem[3][3] ),
+    .S(_06770_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06771_));
- sky130_fd_sc_hd__a311o_1 _11471_ (.A1(_06754_),
-    .A2(_06757_),
-    .A3(_06761_),
-    .B1(_06770_),
-    .C1(_06771_),
+ sky130_fd_sc_hd__or2_1 _11470_ (.A(_06769_),
+    .B(_06771_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06772_));
- sky130_fd_sc_hd__clkbuf_2 _11472_ (.A(_06715_),
+ sky130_fd_sc_hd__clkbuf_1 _11471_ (.A(_06347_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06773_));
- sky130_fd_sc_hd__mux4_1 _11473_ (.A0(\u_uart_core.u_txfifo.mem[12][3] ),
-    .A1(\u_uart_core.u_txfifo.mem[13][3] ),
-    .A2(\u_uart_core.u_txfifo.mem[14][3] ),
-    .A3(\u_uart_core.u_txfifo.mem[15][3] ),
-    .S0(_06773_),
-    .S1(_06736_),
+ sky130_fd_sc_hd__clkbuf_2 _11472_ (.A(_06726_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06774_));
- sky130_fd_sc_hd__clkbuf_2 _11474_ (.A(_06715_),
+ sky130_fd_sc_hd__mux2_1 _11473_ (.A0(\u_uart_core.u_txfifo.mem[6][3] ),
+    .A1(\u_uart_core.u_txfifo.mem[7][3] ),
+    .S(_06774_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06775_));
- sky130_fd_sc_hd__mux4_1 _11475_ (.A0(\u_uart_core.u_txfifo.mem[8][3] ),
-    .A1(\u_uart_core.u_txfifo.mem[9][3] ),
-    .A2(\u_uart_core.u_txfifo.mem[10][3] ),
-    .A3(\u_uart_core.u_txfifo.mem[11][3] ),
-    .S0(_06775_),
-    .S1(_06738_),
+ sky130_fd_sc_hd__clkbuf_1 _11474_ (.A(_06371_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06776_));
- sky130_fd_sc_hd__clkbuf_2 _11476_ (.A(_06723_),
+ sky130_fd_sc_hd__clkbuf_2 _11475_ (.A(\u_uart_core.u_txfifo.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06777_));
- sky130_fd_sc_hd__o221a_1 _11477_ (.A1(_06714_),
-    .A2(_06774_),
-    .B1(_06776_),
-    .B2(_06722_),
-    .C1(_06777_),
+ sky130_fd_sc_hd__mux2_1 _11476_ (.A0(\u_uart_core.u_txfifo.mem[4][3] ),
+    .A1(\u_uart_core.u_txfifo.mem[5][3] ),
+    .S(_06777_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06778_));
- sky130_fd_sc_hd__a22o_1 _11478_ (.A1(\u_uart_core.u_txfsm.txdata[3] ),
-    .A2(_06753_),
-    .B1(_06772_),
-    .B2(_06778_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00247_));
- sky130_fd_sc_hd__mux2_1 _11479_ (.A0(\u_uart_core.u_txfifo.mem[0][4] ),
-    .A1(\u_uart_core.u_txfifo.mem[1][4] ),
-    .S(_06755_),
+ sky130_fd_sc_hd__or2_1 _11477_ (.A(_06776_),
+    .B(_06778_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06779_));
- sky130_fd_sc_hd__or2_1 _11480_ (.A(_06726_),
-    .B(_06779_),
+ sky130_fd_sc_hd__clkbuf_1 _11478_ (.A(_06358_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06780_));
- sky130_fd_sc_hd__mux2_1 _11481_ (.A0(\u_uart_core.u_txfifo.mem[2][4] ),
-    .A1(\u_uart_core.u_txfifo.mem[3][4] ),
-    .S(_06759_),
+ sky130_fd_sc_hd__o211a_1 _11479_ (.A1(_06773_),
+    .A2(_06775_),
+    .B1(_06779_),
+    .C1(_06780_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06781_));
- sky130_fd_sc_hd__or2_1 _11482_ (.A(_06758_),
-    .B(_06781_),
+ sky130_fd_sc_hd__clkbuf_1 _11480_ (.A(_06365_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06782_));
- sky130_fd_sc_hd__mux2_1 _11483_ (.A0(\u_uart_core.u_txfifo.mem[6][4] ),
-    .A1(\u_uart_core.u_txfifo.mem[7][4] ),
-    .S(_06763_),
+ sky130_fd_sc_hd__a311o_1 _11481_ (.A1(_06765_),
+    .A2(_06768_),
+    .A3(_06772_),
+    .B1(_06781_),
+    .C1(_06782_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06783_));
- sky130_fd_sc_hd__mux2_1 _11484_ (.A0(\u_uart_core.u_txfifo.mem[4][4] ),
-    .A1(\u_uart_core.u_txfifo.mem[5][4] ),
-    .S(_06766_),
+ sky130_fd_sc_hd__clkbuf_2 _11482_ (.A(_06726_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06784_));
- sky130_fd_sc_hd__or2_1 _11485_ (.A(_06765_),
-    .B(_06784_),
+ sky130_fd_sc_hd__mux4_1 _11483_ (.A0(\u_uart_core.u_txfifo.mem[12][3] ),
+    .A1(\u_uart_core.u_txfifo.mem[13][3] ),
+    .A2(\u_uart_core.u_txfifo.mem[14][3] ),
+    .A3(\u_uart_core.u_txfifo.mem[15][3] ),
+    .S0(_06784_),
+    .S1(_06747_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06785_));
- sky130_fd_sc_hd__o211a_1 _11486_ (.A1(_06762_),
-    .A2(_06783_),
-    .B1(_06785_),
-    .C1(_06769_),
+ sky130_fd_sc_hd__buf_2 _11484_ (.A(_06726_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06786_));
- sky130_fd_sc_hd__a311o_1 _11487_ (.A1(_06754_),
-    .A2(_06780_),
-    .A3(_06782_),
-    .B1(_06786_),
-    .C1(_06771_),
+ sky130_fd_sc_hd__mux4_2 _11485_ (.A0(\u_uart_core.u_txfifo.mem[8][3] ),
+    .A1(\u_uart_core.u_txfifo.mem[9][3] ),
+    .A2(\u_uart_core.u_txfifo.mem[10][3] ),
+    .A3(\u_uart_core.u_txfifo.mem[11][3] ),
+    .S0(_06786_),
+    .S1(_06749_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06787_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11488_ (.A(_06389_),
+ sky130_fd_sc_hd__clkbuf_1 _11486_ (.A(_06734_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06788_));
- sky130_fd_sc_hd__mux4_1 _11489_ (.A0(\u_uart_core.u_txfifo.mem[12][4] ),
-    .A1(\u_uart_core.u_txfifo.mem[13][4] ),
-    .A2(\u_uart_core.u_txfifo.mem[14][4] ),
-    .A3(\u_uart_core.u_txfifo.mem[15][4] ),
-    .S0(_06773_),
-    .S1(_06736_),
+ sky130_fd_sc_hd__o221a_1 _11487_ (.A1(_06725_),
+    .A2(_06785_),
+    .B1(_06787_),
+    .B2(_06733_),
+    .C1(_06788_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06789_));
- sky130_fd_sc_hd__mux4_1 _11490_ (.A0(\u_uart_core.u_txfifo.mem[8][4] ),
-    .A1(\u_uart_core.u_txfifo.mem[9][4] ),
-    .A2(\u_uart_core.u_txfifo.mem[10][4] ),
-    .A3(\u_uart_core.u_txfifo.mem[11][4] ),
-    .S0(_06775_),
-    .S1(_06738_),
+ sky130_fd_sc_hd__a22o_1 _11488_ (.A1(\u_uart_core.u_txfsm.txdata[3] ),
+    .A2(_06764_),
+    .B1(_06783_),
+    .B2(_06789_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00247_));
+ sky130_fd_sc_hd__mux2_1 _11489_ (.A0(\u_uart_core.u_txfifo.mem[0][4] ),
+    .A1(\u_uart_core.u_txfifo.mem[1][4] ),
+    .S(_06766_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06790_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11491_ (.A(_06721_),
+ sky130_fd_sc_hd__or2_1 _11490_ (.A(_06737_),
+    .B(_06790_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06791_));
- sky130_fd_sc_hd__o221a_1 _11492_ (.A1(_06788_),
-    .A2(_06789_),
-    .B1(_06790_),
-    .B2(_06791_),
-    .C1(_06777_),
+ sky130_fd_sc_hd__mux2_1 _11491_ (.A0(\u_uart_core.u_txfifo.mem[2][4] ),
+    .A1(\u_uart_core.u_txfifo.mem[3][4] ),
+    .S(_06770_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06792_));
- sky130_fd_sc_hd__a22o_1 _11493_ (.A1(\u_uart_core.u_txfsm.txdata[4] ),
-    .A2(_06753_),
-    .B1(_06787_),
-    .B2(_06792_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00248_));
- sky130_fd_sc_hd__mux2_1 _11494_ (.A0(\u_uart_core.u_txfifo.mem[0][5] ),
-    .A1(\u_uart_core.u_txfifo.mem[1][5] ),
-    .S(_06755_),
+ sky130_fd_sc_hd__or2_1 _11492_ (.A(_06769_),
+    .B(_06792_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06793_));
- sky130_fd_sc_hd__or2_1 _11495_ (.A(_06719_),
-    .B(_06793_),
+ sky130_fd_sc_hd__mux2_1 _11493_ (.A0(\u_uart_core.u_txfifo.mem[6][4] ),
+    .A1(\u_uart_core.u_txfifo.mem[7][4] ),
+    .S(_06774_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06794_));
- sky130_fd_sc_hd__mux2_1 _11496_ (.A0(\u_uart_core.u_txfifo.mem[2][5] ),
-    .A1(\u_uart_core.u_txfifo.mem[3][5] ),
-    .S(_06759_),
+ sky130_fd_sc_hd__mux2_1 _11494_ (.A0(\u_uart_core.u_txfifo.mem[4][4] ),
+    .A1(\u_uart_core.u_txfifo.mem[5][4] ),
+    .S(_06777_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06795_));
- sky130_fd_sc_hd__or2_1 _11497_ (.A(_06758_),
+ sky130_fd_sc_hd__or2_1 _11495_ (.A(_06776_),
     .B(_06795_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06796_));
- sky130_fd_sc_hd__mux2_1 _11498_ (.A0(\u_uart_core.u_txfifo.mem[6][5] ),
-    .A1(\u_uart_core.u_txfifo.mem[7][5] ),
-    .S(_06763_),
+ sky130_fd_sc_hd__o211a_1 _11496_ (.A1(_06773_),
+    .A2(_06794_),
+    .B1(_06796_),
+    .C1(_06780_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06797_));
- sky130_fd_sc_hd__mux2_1 _11499_ (.A0(\u_uart_core.u_txfifo.mem[4][5] ),
-    .A1(\u_uart_core.u_txfifo.mem[5][5] ),
-    .S(_06766_),
+ sky130_fd_sc_hd__a311o_1 _11497_ (.A1(_06765_),
+    .A2(_06791_),
+    .A3(_06793_),
+    .B1(_06797_),
+    .C1(_06782_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06798_));
- sky130_fd_sc_hd__or2_1 _11500_ (.A(_06765_),
-    .B(_06798_),
+ sky130_fd_sc_hd__clkbuf_1 _11498_ (.A(_06399_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06799_));
- sky130_fd_sc_hd__o211a_1 _11501_ (.A1(_06762_),
-    .A2(_06797_),
-    .B1(_06799_),
-    .C1(_06769_),
+ sky130_fd_sc_hd__mux4_1 _11499_ (.A0(\u_uart_core.u_txfifo.mem[12][4] ),
+    .A1(\u_uart_core.u_txfifo.mem[13][4] ),
+    .A2(\u_uart_core.u_txfifo.mem[14][4] ),
+    .A3(\u_uart_core.u_txfifo.mem[15][4] ),
+    .S0(_06784_),
+    .S1(_06747_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06800_));
- sky130_fd_sc_hd__a311o_1 _11502_ (.A1(_06754_),
-    .A2(_06794_),
-    .A3(_06796_),
-    .B1(_06800_),
-    .C1(_06771_),
+ sky130_fd_sc_hd__mux4_2 _11500_ (.A0(\u_uart_core.u_txfifo.mem[8][4] ),
+    .A1(\u_uart_core.u_txfifo.mem[9][4] ),
+    .A2(\u_uart_core.u_txfifo.mem[10][4] ),
+    .A3(\u_uart_core.u_txfifo.mem[11][4] ),
+    .S0(_06786_),
+    .S1(_06749_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06801_));
- sky130_fd_sc_hd__mux4_1 _11503_ (.A0(\u_uart_core.u_txfifo.mem[12][5] ),
-    .A1(\u_uart_core.u_txfifo.mem[13][5] ),
-    .A2(\u_uart_core.u_txfifo.mem[14][5] ),
-    .A3(\u_uart_core.u_txfifo.mem[15][5] ),
-    .S0(_06773_),
-    .S1(_06357_),
+ sky130_fd_sc_hd__clkbuf_1 _11501_ (.A(_06732_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06802_));
- sky130_fd_sc_hd__mux4_1 _11504_ (.A0(\u_uart_core.u_txfifo.mem[8][5] ),
-    .A1(\u_uart_core.u_txfifo.mem[9][5] ),
-    .A2(\u_uart_core.u_txfifo.mem[10][5] ),
-    .A3(\u_uart_core.u_txfifo.mem[11][5] ),
-    .S0(_06775_),
-    .S1(_06717_),
+ sky130_fd_sc_hd__o221a_1 _11502_ (.A1(_06799_),
+    .A2(_06800_),
+    .B1(_06801_),
+    .B2(_06802_),
+    .C1(_06788_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06803_));
- sky130_fd_sc_hd__o221a_1 _11505_ (.A1(_06788_),
-    .A2(_06802_),
-    .B1(_06803_),
-    .B2(_06791_),
-    .C1(_06777_),
+ sky130_fd_sc_hd__a22o_1 _11503_ (.A1(\u_uart_core.u_txfsm.txdata[4] ),
+    .A2(_06764_),
+    .B1(_06798_),
+    .B2(_06803_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06804_));
- sky130_fd_sc_hd__a22o_1 _11506_ (.A1(\u_uart_core.u_txfsm.txdata[5] ),
-    .A2(_06753_),
-    .B1(_06801_),
-    .B2(_06804_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00249_));
- sky130_fd_sc_hd__mux2_1 _11507_ (.A0(\u_uart_core.u_txfifo.mem[0][6] ),
-    .A1(\u_uart_core.u_txfifo.mem[1][6] ),
-    .S(_06755_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06805_));
- sky130_fd_sc_hd__or2_1 _11508_ (.A(_06719_),
-    .B(_06805_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06806_));
- sky130_fd_sc_hd__mux2_1 _11509_ (.A0(\u_uart_core.u_txfifo.mem[2][6] ),
-    .A1(\u_uart_core.u_txfifo.mem[3][6] ),
-    .S(_06759_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06807_));
- sky130_fd_sc_hd__or2_1 _11510_ (.A(_06758_),
-    .B(_06807_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06808_));
- sky130_fd_sc_hd__mux2_1 _11511_ (.A0(\u_uart_core.u_txfifo.mem[6][6] ),
-    .A1(\u_uart_core.u_txfifo.mem[7][6] ),
-    .S(_06763_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06809_));
- sky130_fd_sc_hd__mux2_1 _11512_ (.A0(\u_uart_core.u_txfifo.mem[4][6] ),
-    .A1(\u_uart_core.u_txfifo.mem[5][6] ),
+    .X(_00248_));
+ sky130_fd_sc_hd__mux2_1 _11504_ (.A0(\u_uart_core.u_txfifo.mem[0][5] ),
+    .A1(\u_uart_core.u_txfifo.mem[1][5] ),
     .S(_06766_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .X(_06804_));
+ sky130_fd_sc_hd__or2_1 _11505_ (.A(_06730_),
+    .B(_06804_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06805_));
+ sky130_fd_sc_hd__mux2_1 _11506_ (.A0(\u_uart_core.u_txfifo.mem[2][5] ),
+    .A1(\u_uart_core.u_txfifo.mem[3][5] ),
+    .S(_06770_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06806_));
+ sky130_fd_sc_hd__or2_1 _11507_ (.A(_06769_),
+    .B(_06806_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06807_));
+ sky130_fd_sc_hd__mux2_1 _11508_ (.A0(\u_uart_core.u_txfifo.mem[6][5] ),
+    .A1(\u_uart_core.u_txfifo.mem[7][5] ),
+    .S(_06774_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06808_));
+ sky130_fd_sc_hd__mux2_1 _11509_ (.A0(\u_uart_core.u_txfifo.mem[4][5] ),
+    .A1(\u_uart_core.u_txfifo.mem[5][5] ),
+    .S(_06777_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06809_));
+ sky130_fd_sc_hd__or2_1 _11510_ (.A(_06776_),
+    .B(_06809_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .X(_06810_));
- sky130_fd_sc_hd__or2_1 _11513_ (.A(_06765_),
-    .B(_06810_),
+ sky130_fd_sc_hd__o211a_1 _11511_ (.A1(_06773_),
+    .A2(_06808_),
+    .B1(_06810_),
+    .C1(_06780_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06811_));
- sky130_fd_sc_hd__o211a_1 _11514_ (.A1(_06762_),
-    .A2(_06809_),
+ sky130_fd_sc_hd__a311o_1 _11512_ (.A1(_06765_),
+    .A2(_06805_),
+    .A3(_06807_),
     .B1(_06811_),
-    .C1(_06769_),
+    .C1(_06782_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06812_));
- sky130_fd_sc_hd__a311o_1 _11515_ (.A1(_06754_),
-    .A2(_06806_),
-    .A3(_06808_),
-    .B1(_06812_),
-    .C1(_06771_),
+ sky130_fd_sc_hd__mux4_1 _11513_ (.A0(\u_uart_core.u_txfifo.mem[12][5] ),
+    .A1(\u_uart_core.u_txfifo.mem[13][5] ),
+    .A2(\u_uart_core.u_txfifo.mem[14][5] ),
+    .A3(\u_uart_core.u_txfifo.mem[15][5] ),
+    .S0(_06784_),
+    .S1(_06367_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06813_));
- sky130_fd_sc_hd__mux4_1 _11516_ (.A0(\u_uart_core.u_txfifo.mem[12][6] ),
-    .A1(\u_uart_core.u_txfifo.mem[13][6] ),
-    .A2(\u_uart_core.u_txfifo.mem[14][6] ),
-    .A3(\u_uart_core.u_txfifo.mem[15][6] ),
-    .S0(_06773_),
-    .S1(_06357_),
+ sky130_fd_sc_hd__mux4_2 _11514_ (.A0(\u_uart_core.u_txfifo.mem[8][5] ),
+    .A1(\u_uart_core.u_txfifo.mem[9][5] ),
+    .A2(\u_uart_core.u_txfifo.mem[10][5] ),
+    .A3(\u_uart_core.u_txfifo.mem[11][5] ),
+    .S0(_06786_),
+    .S1(_06728_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06814_));
- sky130_fd_sc_hd__mux4_1 _11517_ (.A0(\u_uart_core.u_txfifo.mem[8][6] ),
-    .A1(\u_uart_core.u_txfifo.mem[9][6] ),
-    .A2(\u_uart_core.u_txfifo.mem[10][6] ),
-    .A3(\u_uart_core.u_txfifo.mem[11][6] ),
-    .S0(_06775_),
-    .S1(_06717_),
+ sky130_fd_sc_hd__o221a_1 _11515_ (.A1(_06799_),
+    .A2(_06813_),
+    .B1(_06814_),
+    .B2(_06802_),
+    .C1(_06788_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06815_));
- sky130_fd_sc_hd__o221a_1 _11518_ (.A1(_06788_),
-    .A2(_06814_),
-    .B1(_06815_),
-    .B2(_06791_),
-    .C1(_06777_),
+ sky130_fd_sc_hd__a22o_1 _11516_ (.A1(\u_uart_core.u_txfsm.txdata[5] ),
+    .A2(_06764_),
+    .B1(_06812_),
+    .B2(_06815_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00249_));
+ sky130_fd_sc_hd__mux2_1 _11517_ (.A0(\u_uart_core.u_txfifo.mem[0][6] ),
+    .A1(\u_uart_core.u_txfifo.mem[1][6] ),
+    .S(_06766_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06816_));
- sky130_fd_sc_hd__a22o_1 _11519_ (.A1(\u_uart_core.u_txfsm.txdata[6] ),
-    .A2(_06753_),
-    .B1(_06813_),
-    .B2(_06816_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00250_));
- sky130_fd_sc_hd__mux2_1 _11520_ (.A0(\u_uart_core.u_txfifo.mem[4][7] ),
-    .A1(\u_uart_core.u_txfifo.mem[5][7] ),
-    .S(_06703_),
+ sky130_fd_sc_hd__or2_1 _11518_ (.A(_06730_),
+    .B(_06816_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06817_));
- sky130_fd_sc_hd__or2_1 _11521_ (.A(_06719_),
-    .B(_06817_),
+ sky130_fd_sc_hd__mux2_1 _11519_ (.A0(\u_uart_core.u_txfifo.mem[2][6] ),
+    .A1(\u_uart_core.u_txfifo.mem[3][6] ),
+    .S(_06770_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06818_));
- sky130_fd_sc_hd__mux2_1 _11522_ (.A0(\u_uart_core.u_txfifo.mem[6][7] ),
-    .A1(\u_uart_core.u_txfifo.mem[7][7] ),
-    .S(_06345_),
+ sky130_fd_sc_hd__or2_1 _11520_ (.A(_06769_),
+    .B(_06818_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06819_));
- sky130_fd_sc_hd__or2_1 _11523_ (.A(_06337_),
-    .B(_06819_),
+ sky130_fd_sc_hd__mux2_1 _11521_ (.A0(\u_uart_core.u_txfifo.mem[6][6] ),
+    .A1(\u_uart_core.u_txfifo.mem[7][6] ),
+    .S(_06774_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06820_));
- sky130_fd_sc_hd__mux2_1 _11524_ (.A0(\u_uart_core.u_txfifo.mem[2][7] ),
-    .A1(\u_uart_core.u_txfifo.mem[3][7] ),
-    .S(_06699_),
+ sky130_fd_sc_hd__mux2_1 _11522_ (.A0(\u_uart_core.u_txfifo.mem[4][6] ),
+    .A1(\u_uart_core.u_txfifo.mem[5][6] ),
+    .S(_06777_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06821_));
- sky130_fd_sc_hd__mux2_1 _11525_ (.A0(\u_uart_core.u_txfifo.mem[0][7] ),
-    .A1(\u_uart_core.u_txfifo.mem[1][7] ),
-    .S(_06698_),
+ sky130_fd_sc_hd__or2_1 _11523_ (.A(_06776_),
+    .B(_06821_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06822_));
- sky130_fd_sc_hd__or2_1 _11526_ (.A(_06342_),
-    .B(_06822_),
+ sky130_fd_sc_hd__o211a_1 _11524_ (.A1(_06773_),
+    .A2(_06820_),
+    .B1(_06822_),
+    .C1(_06780_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06823_));
- sky130_fd_sc_hd__o211a_1 _11527_ (.A1(_06702_),
-    .A2(_06821_),
+ sky130_fd_sc_hd__a311o_1 _11525_ (.A1(_06765_),
+    .A2(_06817_),
+    .A3(_06819_),
     .B1(_06823_),
-    .C1(_06370_),
+    .C1(_06782_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06824_));
- sky130_fd_sc_hd__a311o_1 _11528_ (.A1(_06350_),
-    .A2(_06818_),
-    .A3(_06820_),
-    .B1(_06824_),
-    .C1(_06355_),
+ sky130_fd_sc_hd__mux4_1 _11526_ (.A0(\u_uart_core.u_txfifo.mem[12][6] ),
+    .A1(\u_uart_core.u_txfifo.mem[13][6] ),
+    .A2(\u_uart_core.u_txfifo.mem[14][6] ),
+    .A3(\u_uart_core.u_txfifo.mem[15][6] ),
+    .S0(_06784_),
+    .S1(_06367_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06825_));
- sky130_fd_sc_hd__mux4_1 _11529_ (.A0(\u_uart_core.u_txfifo.mem[12][7] ),
-    .A1(\u_uart_core.u_txfifo.mem[13][7] ),
-    .A2(\u_uart_core.u_txfifo.mem[14][7] ),
-    .A3(\u_uart_core.u_txfifo.mem[15][7] ),
-    .S0(_06707_),
-    .S1(_06357_),
+ sky130_fd_sc_hd__mux4_2 _11527_ (.A0(\u_uart_core.u_txfifo.mem[8][6] ),
+    .A1(\u_uart_core.u_txfifo.mem[9][6] ),
+    .A2(\u_uart_core.u_txfifo.mem[10][6] ),
+    .A3(\u_uart_core.u_txfifo.mem[11][6] ),
+    .S0(_06786_),
+    .S1(_06728_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06826_));
- sky130_fd_sc_hd__mux4_1 _11530_ (.A0(\u_uart_core.u_txfifo.mem[8][7] ),
-    .A1(\u_uart_core.u_txfifo.mem[9][7] ),
-    .A2(\u_uart_core.u_txfifo.mem[10][7] ),
-    .A3(\u_uart_core.u_txfifo.mem[11][7] ),
-    .S0(_06716_),
-    .S1(_06717_),
+ sky130_fd_sc_hd__o221a_1 _11528_ (.A1(_06799_),
+    .A2(_06825_),
+    .B1(_06826_),
+    .B2(_06802_),
+    .C1(_06788_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06827_));
- sky130_fd_sc_hd__o221a_1 _11531_ (.A1(_06788_),
-    .A2(_06826_),
-    .B1(_06827_),
-    .B2(_06791_),
-    .C1(_06723_),
+ sky130_fd_sc_hd__a22o_1 _11529_ (.A1(\u_uart_core.u_txfsm.txdata[6] ),
+    .A2(_06764_),
+    .B1(_06824_),
+    .B2(_06827_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00250_));
+ sky130_fd_sc_hd__mux2_1 _11530_ (.A0(\u_uart_core.u_txfifo.mem[4][7] ),
+    .A1(\u_uart_core.u_txfifo.mem[5][7] ),
+    .S(_06714_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06828_));
- sky130_fd_sc_hd__a22o_1 _11532_ (.A1(\u_uart_core.u_txfsm.txdata[7] ),
-    .A2(_05444_),
-    .B1(_06825_),
-    .B2(_06828_),
+ sky130_fd_sc_hd__or2_1 _11531_ (.A(_06730_),
+    .B(_06828_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00251_));
- sky130_fd_sc_hd__inv_2 _11533_ (.A(\u_usb_host.u_async_wb.u_resp_if.wr_ptr[0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_06829_));
- sky130_fd_sc_hd__xor2_1 _11534_ (.A(\u_usb_host.u_async_wb.u_resp_if.wr_ptr[0] ),
-    .B(\u_usb_host.u_async_wb.u_resp_if.sync_rd_ptr_1[0] ),
+    .X(_06829_));
+ sky130_fd_sc_hd__mux2_1 _11532_ (.A0(\u_uart_core.u_txfifo.mem[6][7] ),
+    .A1(\u_uart_core.u_txfifo.mem[7][7] ),
+    .S(_06355_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06830_));
- sky130_fd_sc_hd__nor2_1 _11535_ (.A(\u_usb_host.u_async_wb.u_resp_if.sync_rd_ptr_1[1] ),
+ sky130_fd_sc_hd__or2_1 _11533_ (.A(_06347_),
     .B(_06830_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06831_));
- sky130_fd_sc_hd__inv_2 _11536_ (.A(\u_usb_host.u_async_wb.u_resp_if.wr_ptr[1] ),
+    .X(_06831_));
+ sky130_fd_sc_hd__mux2_1 _11534_ (.A0(\u_uart_core.u_txfifo.mem[2][7] ),
+    .A1(\u_uart_core.u_txfifo.mem[3][7] ),
+    .S(_06710_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06832_));
- sky130_fd_sc_hd__mux4_2 _11537_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][36] ),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][36] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][36] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][36] ),
-    .S0(_05643_),
-    .S1(_05644_),
+    .X(_06832_));
+ sky130_fd_sc_hd__mux2_1 _11535_ (.A0(\u_uart_core.u_txfifo.mem[0][7] ),
+    .A1(\u_uart_core.u_txfifo.mem[1][7] ),
+    .S(_06709_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06833_));
- sky130_fd_sc_hd__or2_4 _11538_ (.A(_06083_),
+ sky130_fd_sc_hd__or2_1 _11536_ (.A(_06352_),
     .B(_06833_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06834_));
- sky130_fd_sc_hd__clkinv_2 _11539_ (.A(\u_usb_host.reg_ack ),
+ sky130_fd_sc_hd__o211a_1 _11537_ (.A1(_06713_),
+    .A2(_06832_),
+    .B1(_06834_),
+    .C1(_06380_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06835_));
- sky130_fd_sc_hd__a311o_1 _11540_ (.A1(_06832_),
-    .A2(\u_usb_host.u_async_wb.u_resp_if.sync_rd_ptr_1[1] ),
-    .A3(_06830_),
-    .B1(_06834_),
-    .C1(_06835_),
+    .X(_06835_));
+ sky130_fd_sc_hd__a311o_1 _11538_ (.A1(_06360_),
+    .A2(_06829_),
+    .A3(_06831_),
+    .B1(_06835_),
+    .C1(_06365_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06836_));
- sky130_fd_sc_hd__a21o_1 _11541_ (.A1(\u_usb_host.u_async_wb.u_resp_if.wr_ptr[1] ),
-    .A2(_06831_),
-    .B1(_06836_),
+ sky130_fd_sc_hd__mux4_1 _11539_ (.A0(\u_uart_core.u_txfifo.mem[12][7] ),
+    .A1(\u_uart_core.u_txfifo.mem[13][7] ),
+    .A2(\u_uart_core.u_txfifo.mem[14][7] ),
+    .A3(\u_uart_core.u_txfifo.mem[15][7] ),
+    .S0(_06718_),
+    .S1(_06367_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06837_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11542_ (.A(_06837_),
+ sky130_fd_sc_hd__mux4_2 _11540_ (.A0(\u_uart_core.u_txfifo.mem[8][7] ),
+    .A1(\u_uart_core.u_txfifo.mem[9][7] ),
+    .A2(\u_uart_core.u_txfifo.mem[10][7] ),
+    .A3(\u_uart_core.u_txfifo.mem[11][7] ),
+    .S0(_06727_),
+    .S1(_06728_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06838_));
- sky130_fd_sc_hd__nor2_2 _11543_ (.A(_06829_),
-    .B(_06838_),
+ sky130_fd_sc_hd__o221a_1 _11541_ (.A1(_06799_),
+    .A2(_06837_),
+    .B1(_06838_),
+    .B2(_06802_),
+    .C1(_06734_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06839_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11544_ (.A(_06839_),
+    .X(_06839_));
+ sky130_fd_sc_hd__a22o_1 _11542_ (.A1(\u_uart_core.u_txfsm.txdata[7] ),
+    .A2(_05425_),
+    .B1(_06836_),
+    .B2(_06839_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06840_));
- sky130_fd_sc_hd__clkbuf_2 _11545_ (.A(_06840_),
+    .X(_00251_));
+ sky130_fd_sc_hd__clkinv_2 _11543_ (.A(\u_usb_host.u_async_wb.u_resp_if.wr_ptr[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_06840_));
+ sky130_fd_sc_hd__xor2_1 _11544_ (.A(\u_usb_host.u_async_wb.u_resp_if.wr_ptr[0] ),
+    .B(\u_usb_host.u_async_wb.u_resp_if.sync_rd_ptr_1[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06841_));
- sky130_fd_sc_hd__mux2_1 _11546_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[1][0] ),
-    .A1(\u_usb_host.reg_rdata[0] ),
-    .S(_06841_),
+ sky130_fd_sc_hd__nor2_1 _11545_ (.A(\u_usb_host.u_async_wb.u_resp_if.sync_rd_ptr_1[1] ),
+    .B(_06841_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06842_));
- sky130_fd_sc_hd__clkbuf_1 _11547_ (.A(_06842_),
+    .Y(_06842_));
+ sky130_fd_sc_hd__inv_2 _11546_ (.A(\u_usb_host.u_async_wb.u_resp_if.wr_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00252_));
- sky130_fd_sc_hd__mux2_1 _11548_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[1][1] ),
-    .A1(\u_usb_host.reg_rdata[1] ),
-    .S(_06841_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06843_));
- sky130_fd_sc_hd__clkbuf_1 _11549_ (.A(_06843_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00253_));
- sky130_fd_sc_hd__mux2_1 _11550_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[1][2] ),
-    .A1(\u_usb_host.reg_rdata[2] ),
-    .S(_06841_),
+    .Y(_06843_));
+ sky130_fd_sc_hd__mux4_2 _11547_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][36] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][36] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][36] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][36] ),
+    .S0(_05633_),
+    .S1(_05625_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06844_));
- sky130_fd_sc_hd__clkbuf_1 _11551_ (.A(_06844_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00254_));
- sky130_fd_sc_hd__mux2_1 _11552_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[1][3] ),
-    .A1(\u_usb_host.reg_rdata[3] ),
-    .S(_06841_),
+ sky130_fd_sc_hd__or2_4 _11548_ (.A(_06118_),
+    .B(_06844_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06845_));
- sky130_fd_sc_hd__clkbuf_1 _11553_ (.A(_06845_),
+ sky130_fd_sc_hd__clkinv_4 _11549_ (.A(\u_usb_host.reg_ack ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00255_));
- sky130_fd_sc_hd__buf_2 _11554_ (.A(_06840_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06846_));
- sky130_fd_sc_hd__mux2_1 _11555_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[1][4] ),
-    .A1(\u_usb_host.reg_rdata[4] ),
-    .S(_06846_),
+    .Y(_06846_));
+ sky130_fd_sc_hd__a311o_1 _11550_ (.A1(_06843_),
+    .A2(\u_usb_host.u_async_wb.u_resp_if.sync_rd_ptr_1[1] ),
+    .A3(_06841_),
+    .B1(_06845_),
+    .C1(_06846_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06847_));
- sky130_fd_sc_hd__clkbuf_1 _11556_ (.A(_06847_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00256_));
- sky130_fd_sc_hd__mux2_1 _11557_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[1][5] ),
-    .A1(\u_usb_host.reg_rdata[5] ),
-    .S(_06846_),
+ sky130_fd_sc_hd__a21o_1 _11551_ (.A1(\u_usb_host.u_async_wb.u_resp_if.wr_ptr[1] ),
+    .A2(_06842_),
+    .B1(_06847_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06848_));
- sky130_fd_sc_hd__clkbuf_1 _11558_ (.A(_06848_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00257_));
- sky130_fd_sc_hd__mux2_1 _11559_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[1][6] ),
-    .A1(\u_usb_host.reg_rdata[6] ),
-    .S(_06846_),
+ sky130_fd_sc_hd__or2_1 _11552_ (.A(_06840_),
+    .B(_06848_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06849_));
- sky130_fd_sc_hd__clkbuf_1 _11560_ (.A(_06849_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00258_));
- sky130_fd_sc_hd__mux2_1 _11561_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[1][7] ),
-    .A1(\u_usb_host.reg_rdata[7] ),
-    .S(_06846_),
+ sky130_fd_sc_hd__clkbuf_2 _11553_ (.A(_06849_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06850_));
- sky130_fd_sc_hd__clkbuf_1 _11562_ (.A(_06850_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00259_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11563_ (.A(_06839_),
+ sky130_fd_sc_hd__clkbuf_2 _11554_ (.A(_06850_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06851_));
- sky130_fd_sc_hd__clkbuf_2 _11564_ (.A(_06851_),
+ sky130_fd_sc_hd__mux2_1 _11555_ (.A0(\u_usb_host.reg_rdata[0] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][0] ),
+    .S(_06851_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06852_));
- sky130_fd_sc_hd__mux2_1 _11565_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[1][8] ),
-    .A1(\u_usb_host.reg_rdata[8] ),
-    .S(_06852_),
+ sky130_fd_sc_hd__clkbuf_1 _11556_ (.A(_06852_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00252_));
+ sky130_fd_sc_hd__mux2_1 _11557_ (.A0(\u_usb_host.reg_rdata[1] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][1] ),
+    .S(_06851_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06853_));
- sky130_fd_sc_hd__clkbuf_1 _11566_ (.A(_06853_),
+ sky130_fd_sc_hd__clkbuf_1 _11558_ (.A(_06853_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00260_));
- sky130_fd_sc_hd__mux2_1 _11567_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[1][9] ),
-    .A1(\u_usb_host.reg_rdata[9] ),
-    .S(_06852_),
+    .X(_00253_));
+ sky130_fd_sc_hd__mux2_1 _11559_ (.A0(\u_usb_host.reg_rdata[2] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][2] ),
+    .S(_06851_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06854_));
- sky130_fd_sc_hd__clkbuf_1 _11568_ (.A(_06854_),
+ sky130_fd_sc_hd__clkbuf_1 _11560_ (.A(_06854_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00261_));
- sky130_fd_sc_hd__mux2_1 _11569_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[1][10] ),
-    .A1(\u_usb_host.reg_rdata[10] ),
-    .S(_06852_),
+    .X(_00254_));
+ sky130_fd_sc_hd__mux2_1 _11561_ (.A0(\u_usb_host.reg_rdata[3] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][3] ),
+    .S(_06851_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06855_));
- sky130_fd_sc_hd__clkbuf_1 _11570_ (.A(_06855_),
+ sky130_fd_sc_hd__clkbuf_1 _11562_ (.A(_06855_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00262_));
- sky130_fd_sc_hd__mux2_1 _11571_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[1][11] ),
-    .A1(\u_usb_host.reg_rdata[11] ),
-    .S(_06852_),
+    .X(_00255_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11563_ (.A(_06850_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06856_));
- sky130_fd_sc_hd__clkbuf_1 _11572_ (.A(_06856_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00263_));
- sky130_fd_sc_hd__buf_2 _11573_ (.A(_06851_),
+ sky130_fd_sc_hd__mux2_1 _11564_ (.A0(\u_usb_host.reg_rdata[4] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][4] ),
+    .S(_06856_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06857_));
- sky130_fd_sc_hd__mux2_1 _11574_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[1][12] ),
-    .A1(\u_usb_host.reg_rdata[12] ),
-    .S(_06857_),
+ sky130_fd_sc_hd__clkbuf_1 _11565_ (.A(_06857_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00256_));
+ sky130_fd_sc_hd__mux2_1 _11566_ (.A0(\u_usb_host.reg_rdata[5] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][5] ),
+    .S(_06856_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06858_));
- sky130_fd_sc_hd__clkbuf_1 _11575_ (.A(_06858_),
+ sky130_fd_sc_hd__clkbuf_1 _11567_ (.A(_06858_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00264_));
- sky130_fd_sc_hd__mux2_1 _11576_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[1][13] ),
-    .A1(\u_usb_host.reg_rdata[13] ),
-    .S(_06857_),
+    .X(_00257_));
+ sky130_fd_sc_hd__mux2_1 _11568_ (.A0(\u_usb_host.reg_rdata[6] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][6] ),
+    .S(_06856_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06859_));
- sky130_fd_sc_hd__clkbuf_1 _11577_ (.A(_06859_),
+ sky130_fd_sc_hd__clkbuf_1 _11569_ (.A(_06859_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00265_));
- sky130_fd_sc_hd__mux2_1 _11578_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[1][14] ),
-    .A1(\u_usb_host.reg_rdata[14] ),
-    .S(_06857_),
+    .X(_00258_));
+ sky130_fd_sc_hd__mux2_1 _11570_ (.A0(\u_usb_host.reg_rdata[7] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][7] ),
+    .S(_06856_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06860_));
- sky130_fd_sc_hd__clkbuf_1 _11579_ (.A(_06860_),
+ sky130_fd_sc_hd__clkbuf_1 _11571_ (.A(_06860_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00266_));
- sky130_fd_sc_hd__mux2_1 _11580_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[1][15] ),
-    .A1(\u_usb_host.reg_rdata[15] ),
-    .S(_06857_),
+    .X(_00259_));
+ sky130_fd_sc_hd__clkbuf_2 _11572_ (.A(_06849_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06861_));
- sky130_fd_sc_hd__clkbuf_1 _11581_ (.A(_06861_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00267_));
- sky130_fd_sc_hd__clkbuf_2 _11582_ (.A(_06851_),
+ sky130_fd_sc_hd__clkbuf_2 _11573_ (.A(_06861_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06862_));
- sky130_fd_sc_hd__mux2_1 _11583_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[1][16] ),
-    .A1(\u_usb_host.reg_rdata[16] ),
+ sky130_fd_sc_hd__mux2_1 _11574_ (.A0(\u_usb_host.reg_rdata[8] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][8] ),
     .S(_06862_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06863_));
- sky130_fd_sc_hd__clkbuf_1 _11584_ (.A(_06863_),
+ sky130_fd_sc_hd__clkbuf_1 _11575_ (.A(_06863_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00268_));
- sky130_fd_sc_hd__mux2_1 _11585_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[1][17] ),
-    .A1(\u_usb_host.reg_rdata[17] ),
+    .X(_00260_));
+ sky130_fd_sc_hd__mux2_1 _11576_ (.A0(\u_usb_host.reg_rdata[9] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][9] ),
     .S(_06862_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06864_));
- sky130_fd_sc_hd__clkbuf_1 _11586_ (.A(_06864_),
+ sky130_fd_sc_hd__clkbuf_1 _11577_ (.A(_06864_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00269_));
- sky130_fd_sc_hd__mux2_1 _11587_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[1][18] ),
-    .A1(\u_usb_host.reg_rdata[18] ),
+    .X(_00261_));
+ sky130_fd_sc_hd__mux2_1 _11578_ (.A0(\u_usb_host.reg_rdata[10] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][10] ),
     .S(_06862_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06865_));
- sky130_fd_sc_hd__clkbuf_1 _11588_ (.A(_06865_),
+ sky130_fd_sc_hd__clkbuf_1 _11579_ (.A(_06865_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00270_));
- sky130_fd_sc_hd__mux2_1 _11589_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[1][19] ),
-    .A1(\u_usb_host.reg_rdata[19] ),
+    .X(_00262_));
+ sky130_fd_sc_hd__mux2_1 _11580_ (.A0(\u_usb_host.reg_rdata[11] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][11] ),
     .S(_06862_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06866_));
- sky130_fd_sc_hd__clkbuf_1 _11590_ (.A(_06866_),
+ sky130_fd_sc_hd__clkbuf_1 _11581_ (.A(_06866_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00271_));
- sky130_fd_sc_hd__clkbuf_2 _11591_ (.A(_06851_),
+    .X(_00263_));
+ sky130_fd_sc_hd__clkbuf_2 _11582_ (.A(_06861_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06867_));
- sky130_fd_sc_hd__mux2_1 _11592_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[1][20] ),
-    .A1(\u_usb_host.reg_rdata[20] ),
+ sky130_fd_sc_hd__mux2_1 _11583_ (.A0(\u_usb_host.reg_rdata[12] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][12] ),
     .S(_06867_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06868_));
- sky130_fd_sc_hd__clkbuf_1 _11593_ (.A(_06868_),
+ sky130_fd_sc_hd__clkbuf_1 _11584_ (.A(_06868_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00272_));
- sky130_fd_sc_hd__mux2_1 _11594_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[1][21] ),
-    .A1(\u_usb_host.reg_rdata[21] ),
+    .X(_00264_));
+ sky130_fd_sc_hd__mux2_1 _11585_ (.A0(\u_usb_host.reg_rdata[13] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][13] ),
     .S(_06867_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06869_));
- sky130_fd_sc_hd__clkbuf_1 _11595_ (.A(_06869_),
+ sky130_fd_sc_hd__clkbuf_1 _11586_ (.A(_06869_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00273_));
- sky130_fd_sc_hd__mux2_1 _11596_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[1][22] ),
-    .A1(\u_usb_host.reg_rdata[22] ),
+    .X(_00265_));
+ sky130_fd_sc_hd__mux2_1 _11587_ (.A0(\u_usb_host.reg_rdata[14] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][14] ),
     .S(_06867_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06870_));
- sky130_fd_sc_hd__clkbuf_1 _11597_ (.A(_06870_),
+ sky130_fd_sc_hd__clkbuf_1 _11588_ (.A(_06870_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00274_));
- sky130_fd_sc_hd__mux2_1 _11598_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[1][23] ),
-    .A1(\u_usb_host.reg_rdata[23] ),
+    .X(_00266_));
+ sky130_fd_sc_hd__mux2_1 _11589_ (.A0(\u_usb_host.reg_rdata[15] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][15] ),
     .S(_06867_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06871_));
- sky130_fd_sc_hd__clkbuf_1 _11599_ (.A(_06871_),
+ sky130_fd_sc_hd__clkbuf_1 _11590_ (.A(_06871_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00275_));
- sky130_fd_sc_hd__clkbuf_2 _11600_ (.A(_06839_),
+    .X(_00267_));
+ sky130_fd_sc_hd__clkbuf_2 _11591_ (.A(_06861_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06872_));
- sky130_fd_sc_hd__mux2_1 _11601_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[1][24] ),
-    .A1(\u_usb_host.reg_rdata[24] ),
+ sky130_fd_sc_hd__mux2_1 _11592_ (.A0(\u_usb_host.reg_rdata[16] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][16] ),
     .S(_06872_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06873_));
- sky130_fd_sc_hd__clkbuf_1 _11602_ (.A(_06873_),
+ sky130_fd_sc_hd__clkbuf_1 _11593_ (.A(_06873_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00276_));
- sky130_fd_sc_hd__mux2_1 _11603_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[1][25] ),
-    .A1(\u_usb_host.reg_rdata[25] ),
+    .X(_00268_));
+ sky130_fd_sc_hd__mux2_1 _11594_ (.A0(\u_usb_host.reg_rdata[17] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][17] ),
     .S(_06872_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06874_));
- sky130_fd_sc_hd__clkbuf_1 _11604_ (.A(_06874_),
+ sky130_fd_sc_hd__clkbuf_1 _11595_ (.A(_06874_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00277_));
- sky130_fd_sc_hd__mux2_1 _11605_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[1][26] ),
-    .A1(\u_usb_host.reg_rdata[26] ),
+    .X(_00269_));
+ sky130_fd_sc_hd__mux2_1 _11596_ (.A0(\u_usb_host.reg_rdata[18] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][18] ),
     .S(_06872_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06875_));
- sky130_fd_sc_hd__clkbuf_1 _11606_ (.A(_06875_),
+ sky130_fd_sc_hd__clkbuf_1 _11597_ (.A(_06875_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00278_));
- sky130_fd_sc_hd__mux2_1 _11607_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[1][27] ),
-    .A1(\u_usb_host.reg_rdata[27] ),
+    .X(_00270_));
+ sky130_fd_sc_hd__mux2_1 _11598_ (.A0(\u_usb_host.reg_rdata[19] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][19] ),
     .S(_06872_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06876_));
- sky130_fd_sc_hd__clkbuf_1 _11608_ (.A(_06876_),
+ sky130_fd_sc_hd__clkbuf_1 _11599_ (.A(_06876_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00279_));
- sky130_fd_sc_hd__clkbuf_2 _11609_ (.A(_06839_),
+    .X(_00271_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11600_ (.A(_06861_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06877_));
- sky130_fd_sc_hd__mux2_1 _11610_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[1][28] ),
-    .A1(\u_usb_host.reg_rdata[28] ),
+ sky130_fd_sc_hd__mux2_1 _11601_ (.A0(\u_usb_host.reg_rdata[20] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][20] ),
     .S(_06877_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06878_));
- sky130_fd_sc_hd__clkbuf_1 _11611_ (.A(_06878_),
+ sky130_fd_sc_hd__clkbuf_1 _11602_ (.A(_06878_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00280_));
- sky130_fd_sc_hd__mux2_1 _11612_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[1][29] ),
-    .A1(\u_usb_host.reg_rdata[29] ),
+    .X(_00272_));
+ sky130_fd_sc_hd__mux2_1 _11603_ (.A0(\u_usb_host.reg_rdata[21] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][21] ),
     .S(_06877_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06879_));
- sky130_fd_sc_hd__clkbuf_1 _11613_ (.A(_06879_),
+ sky130_fd_sc_hd__clkbuf_1 _11604_ (.A(_06879_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00281_));
- sky130_fd_sc_hd__mux2_1 _11614_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[1][30] ),
-    .A1(\u_usb_host.reg_rdata[30] ),
+    .X(_00273_));
+ sky130_fd_sc_hd__mux2_1 _11605_ (.A0(\u_usb_host.reg_rdata[22] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][22] ),
     .S(_06877_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06880_));
- sky130_fd_sc_hd__clkbuf_1 _11615_ (.A(_06880_),
+ sky130_fd_sc_hd__clkbuf_1 _11606_ (.A(_06880_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00282_));
- sky130_fd_sc_hd__mux2_1 _11616_ (.A0(\u_usb_host.u_async_wb.u_resp_if.mem[1][31] ),
-    .A1(\u_usb_host.reg_rdata[31] ),
+    .X(_00274_));
+ sky130_fd_sc_hd__mux2_1 _11607_ (.A0(\u_usb_host.reg_rdata[23] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][23] ),
     .S(_06877_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06881_));
- sky130_fd_sc_hd__clkbuf_1 _11617_ (.A(_06881_),
+ sky130_fd_sc_hd__clkbuf_1 _11608_ (.A(_06881_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00283_));
- sky130_fd_sc_hd__xnor2_1 _11618_ (.A(\u_uart_core.u_rxfifo.sync_rd_ptr[4] ),
-    .B(\u_uart_core.u_rxfifo.grey_wr_ptr[4] ),
+    .X(_00275_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11609_ (.A(_06849_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06882_));
- sky130_fd_sc_hd__xnor2_4 _11619_ (.A(\u_uart_core.u_rxfifo.sync_rd_ptr_1[3] ),
-    .B(\u_uart_core.u_rxfifo.sync_rd_ptr[4] ),
+    .X(_06882_));
+ sky130_fd_sc_hd__mux2_1 _11610_ (.A0(\u_usb_host.reg_rdata[24] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][24] ),
+    .S(_06882_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06883_));
- sky130_fd_sc_hd__xnor2_2 _11620_ (.A(\u_uart_core.u_rxfifo.sync_rd_ptr_1[2] ),
-    .B(_06883_),
+    .X(_06883_));
+ sky130_fd_sc_hd__clkbuf_1 _11611_ (.A(_06883_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06884_));
- sky130_fd_sc_hd__or2b_1 _11621_ (.A(_06884_),
-    .B_N(\u_uart_core.u_rxfifo.wr_ptr[2] ),
+    .X(_00276_));
+ sky130_fd_sc_hd__mux2_1 _11612_ (.A0(\u_usb_host.reg_rdata[25] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][25] ),
+    .S(_06882_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06884_));
+ sky130_fd_sc_hd__clkbuf_1 _11613_ (.A(_06884_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00277_));
+ sky130_fd_sc_hd__mux2_1 _11614_ (.A0(\u_usb_host.reg_rdata[26] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][26] ),
+    .S(_06882_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06885_));
- sky130_fd_sc_hd__inv_2 _11622_ (.A(_06885_),
+ sky130_fd_sc_hd__clkbuf_1 _11615_ (.A(_06885_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06886_));
- sky130_fd_sc_hd__and2b_1 _11623_ (.A_N(\u_uart_core.u_rxfifo.wr_ptr[2] ),
-    .B(_06884_),
+    .X(_00278_));
+ sky130_fd_sc_hd__mux2_1 _11616_ (.A0(\u_usb_host.reg_rdata[27] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][27] ),
+    .S(_06882_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06886_));
+ sky130_fd_sc_hd__clkbuf_1 _11617_ (.A(_06886_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00279_));
+ sky130_fd_sc_hd__clkbuf_2 _11618_ (.A(_06849_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06887_));
- sky130_fd_sc_hd__nor2_1 _11624_ (.A(_06886_),
-    .B(_06887_),
+ sky130_fd_sc_hd__mux2_1 _11619_ (.A0(\u_usb_host.reg_rdata[28] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][28] ),
+    .S(_06887_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06888_));
- sky130_fd_sc_hd__xnor2_2 _11625_ (.A(\u_uart_core.u_rxfifo.sync_rd_ptr_1[1] ),
-    .B(_06884_),
+    .X(_06888_));
+ sky130_fd_sc_hd__clkbuf_1 _11620_ (.A(_06888_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06889_));
- sky130_fd_sc_hd__xor2_1 _11626_ (.A(\u_uart_core.u_rxfifo.sync_rd_ptr_1[0] ),
-    .B(_06889_),
+    .X(_00280_));
+ sky130_fd_sc_hd__mux2_1 _11621_ (.A0(\u_usb_host.reg_rdata[29] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][29] ),
+    .S(_06887_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06889_));
+ sky130_fd_sc_hd__clkbuf_1 _11622_ (.A(_06889_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00281_));
+ sky130_fd_sc_hd__mux2_1 _11623_ (.A0(\u_usb_host.reg_rdata[30] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][30] ),
+    .S(_06887_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06890_));
- sky130_fd_sc_hd__xnor2_1 _11627_ (.A(\u_uart_core.u_rxfifo.wr_ptr[1] ),
-    .B(_06889_),
+ sky130_fd_sc_hd__clkbuf_1 _11624_ (.A(_06890_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06891_));
- sky130_fd_sc_hd__o21ba_1 _11628_ (.A1(\u_uart_core.u_rxfifo.wr_ptr[0] ),
-    .A2(_06890_),
-    .B1_N(_06891_),
+    .X(_00282_));
+ sky130_fd_sc_hd__mux2_1 _11625_ (.A0(\u_usb_host.reg_rdata[31] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[1][31] ),
+    .S(_06887_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06892_));
- sky130_fd_sc_hd__a21o_1 _11629_ (.A1(_06186_),
-    .A2(_06889_),
-    .B1(_06892_),
+    .X(_06891_));
+ sky130_fd_sc_hd__clkbuf_1 _11626_ (.A(_06891_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06893_));
- sky130_fd_sc_hd__a221o_1 _11630_ (.A1(\u_uart_core.u_rxfifo.wr_ptr[3] ),
-    .A2(_06883_),
-    .B1(_06888_),
-    .B2(_06893_),
-    .C1(_06886_),
+    .X(_00283_));
+ sky130_fd_sc_hd__xnor2_4 _11627_ (.A(\u_uart_core.u_rxfifo.sync_rd_ptr_1[3] ),
+    .B(\u_uart_core.u_rxfifo.sync_rd_ptr[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_06892_));
+ sky130_fd_sc_hd__xnor2_2 _11628_ (.A(\u_uart_core.u_rxfifo.sync_rd_ptr_1[2] ),
+    .B(_06892_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_06893_));
+ sky130_fd_sc_hd__or2b_1 _11629_ (.A(_06893_),
+    .B_N(\u_uart_core.u_rxfifo.wr_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06894_));
- sky130_fd_sc_hd__o21a_1 _11631_ (.A1(_06245_),
-    .A2(_06883_),
-    .B1(_06894_),
+ sky130_fd_sc_hd__inv_2 _11630_ (.A(_06894_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06895_));
- sky130_fd_sc_hd__xnor2_1 _11632_ (.A(_06882_),
-    .B(_06895_),
+    .Y(_06895_));
+ sky130_fd_sc_hd__and2b_1 _11631_ (.A_N(\u_uart_core.u_rxfifo.wr_ptr[2] ),
+    .B(_06893_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06896_));
- sky130_fd_sc_hd__xnor2_1 _11633_ (.A(_06180_),
-    .B(_06883_),
+    .X(_06896_));
+ sky130_fd_sc_hd__nor2_1 _11632_ (.A(_06895_),
+    .B(_06896_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06897_));
- sky130_fd_sc_hd__nor2_1 _11634_ (.A(_06885_),
-    .B(_06897_),
+ sky130_fd_sc_hd__xnor2_2 _11633_ (.A(\u_uart_core.u_rxfifo.sync_rd_ptr_1[1] ),
+    .B(_06893_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06898_));
- sky130_fd_sc_hd__nand2_1 _11635_ (.A(_06268_),
-    .B(_06890_),
+ sky130_fd_sc_hd__xor2_1 _11634_ (.A(\u_uart_core.u_rxfifo.sync_rd_ptr_1[0] ),
+    .B(_06898_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06899_));
- sky130_fd_sc_hd__a221o_1 _11636_ (.A1(_06885_),
-    .A2(_06897_),
-    .B1(_06899_),
-    .B2(_06891_),
-    .C1(_06892_),
+    .X(_06899_));
+ sky130_fd_sc_hd__xnor2_1 _11635_ (.A(\u_uart_core.u_rxfifo.wr_ptr[1] ),
+    .B(_06898_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06900_));
- sky130_fd_sc_hd__a211oi_1 _11637_ (.A1(_06888_),
-    .A2(_06893_),
-    .B1(_06898_),
-    .C1(_06900_),
+    .Y(_06900_));
+ sky130_fd_sc_hd__o21ba_1 _11636_ (.A1(\u_uart_core.u_rxfifo.wr_ptr[0] ),
+    .A2(_06899_),
+    .B1_N(_06900_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06901_));
- sky130_fd_sc_hd__o211a_1 _11638_ (.A1(_06888_),
-    .A2(_06893_),
-    .B1(_06896_),
-    .C1(_06901_),
+    .X(_06901_));
+ sky130_fd_sc_hd__a21o_1 _11637_ (.A1(_06148_),
+    .A2(_06898_),
+    .B1(_06901_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06902_));
- sky130_fd_sc_hd__o2bb2a_1 _11639_ (.A1_N(_06690_),
-    .A2_N(_06896_),
-    .B1(_06902_),
+ sky130_fd_sc_hd__a221o_1 _11638_ (.A1(_06144_),
+    .A2(_06892_),
+    .B1(_06897_),
+    .B2(_06902_),
+    .C1(_06895_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06903_));
+ sky130_fd_sc_hd__o21a_1 _11639_ (.A1(_06258_),
+    .A2(_06892_),
+    .B1(_06903_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06904_));
+ sky130_fd_sc_hd__xnor2_1 _11640_ (.A(\u_uart_core.u_rxfifo.sync_rd_ptr[4] ),
+    .B(\u_uart_core.u_rxfifo.grey_wr_ptr[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_06905_));
+ sky130_fd_sc_hd__xnor2_1 _11641_ (.A(_06904_),
+    .B(_06905_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_06906_));
+ sky130_fd_sc_hd__xnor2_1 _11642_ (.A(_06144_),
+    .B(_06892_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_06907_));
+ sky130_fd_sc_hd__nor2_1 _11643_ (.A(_06894_),
+    .B(_06907_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_06908_));
+ sky130_fd_sc_hd__nand2_1 _11644_ (.A(_06687_),
+    .B(_06899_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_06909_));
+ sky130_fd_sc_hd__a221o_1 _11645_ (.A1(_06894_),
+    .A2(_06907_),
+    .B1(_06909_),
+    .B2(_06900_),
+    .C1(_06901_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06910_));
+ sky130_fd_sc_hd__a211oi_1 _11646_ (.A1(_06897_),
+    .A2(_06902_),
+    .B1(_06908_),
+    .C1(_06910_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_06911_));
+ sky130_fd_sc_hd__o211a_1 _11647_ (.A1(_06897_),
+    .A2(_06902_),
+    .B1(_06906_),
+    .C1(_06911_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06912_));
+ sky130_fd_sc_hd__o2bb2a_1 _11648_ (.A1_N(_06313_),
+    .A2_N(_06906_),
+    .B1(_06912_),
     .B2(\u_uart_core.rx_fifo_wr_full ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00284_));
- sky130_fd_sc_hd__or3b_1 _11640_ (.A(_06333_),
-    .B(_06327_),
-    .C_N(_05404_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06903_));
- sky130_fd_sc_hd__clkbuf_1 _11641_ (.A(\u_uart_core.u_rxfsm.cnt[1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06904_));
- sky130_fd_sc_hd__or2_1 _11642_ (.A(_06904_),
-    .B(\u_uart_core.u_rxfsm.cnt[0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06905_));
- sky130_fd_sc_hd__or2_1 _11643_ (.A(_06903_),
-    .B(_06905_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06906_));
- sky130_fd_sc_hd__mux2_1 _11644_ (.A0(_05419_),
-    .A1(_06295_),
-    .S(_06906_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06907_));
- sky130_fd_sc_hd__clkbuf_1 _11645_ (.A(_06907_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00285_));
- sky130_fd_sc_hd__clkbuf_1 _11646_ (.A(_06904_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06908_));
- sky130_fd_sc_hd__clkbuf_1 _11647_ (.A(\u_uart_core.u_rxfsm.cnt[0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06909_));
- sky130_fd_sc_hd__clkbuf_1 _11648_ (.A(_06909_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06910_));
- sky130_fd_sc_hd__or3b_1 _11649_ (.A(_06903_),
-    .B(_06908_),
-    .C_N(_06910_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06911_));
- sky130_fd_sc_hd__mux2_1 _11650_ (.A0(_05419_),
-    .A1(_06301_),
-    .S(_06911_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06912_));
- sky130_fd_sc_hd__clkbuf_1 _11651_ (.A(_06912_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00286_));
- sky130_fd_sc_hd__or3b_1 _11652_ (.A(_06909_),
-    .B(_06903_),
-    .C_N(_06908_),
+ sky130_fd_sc_hd__or3_2 _11649_ (.A(\u_uart_core.u_rxfsm.cnt[2] ),
+    .B(_05383_),
+    .C(_06328_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06913_));
- sky130_fd_sc_hd__mux2_1 _11653_ (.A0(_05419_),
-    .A1(_06303_),
-    .S(_06913_),
+ sky130_fd_sc_hd__or2_1 _11650_ (.A(\u_uart_core.u_rxfsm.cnt[1] ),
+    .B(\u_uart_core.u_rxfsm.cnt[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06914_));
- sky130_fd_sc_hd__clkbuf_1 _11654_ (.A(_06914_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00287_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11655_ (.A(_06199_),
+ sky130_fd_sc_hd__or2_2 _11651_ (.A(_06913_),
+    .B(_06914_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06915_));
- sky130_fd_sc_hd__clkbuf_2 _11656_ (.A(_05415_),
+ sky130_fd_sc_hd__mux2_1 _11652_ (.A0(_05400_),
+    .A1(_06280_),
+    .S(_06915_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06916_));
- sky130_fd_sc_hd__nand2_1 _11657_ (.A(_06904_),
-    .B(_06909_),
+ sky130_fd_sc_hd__clkbuf_1 _11653_ (.A(_06916_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06917_));
- sky130_fd_sc_hd__nor2_1 _11658_ (.A(_06917_),
-    .B(_06903_),
+    .X(_00285_));
+ sky130_fd_sc_hd__clkbuf_1 _11654_ (.A(\u_uart_core.u_rxfsm.cnt[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06918_));
- sky130_fd_sc_hd__mux2_1 _11659_ (.A0(_06915_),
-    .A1(_06916_),
-    .S(_06918_),
+    .X(_06917_));
+ sky130_fd_sc_hd__clkbuf_1 _11655_ (.A(\u_uart_core.u_rxfsm.cnt[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06918_));
+ sky130_fd_sc_hd__or3b_4 _11656_ (.A(_06913_),
+    .B(_06917_),
+    .C_N(_06918_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06919_));
- sky130_fd_sc_hd__clkbuf_1 _11660_ (.A(_06919_),
+ sky130_fd_sc_hd__mux2_1 _11657_ (.A0(_05400_),
+    .A1(_06286_),
+    .S(_06919_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00288_));
- sky130_fd_sc_hd__nand3_1 _11661_ (.A(_06327_),
-    .B(_05405_),
-    .C(_06315_),
+    .X(_06920_));
+ sky130_fd_sc_hd__clkbuf_1 _11658_ (.A(_06920_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06920_));
- sky130_fd_sc_hd__or2_1 _11662_ (.A(_06905_),
-    .B(_06920_),
+    .X(_00286_));
+ sky130_fd_sc_hd__clkbuf_1 _11659_ (.A(\u_uart_core.u_rxfsm.cnt[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06921_));
- sky130_fd_sc_hd__mux2_1 _11663_ (.A0(_06916_),
-    .A1(_06306_),
-    .S(_06921_),
+ sky130_fd_sc_hd__clkbuf_1 _11660_ (.A(_06917_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06922_));
- sky130_fd_sc_hd__clkbuf_1 _11664_ (.A(_06922_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00289_));
- sky130_fd_sc_hd__or3b_4 _11665_ (.A(_06920_),
-    .B(_06904_),
-    .C_N(_06910_),
+ sky130_fd_sc_hd__or3b_4 _11661_ (.A(_06921_),
+    .B(_06913_),
+    .C_N(_06922_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06923_));
- sky130_fd_sc_hd__mux2_1 _11666_ (.A0(_06916_),
-    .A1(_06309_),
+ sky130_fd_sc_hd__mux2_1 _11662_ (.A0(_05400_),
+    .A1(_06288_),
     .S(_06923_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06924_));
- sky130_fd_sc_hd__clkbuf_1 _11667_ (.A(_06924_),
+ sky130_fd_sc_hd__clkbuf_1 _11663_ (.A(_06924_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00290_));
- sky130_fd_sc_hd__or3b_4 _11668_ (.A(_06909_),
-    .B(_06920_),
-    .C_N(_06908_),
+    .X(_00287_));
+ sky130_fd_sc_hd__clkbuf_2 _11664_ (.A(_06162_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06925_));
- sky130_fd_sc_hd__mux2_1 _11669_ (.A0(_06916_),
-    .A1(_06311_),
-    .S(_06925_),
+ sky130_fd_sc_hd__clkbuf_1 _11665_ (.A(_05393_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06926_));
- sky130_fd_sc_hd__clkbuf_1 _11670_ (.A(_06926_),
+ sky130_fd_sc_hd__nand2_1 _11666_ (.A(_06917_),
+    .B(_06921_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00291_));
- sky130_fd_sc_hd__buf_2 _11671_ (.A(_06212_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06927_));
- sky130_fd_sc_hd__nor2_1 _11672_ (.A(_06917_),
-    .B(_06920_),
+    .Y(_06927_));
+ sky130_fd_sc_hd__nor2_1 _11667_ (.A(_06927_),
+    .B(_06913_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06928_));
- sky130_fd_sc_hd__mux2_1 _11673_ (.A0(_06927_),
-    .A1(_05415_),
+ sky130_fd_sc_hd__mux2_1 _11668_ (.A0(_06925_),
+    .A1(_06926_),
     .S(_06928_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06929_));
- sky130_fd_sc_hd__clkbuf_1 _11674_ (.A(_06929_),
+ sky130_fd_sc_hd__clkbuf_1 _11669_ (.A(_06929_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00292_));
- sky130_fd_sc_hd__o211a_1 _11675_ (.A1(_06689_),
-    .A2(_06928_),
-    .B1(_06316_),
-    .C1(_06326_),
+    .X(_00288_));
+ sky130_fd_sc_hd__nand2_1 _11670_ (.A(\u_uart_core.u_rxfsm.cnt[2] ),
+    .B(_06333_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00293_));
- sky130_fd_sc_hd__o21ba_1 _11676_ (.A1(_05407_),
-    .A2(_05408_),
-    .B1_N(_06910_),
+    .Y(_06930_));
+ sky130_fd_sc_hd__or2_1 _11671_ (.A(_06914_),
+    .B(_06930_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06930_));
- sky130_fd_sc_hd__inv_2 _11677_ (.A(_06323_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_06931_));
- sky130_fd_sc_hd__a2bb2o_1 _11678_ (.A1_N(_05404_),
-    .A2_N(_06314_),
-    .B1(_06321_),
-    .B2(_05398_),
+    .X(_06931_));
+ sky130_fd_sc_hd__mux2_1 _11672_ (.A0(_06926_),
+    .A1(_06291_),
+    .S(_06931_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06932_));
- sky130_fd_sc_hd__a31o_1 _11679_ (.A1(_05414_),
-    .A2(_06322_),
-    .A3(_06931_),
-    .B1(_06932_),
+ sky130_fd_sc_hd__clkbuf_1 _11673_ (.A(_06932_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00289_));
+ sky130_fd_sc_hd__or3b_1 _11674_ (.A(_06930_),
+    .B(_06917_),
+    .C_N(_06921_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06933_));
- sky130_fd_sc_hd__a31o_1 _11680_ (.A1(_05418_),
-    .A2(_06333_),
-    .A3(_06323_),
-    .B1(_06933_),
+ sky130_fd_sc_hd__mux2_1 _11675_ (.A0(_06926_),
+    .A1(_06294_),
+    .S(_06933_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06934_));
- sky130_fd_sc_hd__mux2_1 _11681_ (.A0(_06930_),
-    .A1(_06910_),
-    .S(_06934_),
+ sky130_fd_sc_hd__clkbuf_1 _11676_ (.A(_06934_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00290_));
+ sky130_fd_sc_hd__or3b_1 _11677_ (.A(_06921_),
+    .B(_06930_),
+    .C_N(_06922_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06935_));
- sky130_fd_sc_hd__clkbuf_1 _11682_ (.A(_06935_),
+ sky130_fd_sc_hd__mux2_1 _11678_ (.A0(_06926_),
+    .A1(_06296_),
+    .S(_06935_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00294_));
- sky130_fd_sc_hd__a21oi_1 _11683_ (.A1(_06333_),
-    .A2(_06323_),
-    .B1(_06933_),
+    .X(_06936_));
+ sky130_fd_sc_hd__clkbuf_1 _11679_ (.A(_06936_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06936_));
- sky130_fd_sc_hd__a32o_1 _11684_ (.A1(_06917_),
-    .A2(_06905_),
-    .A3(_06936_),
-    .B1(_06934_),
-    .B2(_06908_),
+    .X(_00291_));
+ sky130_fd_sc_hd__clkbuf_2 _11680_ (.A(_06175_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00295_));
- sky130_fd_sc_hd__nor2_1 _11685_ (.A(_06917_),
-    .B(_06934_),
+    .X(_06937_));
+ sky130_fd_sc_hd__nor2_1 _11681_ (.A(_06927_),
+    .B(_06930_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06937_));
- sky130_fd_sc_hd__o21a_1 _11686_ (.A1(_06315_),
-    .A2(_06931_),
-    .B1(_06322_),
+    .Y(_06938_));
+ sky130_fd_sc_hd__mux2_1 _11682_ (.A0(_06937_),
+    .A1(_05393_),
+    .S(_06938_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06938_));
- sky130_fd_sc_hd__o22a_1 _11687_ (.A1(_06327_),
-    .A2(_06937_),
-    .B1(_06938_),
-    .B2(_06934_),
+    .X(_06939_));
+ sky130_fd_sc_hd__clkbuf_1 _11683_ (.A(_06939_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00296_));
- sky130_fd_sc_hd__nor2_2 _11688_ (.A(_05418_),
-    .B(_06321_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_06939_));
- sky130_fd_sc_hd__mux2_1 _11689_ (.A0(\u_uart_core.u_rxfsm.rxpos[0] ),
-    .A1(_05384_),
-    .S(_06939_),
+    .X(_00292_));
+ sky130_fd_sc_hd__mux2_1 _11684_ (.A0(_05395_),
+    .A1(_05388_),
+    .S(_05387_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06940_));
- sky130_fd_sc_hd__clkbuf_1 _11690_ (.A(_06940_),
+ sky130_fd_sc_hd__o21ba_1 _11685_ (.A1(_06701_),
+    .A2(_06938_),
+    .B1_N(_06940_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00297_));
- sky130_fd_sc_hd__mux2_1 _11691_ (.A0(\u_uart_core.u_rxfsm.rxpos[1] ),
-    .A1(_06103_),
-    .S(_06939_),
+    .X(_00293_));
+ sky130_fd_sc_hd__o21ba_1 _11686_ (.A1(_05387_),
+    .A2(_05398_),
+    .B1_N(_06918_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06941_));
- sky130_fd_sc_hd__clkbuf_1 _11692_ (.A(_06941_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00298_));
- sky130_fd_sc_hd__mux2_1 _11693_ (.A0(\u_uart_core.u_rxfsm.rxpos[2] ),
-    .A1(\u_uart_core.u_rxfsm.offset[2] ),
-    .S(_06939_),
+ sky130_fd_sc_hd__a211o_1 _11687_ (.A1(_05392_),
+    .A2(_06332_),
+    .B1(_05375_),
+    .C1(_05398_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06942_));
- sky130_fd_sc_hd__clkbuf_1 _11694_ (.A(_06942_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00299_));
- sky130_fd_sc_hd__mux2_1 _11695_ (.A0(\u_uart_core.u_rxfsm.rxpos[3] ),
-    .A1(_06106_),
-    .S(_06939_),
+ sky130_fd_sc_hd__a22o_1 _11688_ (.A1(_05384_),
+    .A2(_06344_),
+    .B1(_06335_),
+    .B2(_05378_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06943_));
- sky130_fd_sc_hd__clkbuf_1 _11696_ (.A(_06943_),
+ sky130_fd_sc_hd__a21oi_2 _11689_ (.A1(_05377_),
+    .A2(_06942_),
+    .B1(_06943_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00300_));
- sky130_fd_sc_hd__or3b_4 _11697_ (.A(\u_uart_core.u_txfifo.wr_ptr[0] ),
-    .B(_06418_),
-    .C_N(_06405_),
+    .Y(_06944_));
+ sky130_fd_sc_hd__mux2_1 _11690_ (.A0(_06918_),
+    .A1(_06941_),
+    .S(_06944_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06944_));
- sky130_fd_sc_hd__nor2_1 _11698_ (.A(_06427_),
-    .B(_06944_),
+    .X(_06945_));
+ sky130_fd_sc_hd__clkbuf_1 _11691_ (.A(_06945_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06945_));
- sky130_fd_sc_hd__clkbuf_2 _11699_ (.A(_06945_),
+    .X(_00294_));
+ sky130_fd_sc_hd__nand2_1 _11692_ (.A(_06328_),
+    .B(_06337_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06946_));
- sky130_fd_sc_hd__mux2_1 _11700_ (.A0(\u_uart_core.u_txfifo.mem[14][0] ),
-    .A1(_06441_),
-    .S(_06946_),
+    .Y(_06946_));
+ sky130_fd_sc_hd__and3_1 _11693_ (.A(_06927_),
+    .B(_06914_),
+    .C(_06946_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06947_));
- sky130_fd_sc_hd__clkbuf_1 _11701_ (.A(_06947_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00301_));
- sky130_fd_sc_hd__mux2_1 _11702_ (.A0(\u_uart_core.u_txfifo.mem[14][1] ),
-    .A1(_06446_),
-    .S(_06946_),
+ sky130_fd_sc_hd__mux2_1 _11694_ (.A0(_06922_),
+    .A1(_06947_),
+    .S(_06944_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06948_));
- sky130_fd_sc_hd__clkbuf_1 _11703_ (.A(_06948_),
+ sky130_fd_sc_hd__clkbuf_1 _11695_ (.A(_06948_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00302_));
- sky130_fd_sc_hd__mux2_1 _11704_ (.A0(\u_uart_core.u_txfifo.mem[14][2] ),
-    .A1(_06448_),
-    .S(_06946_),
+    .X(_00295_));
+ sky130_fd_sc_hd__nand2_1 _11696_ (.A(_06332_),
+    .B(_06946_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06949_));
- sky130_fd_sc_hd__clkbuf_1 _11705_ (.A(_06949_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00303_));
- sky130_fd_sc_hd__clkbuf_2 _11706_ (.A(net40),
+    .Y(_06949_));
+ sky130_fd_sc_hd__and3_1 _11697_ (.A(_06922_),
+    .B(_06918_),
+    .C(_06944_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06950_));
- sky130_fd_sc_hd__clkbuf_4 _11707_ (.A(_06950_),
+ sky130_fd_sc_hd__o2bb2a_1 _11698_ (.A1_N(_06944_),
+    .A2_N(_06949_),
+    .B1(_06950_),
+    .B2(\u_uart_core.u_rxfsm.cnt[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06951_));
- sky130_fd_sc_hd__mux2_1 _11708_ (.A0(\u_uart_core.u_txfifo.mem[14][3] ),
-    .A1(_06951_),
-    .S(_06946_),
+    .X(_00296_));
+ sky130_fd_sc_hd__nor2_2 _11699_ (.A(_05399_),
+    .B(_06335_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_06951_));
+ sky130_fd_sc_hd__mux2_1 _11700_ (.A0(\u_uart_core.u_rxfsm.rxpos[0] ),
+    .A1(_05362_),
+    .S(_06951_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06952_));
- sky130_fd_sc_hd__clkbuf_1 _11709_ (.A(_06952_),
+ sky130_fd_sc_hd__clkbuf_1 _11701_ (.A(_06952_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00304_));
- sky130_fd_sc_hd__clkbuf_2 _11710_ (.A(_06945_),
+    .X(_00297_));
+ sky130_fd_sc_hd__mux2_1 _11702_ (.A0(\u_uart_core.u_rxfsm.rxpos[1] ),
+    .A1(_06138_),
+    .S(_06951_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06953_));
- sky130_fd_sc_hd__mux2_1 _11711_ (.A0(\u_uart_core.u_txfifo.mem[14][4] ),
-    .A1(_06453_),
-    .S(_06953_),
+ sky130_fd_sc_hd__clkbuf_1 _11703_ (.A(_06953_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00298_));
+ sky130_fd_sc_hd__mux2_1 _11704_ (.A0(\u_uart_core.u_rxfsm.rxpos[2] ),
+    .A1(\u_uart_core.u_rxfsm.offset[2] ),
+    .S(_06951_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06954_));
- sky130_fd_sc_hd__clkbuf_1 _11712_ (.A(_06954_),
+ sky130_fd_sc_hd__clkbuf_1 _11705_ (.A(_06954_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00305_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11713_ (.A(net42),
+    .X(_00299_));
+ sky130_fd_sc_hd__mux2_1 _11706_ (.A0(\u_uart_core.u_rxfsm.rxpos[3] ),
+    .A1(_06141_),
+    .S(_06951_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06955_));
- sky130_fd_sc_hd__clkbuf_4 _11714_ (.A(_06955_),
+ sky130_fd_sc_hd__clkbuf_1 _11707_ (.A(_06955_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00300_));
+ sky130_fd_sc_hd__buf_2 _11708_ (.A(net15),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06956_));
- sky130_fd_sc_hd__mux2_1 _11715_ (.A0(\u_uart_core.u_txfifo.mem[14][5] ),
-    .A1(_06956_),
-    .S(_06953_),
+ sky130_fd_sc_hd__buf_2 _11709_ (.A(_06956_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06957_));
- sky130_fd_sc_hd__clkbuf_1 _11716_ (.A(_06957_),
+ sky130_fd_sc_hd__inv_2 _11710_ (.A(_06415_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00306_));
- sky130_fd_sc_hd__clkbuf_1 _11717_ (.A(net43),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_06958_));
- sky130_fd_sc_hd__clkbuf_4 _11718_ (.A(_06958_),
+    .Y(_06958_));
+ sky130_fd_sc_hd__or3_4 _11711_ (.A(_06425_),
+    .B(_06411_),
+    .C(_06958_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06959_));
- sky130_fd_sc_hd__mux2_1 _11719_ (.A0(\u_uart_core.u_txfifo.mem[14][6] ),
-    .A1(_06959_),
-    .S(_06953_),
+ sky130_fd_sc_hd__nor2_1 _11712_ (.A(_06432_),
+    .B(_06959_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06960_));
- sky130_fd_sc_hd__clkbuf_1 _11720_ (.A(_06960_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00307_));
- sky130_fd_sc_hd__clkbuf_2 _11721_ (.A(net44),
+    .Y(_06960_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11713_ (.A(_06960_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06961_));
- sky130_fd_sc_hd__clkbuf_4 _11722_ (.A(_06961_),
+ sky130_fd_sc_hd__mux2_1 _11714_ (.A0(\u_uart_core.u_txfifo.mem[14][0] ),
+    .A1(_06957_),
+    .S(_06961_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06962_));
- sky130_fd_sc_hd__mux2_1 _11723_ (.A0(\u_uart_core.u_txfifo.mem[14][7] ),
-    .A1(_06962_),
-    .S(_06953_),
+ sky130_fd_sc_hd__clkbuf_1 _11715_ (.A(_06962_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00301_));
+ sky130_fd_sc_hd__clkbuf_4 _11716_ (.A(net26),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06963_));
- sky130_fd_sc_hd__clkbuf_1 _11724_ (.A(_06963_),
+ sky130_fd_sc_hd__buf_2 _11717_ (.A(_06963_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00308_));
- sky130_fd_sc_hd__xnor2_4 _11725_ (.A(\u_uart_core.u_txfifo.sync_rd_ptr[4] ),
-    .B(\u_uart_core.u_txfifo.sync_rd_ptr_1[3] ),
+    .X(_06964_));
+ sky130_fd_sc_hd__mux2_1 _11718_ (.A0(\u_uart_core.u_txfifo.mem[14][1] ),
+    .A1(_06964_),
+    .S(_06961_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06964_));
- sky130_fd_sc_hd__nand2_1 _11726_ (.A(\u_uart_core.u_txfifo.wr_ptr[3] ),
-    .B(_06964_),
+    .X(_06965_));
+ sky130_fd_sc_hd__clkbuf_1 _11719_ (.A(_06965_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06965_));
- sky130_fd_sc_hd__or2_1 _11727_ (.A(\u_uart_core.u_txfifo.wr_ptr[3] ),
-    .B(_06964_),
+    .X(_00302_));
+ sky130_fd_sc_hd__buf_2 _11720_ (.A(net37),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06966_));
- sky130_fd_sc_hd__xnor2_4 _11728_ (.A(\u_uart_core.u_txfifo.sync_rd_ptr_1[2] ),
-    .B(_06964_),
+ sky130_fd_sc_hd__clkbuf_4 _11721_ (.A(_06966_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06967_));
- sky130_fd_sc_hd__and2b_1 _11729_ (.A_N(_06967_),
-    .B(\u_uart_core.u_txfifo.wr_ptr[2] ),
+    .X(_06967_));
+ sky130_fd_sc_hd__mux2_1 _11722_ (.A0(\u_uart_core.u_txfifo.mem[14][2] ),
+    .A1(_06967_),
+    .S(_06961_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06968_));
- sky130_fd_sc_hd__and2b_1 _11730_ (.A_N(_06415_),
-    .B(_06967_),
+ sky130_fd_sc_hd__clkbuf_1 _11723_ (.A(_06968_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00303_));
+ sky130_fd_sc_hd__mux2_1 _11724_ (.A0(\u_uart_core.u_txfifo.mem[14][3] ),
+    .A1(_06456_),
+    .S(_06961_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06969_));
- sky130_fd_sc_hd__nor2_1 _11731_ (.A(_06968_),
-    .B(_06969_),
+ sky130_fd_sc_hd__clkbuf_1 _11725_ (.A(_06969_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06970_));
- sky130_fd_sc_hd__xnor2_2 _11732_ (.A(\u_uart_core.u_txfifo.sync_rd_ptr_1[1] ),
-    .B(_06967_),
+    .X(_00304_));
+ sky130_fd_sc_hd__clkbuf_2 _11726_ (.A(_06960_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06971_));
- sky130_fd_sc_hd__inv_2 _11733_ (.A(\u_uart_core.u_txfifo.wr_ptr[0] ),
+    .X(_06970_));
+ sky130_fd_sc_hd__mux2_1 _11727_ (.A0(\u_uart_core.u_txfifo.mem[14][4] ),
+    .A1(_06459_),
+    .S(_06970_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06972_));
- sky130_fd_sc_hd__xnor2_1 _11734_ (.A(\u_uart_core.u_txfifo.sync_rd_ptr_1[0] ),
-    .B(_06971_),
+    .X(_06971_));
+ sky130_fd_sc_hd__clkbuf_1 _11728_ (.A(_06971_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06973_));
- sky130_fd_sc_hd__xnor2_1 _11735_ (.A(\u_uart_core.u_txfifo.wr_ptr[1] ),
-    .B(_06971_),
+    .X(_00305_));
+ sky130_fd_sc_hd__mux2_1 _11729_ (.A0(\u_uart_core.u_txfifo.mem[14][5] ),
+    .A1(_06462_),
+    .S(_06970_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06974_));
- sky130_fd_sc_hd__a21o_1 _11736_ (.A1(_06972_),
-    .A2(_06973_),
-    .B1(_06974_),
+    .X(_06972_));
+ sky130_fd_sc_hd__clkbuf_1 _11730_ (.A(_06972_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06975_));
- sky130_fd_sc_hd__a21bo_1 _11737_ (.A1(\u_uart_core.u_txfifo.wr_ptr[1] ),
-    .A2(_06971_),
-    .B1_N(_06975_),
+    .X(_00306_));
+ sky130_fd_sc_hd__mux2_1 _11731_ (.A0(\u_uart_core.u_txfifo.mem[14][6] ),
+    .A1(_06464_),
+    .S(_06970_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06976_));
- sky130_fd_sc_hd__a21o_1 _11738_ (.A1(_06970_),
-    .A2(_06976_),
-    .B1(_06968_),
+    .X(_06973_));
+ sky130_fd_sc_hd__clkbuf_1 _11732_ (.A(_06973_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06977_));
- sky130_fd_sc_hd__nand2_1 _11739_ (.A(_06966_),
-    .B(_06977_),
+    .X(_00307_));
+ sky130_fd_sc_hd__mux2_1 _11733_ (.A0(\u_uart_core.u_txfifo.mem[14][7] ),
+    .A1(_06466_),
+    .S(_06970_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06978_));
- sky130_fd_sc_hd__and2_1 _11740_ (.A(_06965_),
-    .B(_06978_),
+    .X(_06974_));
+ sky130_fd_sc_hd__clkbuf_1 _11734_ (.A(_06974_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06979_));
- sky130_fd_sc_hd__xnor2_2 _11741_ (.A(\u_uart_core.u_txfifo.grey_wr_ptr[4] ),
+    .X(_00308_));
+ sky130_fd_sc_hd__xor2_1 _11735_ (.A(\u_uart_core.u_txfifo.grey_wr_ptr[4] ),
     .B(\u_uart_core.u_txfifo.sync_rd_ptr[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06980_));
- sky130_fd_sc_hd__xnor2_2 _11742_ (.A(_06979_),
+    .X(_06975_));
+ sky130_fd_sc_hd__xnor2_4 _11736_ (.A(\u_uart_core.u_txfifo.sync_rd_ptr[4] ),
+    .B(\u_uart_core.u_txfifo.sync_rd_ptr_1[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_06976_));
+ sky130_fd_sc_hd__and2_1 _11737_ (.A(\u_uart_core.u_txfifo.wr_ptr[3] ),
+    .B(_06976_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06977_));
+ sky130_fd_sc_hd__xnor2_4 _11738_ (.A(\u_uart_core.u_txfifo.sync_rd_ptr_1[2] ),
+    .B(_06976_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_06978_));
+ sky130_fd_sc_hd__and2b_1 _11739_ (.A_N(_06978_),
+    .B(\u_uart_core.u_txfifo.wr_ptr[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06979_));
+ sky130_fd_sc_hd__and2b_1 _11740_ (.A_N(_06422_),
+    .B(_06978_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_06980_));
+ sky130_fd_sc_hd__nor2_1 _11741_ (.A(_06979_),
     .B(_06980_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06981_));
- sky130_fd_sc_hd__inv_2 _11743_ (.A(_06974_),
+ sky130_fd_sc_hd__xnor2_4 _11742_ (.A(\u_uart_core.u_txfifo.sync_rd_ptr_1[1] ),
+    .B(_06978_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06982_));
- sky130_fd_sc_hd__nor2_1 _11744_ (.A(_06972_),
-    .B(_06973_),
+ sky130_fd_sc_hd__inv_2 _11743_ (.A(\u_uart_core.u_txfifo.wr_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06983_));
- sky130_fd_sc_hd__o21ai_1 _11745_ (.A1(_06982_),
-    .A2(_06983_),
-    .B1(_06975_),
+ sky130_fd_sc_hd__xnor2_2 _11744_ (.A(\u_uart_core.u_txfifo.sync_rd_ptr_1[0] ),
+    .B(_06982_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06984_));
- sky130_fd_sc_hd__nand2_1 _11746_ (.A(_06965_),
-    .B(_06966_),
+ sky130_fd_sc_hd__xnor2_1 _11745_ (.A(\u_uart_core.u_txfifo.wr_ptr[1] ),
+    .B(_06982_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06985_));
- sky130_fd_sc_hd__xnor2_1 _11747_ (.A(_06977_),
-    .B(_06985_),
+ sky130_fd_sc_hd__a21o_1 _11746_ (.A1(_06983_),
+    .A2(_06984_),
+    .B1(_06985_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_06986_));
- sky130_fd_sc_hd__xor2_1 _11748_ (.A(_06970_),
-    .B(_06976_),
+    .X(_06986_));
+ sky130_fd_sc_hd__a21bo_1 _11747_ (.A1(_06420_),
+    .A2(_06982_),
+    .B1_N(_06986_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06987_));
- sky130_fd_sc_hd__and4bb_1 _11749_ (.A_N(_06984_),
-    .B_N(_06981_),
-    .C(_06986_),
-    .D(_06987_),
+ sky130_fd_sc_hd__a21o_1 _11748_ (.A1(_06981_),
+    .A2(_06987_),
+    .B1(_06979_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06988_));
- sky130_fd_sc_hd__o22a_1 _11750_ (.A1(_06430_),
-    .A2(_06981_),
-    .B1(_06988_),
-    .B2(\u_uart_core.app_tx_fifo_full ),
+ sky130_fd_sc_hd__or2_1 _11749_ (.A(\u_uart_core.u_txfifo.wr_ptr[3] ),
+    .B(_06976_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00309_));
- sky130_fd_sc_hd__o21ai_1 _11751_ (.A1(_05422_),
-    .A2(\u_uart_core.tx_fifo_rd_empty ),
-    .B1(_05421_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_06989_));
- sky130_fd_sc_hd__o21ai_1 _11752_ (.A1(_05421_),
-    .A2(_05434_),
+    .X(_06989_));
+ sky130_fd_sc_hd__o21ai_1 _11750_ (.A1(_06977_),
+    .A2(_06988_),
     .B1(_06989_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06990_));
- sky130_fd_sc_hd__a32o_1 _11753_ (.A1(_06352_),
-    .A2(_05431_),
-    .A3(_06990_),
-    .B1(_06724_),
-    .B2(_05442_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00310_));
- sky130_fd_sc_hd__xnor2_1 _11754_ (.A(\u_uart_core.u_txfsm.txdata[7] ),
-    .B(\u_uart_core.u_txfsm.txdata[6] ),
+ sky130_fd_sc_hd__xnor2_2 _11751_ (.A(_06975_),
+    .B(_06990_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06991_));
- sky130_fd_sc_hd__xor2_1 _11755_ (.A(\u_uart_core.u_txfsm.txdata[3] ),
-    .B(\u_uart_core.u_txfsm.txdata[2] ),
+ sky130_fd_sc_hd__or2b_1 _11752_ (.A(_06977_),
+    .B_N(_06989_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06992_));
- sky130_fd_sc_hd__xnor2_1 _11756_ (.A(_06991_),
+ sky130_fd_sc_hd__xnor2_1 _11753_ (.A(_06988_),
     .B(_06992_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06993_));
- sky130_fd_sc_hd__xor2_1 _11757_ (.A(\u_uart_core.u_txfsm.txdata[1] ),
-    .B(\u_uart_core.u_txfsm.txdata[0] ),
+ sky130_fd_sc_hd__xnor2_1 _11754_ (.A(_06981_),
+    .B(_06987_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_06994_));
- sky130_fd_sc_hd__xnor2_1 _11758_ (.A(\u_uart_core.u_txfsm.txdata[5] ),
-    .B(\u_uart_core.u_txfsm.txdata[4] ),
+    .Y(_06994_));
+ sky130_fd_sc_hd__inv_2 _11755_ (.A(_06985_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06995_));
- sky130_fd_sc_hd__xnor2_1 _11759_ (.A(_06994_),
-    .B(_06995_),
+ sky130_fd_sc_hd__nor2_1 _11756_ (.A(_06983_),
+    .B(_06984_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06996_));
- sky130_fd_sc_hd__xnor2_1 _11760_ (.A(_05410_),
-    .B(_06996_),
+ sky130_fd_sc_hd__o21ai_1 _11757_ (.A1(_06995_),
+    .A2(_06996_),
+    .B1(_06986_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06997_));
- sky130_fd_sc_hd__nand2_1 _11761_ (.A(_06993_),
+ sky130_fd_sc_hd__nor2_1 _11758_ (.A(_06994_),
     .B(_06997_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_06998_));
- sky130_fd_sc_hd__o21a_1 _11762_ (.A1(_06993_),
-    .A2(_06997_),
-    .B1(\u_uart_core.u_txfsm.txstate[2] ),
+ sky130_fd_sc_hd__and3_1 _11759_ (.A(_06991_),
+    .B(_06993_),
+    .C(_06998_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_06999_));
- sky130_fd_sc_hd__mux4_1 _11763_ (.A0(\u_uart_core.u_txfsm.txdata[4] ),
-    .A1(\u_uart_core.u_txfsm.txdata[6] ),
-    .A2(\u_uart_core.u_txfsm.txdata[5] ),
-    .A3(\u_uart_core.u_txfsm.txdata[7] ),
-    .S0(\u_uart_core.u_txfsm.cnt[1] ),
-    .S1(_05437_),
+ sky130_fd_sc_hd__o2bb2a_1 _11760_ (.A1_N(_06958_),
+    .A2_N(_06991_),
+    .B1(_06999_),
+    .B2(\u_uart_core.app_tx_fifo_full ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07000_));
- sky130_fd_sc_hd__mux4_1 _11764_ (.A0(\u_uart_core.u_txfsm.txdata[0] ),
+    .X(_00309_));
+ sky130_fd_sc_hd__o21ai_1 _11761_ (.A1(_05403_),
+    .A2(\u_uart_core.tx_fifo_rd_empty ),
+    .B1(_05402_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_07000_));
+ sky130_fd_sc_hd__o21ai_2 _11762_ (.A1(_05402_),
+    .A2(_05415_),
+    .B1(_07000_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_07001_));
+ sky130_fd_sc_hd__a32o_1 _11763_ (.A1(_06362_),
+    .A2(_05412_),
+    .A3(_07001_),
+    .B1(_06735_),
+    .B2(_05423_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00310_));
+ sky130_fd_sc_hd__xnor2_1 _11764_ (.A(\u_uart_core.u_txfsm.txdata[7] ),
+    .B(\u_uart_core.u_txfsm.txdata[6] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_07002_));
+ sky130_fd_sc_hd__xnor2_1 _11765_ (.A(\u_uart_core.u_txfsm.txdata[5] ),
+    .B(\u_uart_core.u_txfsm.txdata[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_07003_));
+ sky130_fd_sc_hd__xor2_1 _11766_ (.A(\u_uart_core.u_txfsm.txdata[1] ),
+    .B(\u_uart_core.u_txfsm.txdata[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07004_));
+ sky130_fd_sc_hd__xnor2_1 _11767_ (.A(_07003_),
+    .B(_07004_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_07005_));
+ sky130_fd_sc_hd__or2b_1 _11768_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_be0.gen_bit_reg[3].u_bit_reg.data_out ),
+    .B_N(\u_uart_core.u_cfg.u_uart_ctrl_be0.gen_bit_reg[4].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07006_));
+ sky130_fd_sc_hd__xnor2_1 _11769_ (.A(\u_uart_core.u_txfsm.txdata[3] ),
+    .B(\u_uart_core.u_txfsm.txdata[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_07007_));
+ sky130_fd_sc_hd__xnor2_1 _11770_ (.A(_07006_),
+    .B(_07007_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_07008_));
+ sky130_fd_sc_hd__xnor2_1 _11771_ (.A(_07005_),
+    .B(_07008_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_07009_));
+ sky130_fd_sc_hd__nand2_1 _11772_ (.A(_07002_),
+    .B(_07009_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_07010_));
+ sky130_fd_sc_hd__o21a_1 _11773_ (.A1(_07002_),
+    .A2(_07009_),
+    .B1(\u_uart_core.u_txfsm.txstate[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07011_));
+ sky130_fd_sc_hd__mux4_1 _11774_ (.A0(\u_uart_core.u_txfsm.txdata[4] ),
+    .A1(\u_uart_core.u_txfsm.txdata[6] ),
+    .A2(\u_uart_core.u_txfsm.txdata[5] ),
+    .A3(\u_uart_core.u_txfsm.txdata[7] ),
+    .S0(\u_uart_core.u_txfsm.cnt[1] ),
+    .S1(_05418_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07012_));
+ sky130_fd_sc_hd__mux4_1 _11775_ (.A0(\u_uart_core.u_txfsm.txdata[0] ),
     .A1(\u_uart_core.u_txfsm.txdata[2] ),
     .A2(\u_uart_core.u_txfsm.txdata[1] ),
     .A3(\u_uart_core.u_txfsm.txdata[3] ),
@@ -179137,34 +178733,34 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07001_));
- sky130_fd_sc_hd__or2_1 _11765_ (.A(\u_uart_core.u_txfsm.cnt[2] ),
-    .B(_07001_),
+    .X(_07013_));
+ sky130_fd_sc_hd__or2_1 _11776_ (.A(\u_uart_core.u_txfsm.cnt[2] ),
+    .B(_07013_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07002_));
- sky130_fd_sc_hd__o211a_1 _11766_ (.A1(_05436_),
-    .A2(_07000_),
-    .B1(_07002_),
+    .X(_07014_));
+ sky130_fd_sc_hd__o211a_1 _11777_ (.A1(_05417_),
+    .A2(_07012_),
+    .B1(_07014_),
     .C1(\u_uart_core.u_txfsm.txstate[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07003_));
- sky130_fd_sc_hd__a2111o_1 _11767_ (.A1(_06998_),
-    .A2(_06999_),
-    .B1(_07003_),
+    .X(_07015_));
+ sky130_fd_sc_hd__a2111o_1 _11778_ (.A1(_07010_),
+    .A2(_07011_),
+    .B1(_07015_),
     .C1(\u_uart_core.u_txfsm.txstate[1] ),
-    .D1(_05427_),
+    .D1(_05408_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07004_));
- sky130_fd_sc_hd__or4_1 _11768_ (.A(\u_uart_core.u_txfsm.txstate[0] ),
+    .X(_07016_));
+ sky130_fd_sc_hd__or4_1 _11779_ (.A(\u_uart_core.u_txfsm.txstate[0] ),
     .B(\u_uart_core.u_txfsm.txstate[1] ),
     .C(\u_uart_core.u_txfsm.txstate[3] ),
     .D(\u_uart_core.u_txfsm.txstate[2] ),
@@ -179172,5078 +178768,4980 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07005_));
- sky130_fd_sc_hd__or2_1 _11769_ (.A(\u_uart_core.u_txfsm.txstate[4] ),
-    .B(_07005_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07006_));
- sky130_fd_sc_hd__and3_1 _11770_ (.A(_05430_),
-    .B(_06989_),
-    .C(_07006_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07007_));
- sky130_fd_sc_hd__mux2_1 _11771_ (.A0(net97),
-    .A1(_07004_),
-    .S(_07007_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07008_));
- sky130_fd_sc_hd__clkbuf_1 _11772_ (.A(_07008_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00311_));
- sky130_fd_sc_hd__nor2_1 _11773_ (.A(_05423_),
-    .B(_06990_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_07009_));
- sky130_fd_sc_hd__nand2_1 _11774_ (.A(_05437_),
-    .B(_07009_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_07010_));
- sky130_fd_sc_hd__o221a_1 _11775_ (.A1(_05435_),
-    .A2(_05444_),
-    .B1(_07009_),
-    .B2(_05437_),
-    .C1(_07010_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00312_));
- sky130_fd_sc_hd__inv_2 _11776_ (.A(\u_uart_core.u_txfsm.cnt[1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_07011_));
- sky130_fd_sc_hd__a211o_1 _11777_ (.A1(_05434_),
-    .A2(_05438_),
-    .B1(_06990_),
-    .C1(_05432_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07012_));
- sky130_fd_sc_hd__a21boi_1 _11778_ (.A1(_07011_),
-    .A2(_07010_),
-    .B1_N(_07012_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_00313_));
- sky130_fd_sc_hd__and3b_1 _11779_ (.A_N(_05438_),
-    .B(_05436_),
-    .C(_05435_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07013_));
- sky130_fd_sc_hd__a22o_1 _11780_ (.A1(\u_uart_core.u_txfsm.cnt[2] ),
-    .A2(_07012_),
-    .B1(_07013_),
-    .B2(_07009_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00314_));
- sky130_fd_sc_hd__clkbuf_4 _11781_ (.A(net15),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07014_));
- sky130_fd_sc_hd__and2_1 _11782_ (.A(_06425_),
-    .B(_06426_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07015_));
- sky130_fd_sc_hd__nand2_1 _11783_ (.A(_06413_),
-    .B(_07015_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_07016_));
- sky130_fd_sc_hd__clkbuf_2 _11784_ (.A(_07016_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
     .X(_07017_));
- sky130_fd_sc_hd__mux2_1 _11785_ (.A0(_07014_),
-    .A1(\u_uart_core.u_txfifo.mem[13][0] ),
-    .S(_07017_),
+ sky130_fd_sc_hd__or2_1 _11780_ (.A(\u_uart_core.u_txfsm.txstate[4] ),
+    .B(_07017_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07018_));
- sky130_fd_sc_hd__clkbuf_1 _11786_ (.A(_07018_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00315_));
- sky130_fd_sc_hd__clkbuf_4 _11787_ (.A(net26),
+ sky130_fd_sc_hd__and3_1 _11781_ (.A(_05411_),
+    .B(_07000_),
+    .C(_07018_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07019_));
- sky130_fd_sc_hd__mux2_1 _11788_ (.A0(_07019_),
-    .A1(\u_uart_core.u_txfifo.mem[13][1] ),
-    .S(_07017_),
+ sky130_fd_sc_hd__mux2_1 _11782_ (.A0(net97),
+    .A1(_07016_),
+    .S(_07019_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07020_));
- sky130_fd_sc_hd__clkbuf_1 _11789_ (.A(_07020_),
+ sky130_fd_sc_hd__clkbuf_1 _11783_ (.A(_07020_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00316_));
- sky130_fd_sc_hd__clkbuf_4 _11790_ (.A(net37),
+    .X(_00311_));
+ sky130_fd_sc_hd__nor2_1 _11784_ (.A(_05404_),
+    .B(_07001_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07021_));
- sky130_fd_sc_hd__mux2_1 _11791_ (.A0(_07021_),
-    .A1(\u_uart_core.u_txfifo.mem[13][2] ),
-    .S(_07017_),
+    .Y(_07021_));
+ sky130_fd_sc_hd__nand2_1 _11785_ (.A(_05418_),
+    .B(_07021_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07022_));
- sky130_fd_sc_hd__clkbuf_1 _11792_ (.A(_07022_),
+    .Y(_07022_));
+ sky130_fd_sc_hd__o221a_1 _11786_ (.A1(_05416_),
+    .A2(_05425_),
+    .B1(_07021_),
+    .B2(_05418_),
+    .C1(_07022_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00317_));
- sky130_fd_sc_hd__clkbuf_2 _11793_ (.A(net40),
+    .X(_00312_));
+ sky130_fd_sc_hd__inv_2 _11787_ (.A(\u_uart_core.u_txfsm.cnt[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07023_));
- sky130_fd_sc_hd__buf_2 _11794_ (.A(_07023_),
+    .Y(_07023_));
+ sky130_fd_sc_hd__a211o_1 _11788_ (.A1(_05415_),
+    .A2(_05419_),
+    .B1(_07001_),
+    .C1(_05413_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07024_));
- sky130_fd_sc_hd__mux2_1 _11795_ (.A0(_07024_),
-    .A1(\u_uart_core.u_txfifo.mem[13][3] ),
-    .S(_07017_),
+ sky130_fd_sc_hd__a21boi_1 _11789_ (.A1(_07023_),
+    .A2(_07022_),
+    .B1_N(_07024_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_00313_));
+ sky130_fd_sc_hd__and3b_1 _11790_ (.A_N(_05419_),
+    .B(_05417_),
+    .C(_05416_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07025_));
- sky130_fd_sc_hd__clkbuf_1 _11796_ (.A(_07025_),
+ sky130_fd_sc_hd__a22o_1 _11791_ (.A1(\u_uart_core.u_txfsm.cnt[2] ),
+    .A2(_07024_),
+    .B1(_07025_),
+    .B2(_07021_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00318_));
- sky130_fd_sc_hd__clkbuf_2 _11797_ (.A(net41),
+    .X(_00314_));
+ sky130_fd_sc_hd__buf_2 _11792_ (.A(net15),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07026_));
- sky130_fd_sc_hd__clkbuf_2 _11798_ (.A(_07026_),
+ sky130_fd_sc_hd__or3_1 _11793_ (.A(_06421_),
+    .B(_06418_),
+    .C(_06432_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07027_));
- sky130_fd_sc_hd__clkbuf_2 _11799_ (.A(_07016_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11794_ (.A(_07027_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07028_));
- sky130_fd_sc_hd__mux2_1 _11800_ (.A0(_07027_),
-    .A1(\u_uart_core.u_txfifo.mem[13][4] ),
+ sky130_fd_sc_hd__mux2_1 _11795_ (.A0(_07026_),
+    .A1(\u_uart_core.u_txfifo.mem[13][0] ),
     .S(_07028_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07029_));
- sky130_fd_sc_hd__clkbuf_1 _11801_ (.A(_07029_),
+ sky130_fd_sc_hd__clkbuf_1 _11796_ (.A(_07029_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00319_));
- sky130_fd_sc_hd__buf_2 _11802_ (.A(net42),
+    .X(_00315_));
+ sky130_fd_sc_hd__buf_2 _11797_ (.A(net26),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07030_));
- sky130_fd_sc_hd__mux2_1 _11803_ (.A0(_07030_),
-    .A1(\u_uart_core.u_txfifo.mem[13][5] ),
+ sky130_fd_sc_hd__mux2_1 _11798_ (.A0(_07030_),
+    .A1(\u_uart_core.u_txfifo.mem[13][1] ),
     .S(_07028_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07031_));
- sky130_fd_sc_hd__clkbuf_1 _11804_ (.A(_07031_),
+ sky130_fd_sc_hd__clkbuf_1 _11799_ (.A(_07031_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00320_));
- sky130_fd_sc_hd__buf_2 _11805_ (.A(net43),
+    .X(_00316_));
+ sky130_fd_sc_hd__buf_2 _11800_ (.A(net37),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07032_));
- sky130_fd_sc_hd__mux2_1 _11806_ (.A0(_07032_),
-    .A1(\u_uart_core.u_txfifo.mem[13][6] ),
+ sky130_fd_sc_hd__mux2_1 _11801_ (.A0(_07032_),
+    .A1(\u_uart_core.u_txfifo.mem[13][2] ),
     .S(_07028_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07033_));
- sky130_fd_sc_hd__clkbuf_1 _11807_ (.A(_07033_),
+ sky130_fd_sc_hd__clkbuf_1 _11802_ (.A(_07033_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00321_));
- sky130_fd_sc_hd__clkbuf_4 _11808_ (.A(net44),
+    .X(_00317_));
+ sky130_fd_sc_hd__buf_2 _11803_ (.A(net40),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07034_));
- sky130_fd_sc_hd__mux2_1 _11809_ (.A0(_07034_),
-    .A1(\u_uart_core.u_txfifo.mem[13][7] ),
-    .S(_07028_),
+ sky130_fd_sc_hd__clkbuf_4 _11804_ (.A(_07034_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07035_));
- sky130_fd_sc_hd__clkbuf_1 _11810_ (.A(_07035_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00322_));
- sky130_fd_sc_hd__clkbuf_4 _11811_ (.A(net10),
+ sky130_fd_sc_hd__mux2_1 _11805_ (.A0(_07035_),
+    .A1(\u_uart_core.u_txfifo.mem[13][3] ),
+    .S(_07028_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07036_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11812_ (.A(_06402_),
+ sky130_fd_sc_hd__clkbuf_1 _11806_ (.A(_07036_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00318_));
+ sky130_fd_sc_hd__clkbuf_4 _11807_ (.A(_06458_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07037_));
- sky130_fd_sc_hd__and2b_1 _11813_ (.A_N(_06597_),
-    .B(_05968_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11808_ (.A(_07027_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07038_));
- sky130_fd_sc_hd__clkbuf_1 _11814_ (.A(_07038_),
+ sky130_fd_sc_hd__mux2_1 _11809_ (.A0(_07037_),
+    .A1(\u_uart_core.u_txfifo.mem[13][4] ),
+    .S(_07038_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07039_));
- sky130_fd_sc_hd__and3_2 _11815_ (.A(_07036_),
-    .B(_07037_),
-    .C(_07039_),
+ sky130_fd_sc_hd__clkbuf_1 _11810_ (.A(_07039_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00319_));
+ sky130_fd_sc_hd__buf_4 _11811_ (.A(net42),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07040_));
- sky130_fd_sc_hd__mux2_1 _11816_ (.A0(_06587_),
-    .A1(_06951_),
-    .S(_07040_),
+ sky130_fd_sc_hd__mux2_1 _11812_ (.A0(_07040_),
+    .A1(\u_uart_core.u_txfifo.mem[13][5] ),
+    .S(_07038_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07041_));
- sky130_fd_sc_hd__clkbuf_1 _11817_ (.A(_07041_),
+ sky130_fd_sc_hd__clkbuf_1 _11813_ (.A(_07041_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00323_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11818_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[0].u_bit_reg.data_out ),
+    .X(_00320_));
+ sky130_fd_sc_hd__clkbuf_4 _11814_ (.A(net43),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07042_));
- sky130_fd_sc_hd__clkbuf_2 _11819_ (.A(_07042_),
+ sky130_fd_sc_hd__mux2_1 _11815_ (.A0(_07042_),
+    .A1(\u_uart_core.u_txfifo.mem[13][6] ),
+    .S(_07038_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07043_));
- sky130_fd_sc_hd__xor2_1 _11820_ (.A(_06533_),
-    .B(_07043_),
+ sky130_fd_sc_hd__clkbuf_1 _11816_ (.A(_07043_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00321_));
+ sky130_fd_sc_hd__buf_4 _11817_ (.A(net44),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07044_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11821_ (.A(\u_uart_core.u_clk_ctl.high_count[0] ),
+ sky130_fd_sc_hd__mux2_1 _11818_ (.A0(_07044_),
+    .A1(\u_uart_core.u_txfifo.mem[13][7] ),
+    .S(_07038_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07045_));
- sky130_fd_sc_hd__inv_2 _11822_ (.A(_07045_),
+ sky130_fd_sc_hd__clkbuf_1 _11819_ (.A(_07045_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00322_));
+ sky130_fd_sc_hd__clkinv_2 _11820_ (.A(net10),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_07046_));
- sky130_fd_sc_hd__o211a_1 _11823_ (.A1(_06548_),
-    .A2(_07044_),
-    .B1(_06030_),
-    .C1(_07046_),
+ sky130_fd_sc_hd__or2_1 _11821_ (.A(_07046_),
+    .B(_06412_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00324_));
- sky130_fd_sc_hd__nor2_1 _11824_ (.A(_07045_),
-    .B(\u_uart_core.u_clk_ctl.high_count[1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_07047_));
- sky130_fd_sc_hd__and2_1 _11825_ (.A(_07045_),
-    .B(\u_uart_core.u_clk_ctl.high_count[1] ),
+    .X(_07047_));
+ sky130_fd_sc_hd__and2b_1 _11822_ (.A_N(net7),
+    .B(_06006_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07048_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11826_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[0].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_1 _11823_ (.A(_07048_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07049_));
- sky130_fd_sc_hd__and3_1 _11827_ (.A(_06517_),
-    .B(_06521_),
-    .C(_07049_),
+ sky130_fd_sc_hd__and2b_2 _11824_ (.A_N(_07047_),
+    .B(_07049_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07050_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11828_ (.A(_07049_),
+ sky130_fd_sc_hd__mux2_1 _11825_ (.A0(_06598_),
+    .A1(_06456_),
+    .S(_07050_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07051_));
- sky130_fd_sc_hd__a21oi_1 _11829_ (.A1(_06533_),
-    .A2(_07051_),
-    .B1(_06523_),
+ sky130_fd_sc_hd__clkbuf_1 _11826_ (.A(_07051_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07052_));
- sky130_fd_sc_hd__nor2_1 _11830_ (.A(_07050_),
-    .B(_07052_),
+    .X(_00323_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11827_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[0].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07053_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11831_ (.A(_06529_),
+    .X(_07052_));
+ sky130_fd_sc_hd__clkbuf_2 _11828_ (.A(_07052_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07053_));
+ sky130_fd_sc_hd__xor2_1 _11829_ (.A(_06539_),
+    .B(_07053_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07054_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11832_ (.A(_06030_),
+ sky130_fd_sc_hd__clkbuf_1 _11830_ (.A(\u_uart_core.u_clk_ctl.high_count[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07055_));
- sky130_fd_sc_hd__o221a_1 _11833_ (.A1(_07047_),
-    .A2(_07048_),
-    .B1(_07053_),
-    .B2(_07054_),
-    .C1(_07055_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00325_));
- sky130_fd_sc_hd__inv_2 _11834_ (.A(_06012_),
+ sky130_fd_sc_hd__inv_2 _11831_ (.A(_07055_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_07056_));
- sky130_fd_sc_hd__o21a_1 _11835_ (.A1(_07045_),
-    .A2(\u_uart_core.u_clk_ctl.high_count[1] ),
-    .B1(\u_uart_core.u_clk_ctl.high_count[2] ),
+ sky130_fd_sc_hd__o211a_1 _11832_ (.A1(_06537_),
+    .A2(_07054_),
+    .B1(_06528_),
+    .C1(_07056_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07057_));
- sky130_fd_sc_hd__xor2_1 _11836_ (.A(_06534_),
-    .B(_07050_),
+    .X(_00324_));
+ sky130_fd_sc_hd__nor2_1 _11833_ (.A(_07055_),
+    .B(\u_uart_core.u_clk_ctl.high_count[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_07057_));
+ sky130_fd_sc_hd__and2_1 _11834_ (.A(_07055_),
+    .B(\u_uart_core.u_clk_ctl.high_count[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07058_));
- sky130_fd_sc_hd__o221a_1 _11837_ (.A1(_07056_),
-    .A2(_07057_),
-    .B1(_07058_),
-    .B2(_07054_),
-    .C1(_07055_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00326_));
- sky130_fd_sc_hd__clkbuf_1 _11838_ (.A(_06013_),
+ sky130_fd_sc_hd__and3_1 _11835_ (.A(_06523_),
+    .B(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[2].u_bit_reg.data_out ),
+    .C(_07052_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07059_));
- sky130_fd_sc_hd__nand2_1 _11839_ (.A(\u_uart_core.u_clk_ctl.high_count[3] ),
-    .B(_06012_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11836_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[0].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07060_));
- sky130_fd_sc_hd__nand2_1 _11840_ (.A(_07059_),
-    .B(_07060_),
+    .X(_07060_));
+ sky130_fd_sc_hd__clkbuf_2 _11837_ (.A(_07060_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07061_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11841_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[4].u_bit_reg.data_out ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07062_));
- sky130_fd_sc_hd__mux2_1 _11842_ (.A0(_07062_),
-    .A1(_06544_),
-    .S(_07051_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07063_));
- sky130_fd_sc_hd__a22o_1 _11843_ (.A1(_06530_),
+    .X(_07061_));
+ sky130_fd_sc_hd__a21oi_1 _11838_ (.A1(_06539_),
     .A2(_07061_),
+    .B1(_06530_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_07062_));
+ sky130_fd_sc_hd__nor2_1 _11839_ (.A(_07059_),
+    .B(_07062_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_07063_));
+ sky130_fd_sc_hd__clkbuf_2 _11840_ (.A(_06528_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07064_));
+ sky130_fd_sc_hd__o221a_1 _11841_ (.A1(_07057_),
+    .A2(_07058_),
     .B1(_07063_),
-    .B2(_06591_),
+    .B2(_06545_),
+    .C1(_07064_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00327_));
- sky130_fd_sc_hd__nor2_1 _11844_ (.A(\u_uart_core.u_clk_ctl.high_count[4] ),
-    .B(_07059_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_07064_));
- sky130_fd_sc_hd__and2_1 _11845_ (.A(\u_uart_core.u_clk_ctl.high_count[4] ),
+    .X(_00325_));
+ sky130_fd_sc_hd__xor2_1 _11842_ (.A(_06540_),
     .B(_07059_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07065_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11846_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[0].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__xor2_1 _11843_ (.A(\u_uart_core.u_clk_ctl.high_count[2] ),
+    .B(_07057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07066_));
- sky130_fd_sc_hd__nand2_1 _11847_ (.A(_07066_),
-    .B(_06550_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_07067_));
- sky130_fd_sc_hd__a31o_1 _11848_ (.A1(_07062_),
-    .A2(_07042_),
-    .A3(_06532_),
-    .B1(_06551_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07068_));
- sky130_fd_sc_hd__a31o_1 _11849_ (.A1(_06565_),
-    .A2(_07067_),
-    .A3(_07068_),
-    .B1(_06568_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07069_));
- sky130_fd_sc_hd__o21a_1 _11850_ (.A1(_07064_),
+ sky130_fd_sc_hd__o211a_1 _11844_ (.A1(_06537_),
     .A2(_07065_),
-    .B1(_07069_),
+    .B1(_07066_),
+    .C1(_07064_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00328_));
- sky130_fd_sc_hd__xnor2_1 _11851_ (.A(_06556_),
+    .X(_00326_));
+ sky130_fd_sc_hd__clkbuf_1 _11845_ (.A(_06058_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07067_));
+ sky130_fd_sc_hd__o31ai_1 _11846_ (.A1(_07055_),
+    .A2(\u_uart_core.u_clk_ctl.high_count[1] ),
+    .A3(\u_uart_core.u_clk_ctl.high_count[2] ),
+    .B1(\u_uart_core.u_clk_ctl.high_count[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_07068_));
+ sky130_fd_sc_hd__nand2_1 _11847_ (.A(_07067_),
+    .B(_07068_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_07069_));
+ sky130_fd_sc_hd__mux2_1 _11848_ (.A0(_06550_),
+    .A1(_06552_),
+    .S(_07061_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07070_));
+ sky130_fd_sc_hd__a22o_1 _11849_ (.A1(_06548_),
+    .A2(_07069_),
+    .B1(_07070_),
+    .B2(_06604_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00327_));
+ sky130_fd_sc_hd__nor2_1 _11850_ (.A(\u_uart_core.u_clk_ctl.high_count[4] ),
     .B(_07067_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07070_));
- sky130_fd_sc_hd__xor2_1 _11852_ (.A(\u_uart_core.u_clk_ctl.high_count[5] ),
-    .B(_07064_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07071_));
- sky130_fd_sc_hd__o211a_1 _11853_ (.A1(_06548_),
-    .A2(_07070_),
-    .B1(_07071_),
-    .C1(_07055_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00329_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11854_ (.A(_06014_),
+    .Y(_07071_));
+ sky130_fd_sc_hd__and2_1 _11851_ (.A(\u_uart_core.u_clk_ctl.high_count[4] ),
+    .B(_07067_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07072_));
- sky130_fd_sc_hd__inv_2 _11855_ (.A(_07072_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11852_ (.A(_07060_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07073_));
- sky130_fd_sc_hd__o31a_1 _11856_ (.A1(\u_uart_core.u_clk_ctl.high_count[4] ),
-    .A2(\u_uart_core.u_clk_ctl.high_count[5] ),
-    .A3(_07059_),
-    .B1(\u_uart_core.u_clk_ctl.high_count[6] ),
+    .X(_07073_));
+ sky130_fd_sc_hd__clkbuf_2 _11853_ (.A(_07073_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07074_));
- sky130_fd_sc_hd__a31o_1 _11857_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[6].u_bit_reg.data_out ),
-    .A2(_07042_),
-    .A3(_06550_),
+ sky130_fd_sc_hd__a21oi_1 _11854_ (.A1(_07061_),
+    .A2(_06549_),
     .B1(_06563_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07075_));
- sky130_fd_sc_hd__nand2_1 _11858_ (.A(_07051_),
-    .B(_06562_),
+    .Y(_07075_));
+ sky130_fd_sc_hd__a21oi_1 _11855_ (.A1(_07074_),
+    .A2(_06562_),
+    .B1(_07075_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_07076_));
- sky130_fd_sc_hd__a31o_1 _11859_ (.A1(_06565_),
-    .A2(_07075_),
-    .A3(_07076_),
-    .B1(_06529_),
+ sky130_fd_sc_hd__o221a_1 _11856_ (.A1(_07071_),
+    .A2(_07072_),
+    .B1(_07076_),
+    .B2(_06545_),
+    .C1(_07064_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07077_));
- sky130_fd_sc_hd__o21a_1 _11860_ (.A1(_07073_),
-    .A2(_07074_),
-    .B1(_07077_),
+    .X(_00328_));
+ sky130_fd_sc_hd__xnor2_1 _11857_ (.A(\u_uart_core.u_clk_ctl.high_count[5] ),
+    .B(_07071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00330_));
- sky130_fd_sc_hd__nor2_1 _11861_ (.A(\u_uart_core.u_clk_ctl.high_count[7] ),
-    .B(_07072_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_07078_));
- sky130_fd_sc_hd__and2_1 _11862_ (.A(\u_uart_core.u_clk_ctl.high_count[7] ),
-    .B(_07072_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07079_));
- sky130_fd_sc_hd__a21oi_1 _11863_ (.A1(_07066_),
-    .A2(_06562_),
-    .B1(_06570_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_07080_));
- sky130_fd_sc_hd__and3_1 _11864_ (.A(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[0].u_bit_reg.data_out ),
-    .B(_07049_),
+    .Y(_07077_));
+ sky130_fd_sc_hd__and3_1 _11858_ (.A(_06566_),
+    .B(_07052_),
     .C(_06561_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07081_));
- sky130_fd_sc_hd__nor2_1 _11865_ (.A(_07080_),
-    .B(_07081_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_07082_));
- sky130_fd_sc_hd__o221a_1 _11866_ (.A1(_07078_),
-    .A2(_07079_),
-    .B1(_07082_),
-    .B2(_07054_),
-    .C1(_06030_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00331_));
- sky130_fd_sc_hd__nor2_1 _11867_ (.A(_06575_),
-    .B(_07081_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_07083_));
- sky130_fd_sc_hd__a21oi_1 _11868_ (.A1(_07043_),
-    .A2(_06574_),
-    .B1(_07083_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_07084_));
- sky130_fd_sc_hd__o21ai_1 _11869_ (.A1(\u_uart_core.u_clk_ctl.high_count[7] ),
-    .A2(_07072_),
-    .B1(\u_uart_core.u_clk_ctl.high_count[8] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_07085_));
- sky130_fd_sc_hd__nand2_1 _11870_ (.A(_06015_),
-    .B(_07085_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_07086_));
- sky130_fd_sc_hd__o211a_1 _11871_ (.A1(_07054_),
-    .A2(_07084_),
-    .B1(_07086_),
-    .C1(_07055_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00332_));
- sky130_fd_sc_hd__nand2_1 _11872_ (.A(\u_uart_core.u_clk_ctl.high_count[9] ),
-    .B(_06015_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_07087_));
- sky130_fd_sc_hd__a21oi_1 _11873_ (.A1(_07066_),
-    .A2(_06574_),
-    .B1(_06582_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_07088_));
- sky130_fd_sc_hd__a21oi_1 _11874_ (.A1(_07051_),
-    .A2(_06581_),
-    .B1(_07088_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_07089_));
- sky130_fd_sc_hd__a21oi_1 _11875_ (.A1(_06536_),
-    .A2(_07089_),
+    .X(_07078_));
+ sky130_fd_sc_hd__a21oi_1 _11859_ (.A1(_07073_),
+    .A2(_06561_),
     .B1(_06568_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07090_));
- sky130_fd_sc_hd__a21oi_1 _11876_ (.A1(_06016_),
-    .A2(_07087_),
-    .B1(_07090_),
+    .Y(_07079_));
+ sky130_fd_sc_hd__o31a_1 _11860_ (.A1(_06057_),
+    .A2(_07078_),
+    .A3(_07079_),
+    .B1(_06534_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_00333_));
- sky130_fd_sc_hd__nand2_1 _11877_ (.A(\u_uart_core.u_clk_ctl.high_count[10] ),
-    .B(_06016_),
+    .X(_07080_));
+ sky130_fd_sc_hd__nor2_1 _11861_ (.A(_07077_),
+    .B(_07080_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_00329_));
+ sky130_fd_sc_hd__nand2_1 _11862_ (.A(_07074_),
+    .B(_06574_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_07081_));
+ sky130_fd_sc_hd__a31o_1 _11863_ (.A1(_06568_),
+    .A2(_07053_),
+    .A3(_06562_),
+    .B1(_06575_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07082_));
+ sky130_fd_sc_hd__o31ai_1 _11864_ (.A1(\u_uart_core.u_clk_ctl.high_count[4] ),
+    .A2(\u_uart_core.u_clk_ctl.high_count[5] ),
+    .A3(_07067_),
+    .B1(\u_uart_core.u_clk_ctl.high_count[6] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_07083_));
+ sky130_fd_sc_hd__a21oi_1 _11865_ (.A1(_06059_),
+    .A2(_07083_),
+    .B1(_06066_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_07084_));
+ sky130_fd_sc_hd__a31o_1 _11866_ (.A1(_06604_),
+    .A2(_07081_),
+    .A3(_07082_),
+    .B1(_07084_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00330_));
+ sky130_fd_sc_hd__nand2_1 _11867_ (.A(\u_uart_core.u_clk_ctl.high_count[7] ),
+    .B(_06059_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_07085_));
+ sky130_fd_sc_hd__a21oi_1 _11868_ (.A1(_07073_),
+    .A2(_06574_),
+    .B1(_06581_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_07086_));
+ sky130_fd_sc_hd__and3_1 _11869_ (.A(_06581_),
+    .B(_07060_),
+    .C(_06573_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07087_));
+ sky130_fd_sc_hd__o31a_1 _11870_ (.A1(_06056_),
+    .A2(_07086_),
+    .A3(_07087_),
+    .B1(_06534_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07088_));
+ sky130_fd_sc_hd__a21oi_1 _11871_ (.A1(_06060_),
+    .A2(_07085_),
+    .B1(_07088_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_00331_));
+ sky130_fd_sc_hd__o2bb2a_1 _11872_ (.A1_N(_07053_),
+    .A2_N(_06587_),
+    .B1(_07087_),
+    .B2(_06585_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07089_));
+ sky130_fd_sc_hd__o21ai_1 _11873_ (.A1(\u_uart_core.u_clk_ctl.high_count[7] ),
+    .A2(_06059_),
+    .B1(\u_uart_core.u_clk_ctl.high_count[8] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_07090_));
+ sky130_fd_sc_hd__nand2_1 _11874_ (.A(_06061_),
+    .B(_07090_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_07091_));
- sky130_fd_sc_hd__a31o_1 _11878_ (.A1(_06582_),
-    .A2(_07042_),
-    .A3(_06573_),
-    .B1(_06587_),
+ sky130_fd_sc_hd__o211a_1 _11875_ (.A1(_06545_),
+    .A2(_07089_),
+    .B1(_07091_),
+    .C1(_07064_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07092_));
- sky130_fd_sc_hd__nand2_1 _11879_ (.A(_07066_),
-    .B(_06588_),
+    .X(_00332_));
+ sky130_fd_sc_hd__nand2_1 _11876_ (.A(\u_uart_core.u_clk_ctl.high_count[9] ),
+    .B(_06061_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07093_));
- sky130_fd_sc_hd__and3_1 _11880_ (.A(_06546_),
-    .B(_07092_),
-    .C(_07093_),
+    .Y(_07092_));
+ sky130_fd_sc_hd__a21o_1 _11877_ (.A1(_07052_),
+    .A2(_06587_),
+    .B1(_06595_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07094_));
- sky130_fd_sc_hd__o2bb2a_1 _11881_ (.A1_N(_06017_),
-    .A2_N(_07091_),
-    .B1(_07094_),
-    .B2(_06530_),
+    .X(_07093_));
+ sky130_fd_sc_hd__nand2_1 _11878_ (.A(_07061_),
+    .B(_06594_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00334_));
- sky130_fd_sc_hd__a32o_1 _11882_ (.A1(_07043_),
-    .A2(_06588_),
-    .A3(_06591_),
-    .B1(_06017_),
+    .Y(_07094_));
+ sky130_fd_sc_hd__a31o_1 _11879_ (.A1(_06542_),
+    .A2(_07093_),
+    .A3(_07094_),
+    .B1(_06547_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07095_));
+ sky130_fd_sc_hd__a21boi_1 _11880_ (.A1(_06062_),
+    .A2(_07092_),
+    .B1_N(_07095_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_00333_));
+ sky130_fd_sc_hd__nand2_1 _11881_ (.A(\u_uart_core.u_clk_ctl.high_count[10] ),
+    .B(_06062_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_07096_));
+ sky130_fd_sc_hd__a21oi_1 _11882_ (.A1(_07073_),
+    .A2(_06594_),
+    .B1(_06598_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_07097_));
+ sky130_fd_sc_hd__a211o_1 _11883_ (.A1(_07053_),
+    .A2(_06599_),
+    .B1(_07097_),
+    .C1(_06057_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07098_));
+ sky130_fd_sc_hd__a22oi_1 _11884_ (.A1(_06063_),
+    .A2(_07096_),
+    .B1(_07098_),
+    .B2(_06066_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_00334_));
+ sky130_fd_sc_hd__a32o_1 _11885_ (.A1(_07074_),
+    .A2(_06604_),
+    .A3(_06599_),
+    .B1(_06063_),
     .B2(\u_uart_core.u_clk_ctl.high_count[11] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00335_));
- sky130_fd_sc_hd__clkbuf_1 _11883_ (.A(\u_sspim.load_byte ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07095_));
- sky130_fd_sc_hd__nand2_1 _11884_ (.A(_06486_),
-    .B(_06482_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_07096_));
- sky130_fd_sc_hd__or2_2 _11885_ (.A(_05628_),
-    .B(_07096_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07097_));
- sky130_fd_sc_hd__clkbuf_1 _11886_ (.A(_07097_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07098_));
- sky130_fd_sc_hd__clkbuf_1 _11887_ (.A(_07098_),
+ sky130_fd_sc_hd__clkbuf_1 _11886_ (.A(\u_sspim.load_byte ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07099_));
- sky130_fd_sc_hd__or2_1 _11888_ (.A(\u_sspim.u_spi_ctrl.byte_cnt[2] ),
-    .B(_06488_),
+ sky130_fd_sc_hd__nand2_1 _11887_ (.A(_06491_),
+    .B(_06487_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07100_));
- sky130_fd_sc_hd__clkbuf_2 _11889_ (.A(_07100_),
+    .Y(_07100_));
+ sky130_fd_sc_hd__or2_2 _11888_ (.A(_05610_),
+    .B(_07100_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07101_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11890_ (.A(_07101_),
+ sky130_fd_sc_hd__clkbuf_1 _11889_ (.A(_07101_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07102_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11891_ (.A(_07102_),
+ sky130_fd_sc_hd__clkbuf_1 _11890_ (.A(_07102_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07103_));
- sky130_fd_sc_hd__mux4_1 _11892_ (.A0(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[0].u_bit_reg.data_out ),
-    .A1(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[0].u_bit_reg.data_out ),
-    .A2(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[0].u_bit_reg.data_out ),
-    .A3(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[0].u_bit_reg.data_out ),
-    .S0(_06493_),
-    .S1(_07103_),
+ sky130_fd_sc_hd__or2_1 _11891_ (.A(\u_sspim.u_spi_ctrl.byte_cnt[2] ),
+    .B(_06493_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07104_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11893_ (.A(_05628_),
+ sky130_fd_sc_hd__clkbuf_2 _11892_ (.A(_07104_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07105_));
- sky130_fd_sc_hd__nor2_2 _11894_ (.A(_07105_),
-    .B(_07096_),
+ sky130_fd_sc_hd__clkbuf_1 _11893_ (.A(_07105_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07106_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11895_ (.A(_07106_),
+    .X(_07106_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11894_ (.A(_07106_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07107_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11896_ (.A(_06477_),
+ sky130_fd_sc_hd__mux4_1 _11895_ (.A0(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[0].u_bit_reg.data_out ),
+    .A1(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[0].u_bit_reg.data_out ),
+    .A2(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[0].u_bit_reg.data_out ),
+    .A3(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[0].u_bit_reg.data_out ),
+    .S0(_06498_),
+    .S1(_07107_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07108_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11897_ (.A(_07108_),
+ sky130_fd_sc_hd__clkbuf_1 _11896_ (.A(_05610_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07109_));
- sky130_fd_sc_hd__mux2_1 _11898_ (.A0(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[0].u_bit_reg.data_out ),
-    .A1(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[0].u_bit_reg.data_out ),
-    .S(_07109_),
+ sky130_fd_sc_hd__nor2_1 _11897_ (.A(_07109_),
+    .B(_07100_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07110_));
- sky130_fd_sc_hd__nor2_1 _11899_ (.A(_05628_),
-    .B(_06483_),
+    .Y(_07110_));
+ sky130_fd_sc_hd__clkbuf_1 _11898_ (.A(_07110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07111_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11900_ (.A(_07111_),
+    .X(_07111_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11899_ (.A(_06482_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07112_));
- sky130_fd_sc_hd__a21o_1 _11901_ (.A1(_07107_),
-    .A2(_07110_),
-    .B1(_07112_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11900_ (.A(_07112_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07113_));
- sky130_fd_sc_hd__a21o_1 _11902_ (.A1(_07099_),
-    .A2(_07104_),
-    .B1(_07113_),
+ sky130_fd_sc_hd__mux2_1 _11901_ (.A0(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[0].u_bit_reg.data_out ),
+    .A1(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[0].u_bit_reg.data_out ),
+    .S(_07113_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07114_));
- sky130_fd_sc_hd__or2_1 _11903_ (.A(_07105_),
-    .B(_06483_),
+ sky130_fd_sc_hd__nor2_1 _11902_ (.A(_05610_),
+    .B(_06488_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07115_));
- sky130_fd_sc_hd__clkbuf_2 _11904_ (.A(_07109_),
+    .Y(_07115_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11903_ (.A(_07115_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07116_));
- sky130_fd_sc_hd__mux2_1 _11905_ (.A0(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[0].u_bit_reg.data_out ),
-    .A1(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[0].u_bit_reg.data_out ),
-    .S(_07116_),
+ sky130_fd_sc_hd__a21o_1 _11904_ (.A1(_07111_),
+    .A2(_07114_),
+    .B1(_07116_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07117_));
- sky130_fd_sc_hd__or2_1 _11906_ (.A(_07115_),
-    .B(_07117_),
+ sky130_fd_sc_hd__a21o_1 _11905_ (.A1(_07103_),
+    .A2(_07108_),
+    .B1(_07117_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07118_));
- sky130_fd_sc_hd__inv_2 _11907_ (.A(\u_sspim.load_byte ),
+ sky130_fd_sc_hd__or2_1 _11906_ (.A(_07109_),
+    .B(_06488_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07119_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11908_ (.A(_07119_),
+    .X(_07119_));
+ sky130_fd_sc_hd__clkbuf_2 _11907_ (.A(_07113_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07120_));
- sky130_fd_sc_hd__nand2_1 _11909_ (.A(_05492_),
-    .B(\u_sspim.u_spi_ctrl.shift_enb ),
+ sky130_fd_sc_hd__mux2_1 _11908_ (.A0(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[0].u_bit_reg.data_out ),
+    .A1(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[0].u_bit_reg.data_out ),
+    .S(_07120_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07121_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11910_ (.A(_07121_),
+    .X(_07121_));
+ sky130_fd_sc_hd__or2_1 _11909_ (.A(_07119_),
+    .B(_07121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07122_));
- sky130_fd_sc_hd__and2_1 _11911_ (.A(_07120_),
-    .B(_07122_),
+ sky130_fd_sc_hd__inv_2 _11910_ (.A(\u_sspim.load_byte ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07123_));
- sky130_fd_sc_hd__a32o_1 _11912_ (.A1(_07095_),
-    .A2(_07114_),
-    .A3(_07118_),
-    .B1(_07123_),
-    .B2(\u_sspim.u_spi_if.so_reg[0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00336_));
- sky130_fd_sc_hd__clkbuf_2 _11913_ (.A(_06477_),
+    .Y(_07123_));
+ sky130_fd_sc_hd__clkbuf_1 _11911_ (.A(_07123_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07124_));
- sky130_fd_sc_hd__mux4_1 _11914_ (.A0(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[1].u_bit_reg.data_out ),
-    .A1(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[1].u_bit_reg.data_out ),
-    .A2(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[1].u_bit_reg.data_out ),
-    .A3(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[1].u_bit_reg.data_out ),
-    .S0(_07124_),
-    .S1(_07102_),
+ sky130_fd_sc_hd__nand2_1 _11912_ (.A(_05473_),
+    .B(\u_sspim.u_spi_ctrl.shift_enb ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07125_));
- sky130_fd_sc_hd__mux2_1 _11915_ (.A0(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[1].u_bit_reg.data_out ),
-    .A1(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[1].u_bit_reg.data_out ),
-    .S(_07108_),
+    .Y(_07125_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11913_ (.A(_07125_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07126_));
- sky130_fd_sc_hd__or2_1 _11916_ (.A(_07098_),
+ sky130_fd_sc_hd__and2_1 _11914_ (.A(_07124_),
     .B(_07126_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07127_));
- sky130_fd_sc_hd__o211a_1 _11917_ (.A1(_07106_),
-    .A2(_07125_),
+ sky130_fd_sc_hd__a32o_1 _11915_ (.A1(_07099_),
+    .A2(_07118_),
+    .A3(_07122_),
     .B1(_07127_),
-    .C1(_07115_),
+    .B2(\u_sspim.u_spi_if.so_reg[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00336_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11916_ (.A(_06482_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07128_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11918_ (.A(_07111_),
+ sky130_fd_sc_hd__mux4_1 _11917_ (.A0(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[1].u_bit_reg.data_out ),
+    .A1(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[1].u_bit_reg.data_out ),
+    .A2(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[1].u_bit_reg.data_out ),
+    .A3(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[1].u_bit_reg.data_out ),
+    .S0(_07128_),
+    .S1(_07106_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07129_));
- sky130_fd_sc_hd__clkbuf_2 _11919_ (.A(_07124_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07130_));
- sky130_fd_sc_hd__mux2_1 _11920_ (.A0(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[1].u_bit_reg.data_out ),
-    .A1(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[1].u_bit_reg.data_out ),
-    .S(_07130_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07131_));
- sky130_fd_sc_hd__a21o_1 _11921_ (.A1(_07129_),
-    .A2(_07131_),
-    .B1(_07119_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07132_));
- sky130_fd_sc_hd__clkbuf_2 _11922_ (.A(_07121_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07133_));
- sky130_fd_sc_hd__nand2_1 _11923_ (.A(_07120_),
-    .B(_07133_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_07134_));
- sky130_fd_sc_hd__o221a_1 _11924_ (.A1(\u_sspim.load_byte ),
-    .A2(\u_sspim.u_spi_if.so_reg[0] ),
-    .B1(_07128_),
-    .B2(_07132_),
-    .C1(_07134_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07135_));
- sky130_fd_sc_hd__a21o_1 _11925_ (.A1(\u_sspim.u_spi_if.so_reg[1] ),
-    .A2(_07123_),
-    .B1(_07135_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00337_));
- sky130_fd_sc_hd__mux4_1 _11926_ (.A0(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[2].u_bit_reg.data_out ),
-    .A1(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[2].u_bit_reg.data_out ),
-    .A2(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[2].u_bit_reg.data_out ),
-    .A3(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[2].u_bit_reg.data_out ),
-    .S0(_07130_),
-    .S1(_07103_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07136_));
- sky130_fd_sc_hd__mux2_1 _11927_ (.A0(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[2].u_bit_reg.data_out ),
-    .A1(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[2].u_bit_reg.data_out ),
-    .S(_07109_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07137_));
- sky130_fd_sc_hd__or2_1 _11928_ (.A(_07099_),
-    .B(_07137_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07138_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11929_ (.A(_07115_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07139_));
- sky130_fd_sc_hd__o211a_1 _11930_ (.A1(_07107_),
-    .A2(_07136_),
-    .B1(_07138_),
-    .C1(_07139_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07140_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11931_ (.A(_07108_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07141_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11932_ (.A(_07141_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07142_));
- sky130_fd_sc_hd__mux2_1 _11933_ (.A0(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[2].u_bit_reg.data_out ),
-    .A1(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[2].u_bit_reg.data_out ),
-    .S(_07142_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07143_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11934_ (.A(_07120_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07144_));
- sky130_fd_sc_hd__a21o_1 _11935_ (.A1(_07129_),
-    .A2(_07143_),
-    .B1(_07144_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07145_));
- sky130_fd_sc_hd__mux2_1 _11936_ (.A0(\u_sspim.u_spi_if.so_reg[1] ),
-    .A1(\u_sspim.u_spi_if.so_reg[2] ),
-    .S(_07133_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07146_));
- sky130_fd_sc_hd__clkbuf_2 _11937_ (.A(_07095_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07147_));
- sky130_fd_sc_hd__o22a_1 _11938_ (.A1(_07140_),
-    .A2(_07145_),
-    .B1(_07146_),
-    .B2(_07147_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00338_));
- sky130_fd_sc_hd__mux4_1 _11939_ (.A0(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[3].u_bit_reg.data_out ),
-    .A1(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[3].u_bit_reg.data_out ),
-    .A2(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[3].u_bit_reg.data_out ),
-    .A3(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[3].u_bit_reg.data_out ),
-    .S0(_07130_),
-    .S1(_07103_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07148_));
- sky130_fd_sc_hd__mux2_1 _11940_ (.A0(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[3].u_bit_reg.data_out ),
-    .A1(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[3].u_bit_reg.data_out ),
-    .S(_07109_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07149_));
- sky130_fd_sc_hd__or2_1 _11941_ (.A(_07099_),
-    .B(_07149_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07150_));
- sky130_fd_sc_hd__o211a_1 _11942_ (.A1(_07107_),
-    .A2(_07148_),
-    .B1(_07150_),
-    .C1(_07139_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07151_));
- sky130_fd_sc_hd__mux2_1 _11943_ (.A0(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[3].u_bit_reg.data_out ),
-    .A1(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[3].u_bit_reg.data_out ),
-    .S(_07142_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07152_));
- sky130_fd_sc_hd__a21o_1 _11944_ (.A1(_07129_),
-    .A2(_07152_),
-    .B1(_07120_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07153_));
- sky130_fd_sc_hd__mux2_1 _11945_ (.A0(\u_sspim.u_spi_if.so_reg[2] ),
-    .A1(\u_sspim.u_spi_if.so_reg[3] ),
-    .S(_07133_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07154_));
- sky130_fd_sc_hd__o22a_1 _11946_ (.A1(_07151_),
-    .A2(_07153_),
-    .B1(_07154_),
-    .B2(_07147_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00339_));
- sky130_fd_sc_hd__mux4_1 _11947_ (.A0(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[4].u_bit_reg.data_out ),
-    .A1(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[4].u_bit_reg.data_out ),
-    .A2(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[4].u_bit_reg.data_out ),
-    .A3(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[4].u_bit_reg.data_out ),
-    .S0(_07124_),
-    .S1(_07102_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07155_));
- sky130_fd_sc_hd__mux2_1 _11948_ (.A0(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[4].u_bit_reg.data_out ),
-    .A1(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[4].u_bit_reg.data_out ),
-    .S(_07108_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07156_));
- sky130_fd_sc_hd__or2_1 _11949_ (.A(_07098_),
-    .B(_07156_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07157_));
- sky130_fd_sc_hd__o211a_1 _11950_ (.A1(_07106_),
-    .A2(_07155_),
-    .B1(_07157_),
-    .C1(_07115_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07158_));
- sky130_fd_sc_hd__mux2_1 _11951_ (.A0(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[4].u_bit_reg.data_out ),
-    .A1(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[4].u_bit_reg.data_out ),
-    .S(_07141_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07159_));
- sky130_fd_sc_hd__a21o_1 _11952_ (.A1(_07112_),
-    .A2(_07159_),
-    .B1(_07119_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07160_));
- sky130_fd_sc_hd__o221a_1 _11953_ (.A1(\u_sspim.load_byte ),
-    .A2(\u_sspim.u_spi_if.so_reg[3] ),
-    .B1(_07158_),
-    .B2(_07160_),
-    .C1(_07134_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07161_));
- sky130_fd_sc_hd__a21o_1 _11954_ (.A1(\u_sspim.u_spi_if.so_reg[4] ),
-    .A2(_07123_),
-    .B1(_07161_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00340_));
- sky130_fd_sc_hd__mux4_1 _11955_ (.A0(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[5].u_bit_reg.data_out ),
-    .A1(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[5].u_bit_reg.data_out ),
-    .A2(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[5].u_bit_reg.data_out ),
-    .A3(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[5].u_bit_reg.data_out ),
-    .S0(_07116_),
-    .S1(_07103_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07162_));
- sky130_fd_sc_hd__mux2_1 _11956_ (.A0(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[5].u_bit_reg.data_out ),
-    .A1(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[5].u_bit_reg.data_out ),
-    .S(_07130_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07163_));
- sky130_fd_sc_hd__a21o_1 _11957_ (.A1(_07107_),
-    .A2(_07163_),
-    .B1(_07129_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07164_));
- sky130_fd_sc_hd__a21o_1 _11958_ (.A1(_07099_),
-    .A2(_07162_),
-    .B1(_07164_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07165_));
- sky130_fd_sc_hd__mux2_1 _11959_ (.A0(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[5].u_bit_reg.data_out ),
-    .A1(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[5].u_bit_reg.data_out ),
-    .S(_07142_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07166_));
- sky130_fd_sc_hd__o21a_1 _11960_ (.A1(_07139_),
-    .A2(_07166_),
-    .B1(_07095_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07167_));
- sky130_fd_sc_hd__mux2_1 _11961_ (.A0(\u_sspim.u_spi_if.so_reg[4] ),
-    .A1(\u_sspim.u_spi_if.so_reg[5] ),
-    .S(_07133_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07168_));
- sky130_fd_sc_hd__a22o_1 _11962_ (.A1(_07165_),
-    .A2(_07167_),
-    .B1(_07168_),
-    .B2(_07144_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00341_));
- sky130_fd_sc_hd__mux2_1 _11963_ (.A0(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[6].u_bit_reg.data_out ),
-    .A1(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[6].u_bit_reg.data_out ),
-    .S(_07116_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07169_));
- sky130_fd_sc_hd__mux4_1 _11964_ (.A0(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[6].u_bit_reg.data_out ),
-    .A1(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[6].u_bit_reg.data_out ),
-    .A2(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[6].u_bit_reg.data_out ),
-    .A3(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[6].u_bit_reg.data_out ),
-    .S0(_07124_),
-    .S1(_07102_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07170_));
- sky130_fd_sc_hd__o21a_1 _11965_ (.A1(_06493_),
-    .A2(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[6].u_bit_reg.data_out ),
-    .B1(_07106_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07171_));
- sky130_fd_sc_hd__or2_1 _11966_ (.A(_07141_),
-    .B(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[6].u_bit_reg.data_out ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07172_));
- sky130_fd_sc_hd__a221o_1 _11967_ (.A1(_07098_),
-    .A2(_07170_),
-    .B1(_07171_),
-    .B2(_07172_),
-    .C1(_07112_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07173_));
- sky130_fd_sc_hd__o211a_1 _11968_ (.A1(_07139_),
-    .A2(_07169_),
-    .B1(_07173_),
-    .C1(_07095_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07174_));
- sky130_fd_sc_hd__o21a_1 _11969_ (.A1(\u_sspim.u_spi_if.so_reg[5] ),
-    .A2(_07122_),
-    .B1(_07144_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07175_));
- sky130_fd_sc_hd__o22a_1 _11970_ (.A1(\u_sspim.u_spi_if.so_reg[6] ),
-    .A2(_07134_),
-    .B1(_07174_),
-    .B2(_07175_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00342_));
- sky130_fd_sc_hd__mux2_1 _11971_ (.A0(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[7].u_bit_reg.data_out ),
-    .A1(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[7].u_bit_reg.data_out ),
-    .S(_07101_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07176_));
- sky130_fd_sc_hd__mux2_1 _11972_ (.A0(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[7].u_bit_reg.data_out ),
-    .A1(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[7].u_bit_reg.data_out ),
-    .S(_07101_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07177_));
- sky130_fd_sc_hd__mux4_1 _11973_ (.A0(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[7].u_bit_reg.data_out ),
-    .A1(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[7].u_bit_reg.data_out ),
-    .A2(_07176_),
-    .A3(_07177_),
-    .S0(_06493_),
-    .S1(_07097_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07178_));
- sky130_fd_sc_hd__mux2_1 _11974_ (.A0(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[7].u_bit_reg.data_out ),
-    .A1(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[7].u_bit_reg.data_out ),
-    .S(_07141_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07179_));
- sky130_fd_sc_hd__mux2_1 _11975_ (.A0(_07178_),
-    .A1(_07179_),
+ sky130_fd_sc_hd__mux2_1 _11918_ (.A0(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[1].u_bit_reg.data_out ),
+    .A1(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[1].u_bit_reg.data_out ),
     .S(_07112_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .X(_07130_));
+ sky130_fd_sc_hd__or2_1 _11919_ (.A(_07102_),
+    .B(_07130_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07131_));
+ sky130_fd_sc_hd__o211a_1 _11920_ (.A1(_07110_),
+    .A2(_07129_),
+    .B1(_07131_),
+    .C1(_07119_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07132_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11921_ (.A(_07115_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07133_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11922_ (.A(_07128_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07134_));
+ sky130_fd_sc_hd__mux2_1 _11923_ (.A0(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[1].u_bit_reg.data_out ),
+    .A1(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[1].u_bit_reg.data_out ),
+    .S(_07134_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07135_));
+ sky130_fd_sc_hd__a21o_1 _11924_ (.A1(_07133_),
+    .A2(_07135_),
+    .B1(_07123_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07136_));
+ sky130_fd_sc_hd__clkbuf_2 _11925_ (.A(_07125_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07137_));
+ sky130_fd_sc_hd__nand2_1 _11926_ (.A(_07124_),
+    .B(_07137_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_07138_));
+ sky130_fd_sc_hd__o221a_1 _11927_ (.A1(\u_sspim.load_byte ),
+    .A2(\u_sspim.u_spi_if.so_reg[0] ),
+    .B1(_07132_),
+    .B2(_07136_),
+    .C1(_07138_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07139_));
+ sky130_fd_sc_hd__a21o_1 _11928_ (.A1(\u_sspim.u_spi_if.so_reg[1] ),
+    .A2(_07127_),
+    .B1(_07139_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00337_));
+ sky130_fd_sc_hd__mux4_1 _11929_ (.A0(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[2].u_bit_reg.data_out ),
+    .A1(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[2].u_bit_reg.data_out ),
+    .A2(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[2].u_bit_reg.data_out ),
+    .A3(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[2].u_bit_reg.data_out ),
+    .S0(_07134_),
+    .S1(_07107_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07140_));
+ sky130_fd_sc_hd__mux2_1 _11930_ (.A0(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[2].u_bit_reg.data_out ),
+    .A1(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[2].u_bit_reg.data_out ),
+    .S(_07113_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07141_));
+ sky130_fd_sc_hd__or2_1 _11931_ (.A(_07103_),
+    .B(_07141_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07142_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11932_ (.A(_07119_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07143_));
+ sky130_fd_sc_hd__o211a_1 _11933_ (.A1(_07111_),
+    .A2(_07140_),
+    .B1(_07142_),
+    .C1(_07143_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07144_));
+ sky130_fd_sc_hd__clkbuf_1 _11934_ (.A(_07112_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07145_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11935_ (.A(_07145_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07146_));
+ sky130_fd_sc_hd__mux2_1 _11936_ (.A0(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[2].u_bit_reg.data_out ),
+    .A1(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[2].u_bit_reg.data_out ),
+    .S(_07146_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07147_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11937_ (.A(_07124_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07148_));
+ sky130_fd_sc_hd__a21o_1 _11938_ (.A1(_07133_),
+    .A2(_07147_),
+    .B1(_07148_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07149_));
+ sky130_fd_sc_hd__mux2_1 _11939_ (.A0(\u_sspim.u_spi_if.so_reg[1] ),
+    .A1(\u_sspim.u_spi_if.so_reg[2] ),
+    .S(_07137_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07150_));
+ sky130_fd_sc_hd__clkbuf_2 _11940_ (.A(_07099_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07151_));
+ sky130_fd_sc_hd__o22a_1 _11941_ (.A1(_07144_),
+    .A2(_07149_),
+    .B1(_07150_),
+    .B2(_07151_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00338_));
+ sky130_fd_sc_hd__mux4_1 _11942_ (.A0(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[3].u_bit_reg.data_out ),
+    .A1(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[3].u_bit_reg.data_out ),
+    .A2(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[3].u_bit_reg.data_out ),
+    .A3(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[3].u_bit_reg.data_out ),
+    .S0(_07134_),
+    .S1(_07107_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07152_));
+ sky130_fd_sc_hd__mux2_1 _11943_ (.A0(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[3].u_bit_reg.data_out ),
+    .A1(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[3].u_bit_reg.data_out ),
+    .S(_07113_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07153_));
+ sky130_fd_sc_hd__or2_1 _11944_ (.A(_07103_),
+    .B(_07153_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07154_));
+ sky130_fd_sc_hd__o211a_1 _11945_ (.A1(_07111_),
+    .A2(_07152_),
+    .B1(_07154_),
+    .C1(_07143_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07155_));
+ sky130_fd_sc_hd__mux2_1 _11946_ (.A0(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[3].u_bit_reg.data_out ),
+    .A1(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[3].u_bit_reg.data_out ),
+    .S(_07146_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07156_));
+ sky130_fd_sc_hd__a21o_1 _11947_ (.A1(_07133_),
+    .A2(_07156_),
+    .B1(_07124_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07157_));
+ sky130_fd_sc_hd__mux2_1 _11948_ (.A0(\u_sspim.u_spi_if.so_reg[2] ),
+    .A1(\u_sspim.u_spi_if.so_reg[3] ),
+    .S(_07137_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07158_));
+ sky130_fd_sc_hd__o22a_1 _11949_ (.A1(_07155_),
+    .A2(_07157_),
+    .B1(_07158_),
+    .B2(_07151_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00339_));
+ sky130_fd_sc_hd__mux4_1 _11950_ (.A0(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[4].u_bit_reg.data_out ),
+    .A1(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[4].u_bit_reg.data_out ),
+    .A2(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[4].u_bit_reg.data_out ),
+    .A3(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[4].u_bit_reg.data_out ),
+    .S0(_07128_),
+    .S1(_07106_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07159_));
+ sky130_fd_sc_hd__mux2_1 _11951_ (.A0(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[4].u_bit_reg.data_out ),
+    .A1(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[4].u_bit_reg.data_out ),
+    .S(_07112_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07160_));
+ sky130_fd_sc_hd__or2_1 _11952_ (.A(_07102_),
+    .B(_07160_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07161_));
+ sky130_fd_sc_hd__o211a_1 _11953_ (.A1(_07110_),
+    .A2(_07159_),
+    .B1(_07161_),
+    .C1(_07119_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07162_));
+ sky130_fd_sc_hd__mux2_1 _11954_ (.A0(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[4].u_bit_reg.data_out ),
+    .A1(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[4].u_bit_reg.data_out ),
+    .S(_07145_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07163_));
+ sky130_fd_sc_hd__a21o_1 _11955_ (.A1(_07116_),
+    .A2(_07163_),
+    .B1(_07123_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07164_));
+ sky130_fd_sc_hd__o221a_1 _11956_ (.A1(\u_sspim.load_byte ),
+    .A2(\u_sspim.u_spi_if.so_reg[3] ),
+    .B1(_07162_),
+    .B2(_07164_),
+    .C1(_07138_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07165_));
+ sky130_fd_sc_hd__a21o_1 _11957_ (.A1(\u_sspim.u_spi_if.so_reg[4] ),
+    .A2(_07127_),
+    .B1(_07165_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00340_));
+ sky130_fd_sc_hd__mux4_1 _11958_ (.A0(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[5].u_bit_reg.data_out ),
+    .A1(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[5].u_bit_reg.data_out ),
+    .A2(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[5].u_bit_reg.data_out ),
+    .A3(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[5].u_bit_reg.data_out ),
+    .S0(_07120_),
+    .S1(_07107_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07166_));
+ sky130_fd_sc_hd__mux2_1 _11959_ (.A0(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[5].u_bit_reg.data_out ),
+    .A1(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[5].u_bit_reg.data_out ),
+    .S(_07134_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07167_));
+ sky130_fd_sc_hd__a21o_1 _11960_ (.A1(_07111_),
+    .A2(_07167_),
+    .B1(_07133_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07168_));
+ sky130_fd_sc_hd__a21o_1 _11961_ (.A1(_07103_),
+    .A2(_07166_),
+    .B1(_07168_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07169_));
+ sky130_fd_sc_hd__mux2_1 _11962_ (.A0(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[5].u_bit_reg.data_out ),
+    .A1(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[5].u_bit_reg.data_out ),
+    .S(_07146_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07170_));
+ sky130_fd_sc_hd__o21a_1 _11963_ (.A1(_07143_),
+    .A2(_07170_),
+    .B1(_07099_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07171_));
+ sky130_fd_sc_hd__mux2_1 _11964_ (.A0(\u_sspim.u_spi_if.so_reg[4] ),
+    .A1(\u_sspim.u_spi_if.so_reg[5] ),
+    .S(_07137_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07172_));
+ sky130_fd_sc_hd__a22o_1 _11965_ (.A1(_07169_),
+    .A2(_07171_),
+    .B1(_07172_),
+    .B2(_07148_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00341_));
+ sky130_fd_sc_hd__mux2_1 _11966_ (.A0(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[6].u_bit_reg.data_out ),
+    .A1(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[6].u_bit_reg.data_out ),
+    .S(_07120_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07173_));
+ sky130_fd_sc_hd__mux4_1 _11967_ (.A0(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[6].u_bit_reg.data_out ),
+    .A1(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[6].u_bit_reg.data_out ),
+    .A2(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[6].u_bit_reg.data_out ),
+    .A3(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[6].u_bit_reg.data_out ),
+    .S0(_07128_),
+    .S1(_07106_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07174_));
+ sky130_fd_sc_hd__o21a_1 _11968_ (.A1(_06498_),
+    .A2(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[6].u_bit_reg.data_out ),
+    .B1(_07110_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07175_));
+ sky130_fd_sc_hd__or2_1 _11969_ (.A(_07145_),
+    .B(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[6].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07176_));
+ sky130_fd_sc_hd__a221o_1 _11970_ (.A1(_07102_),
+    .A2(_07174_),
+    .B1(_07175_),
+    .B2(_07176_),
+    .C1(_07116_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07177_));
+ sky130_fd_sc_hd__o211a_1 _11971_ (.A1(_07143_),
+    .A2(_07173_),
+    .B1(_07177_),
+    .C1(_07099_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07178_));
+ sky130_fd_sc_hd__o21a_1 _11972_ (.A1(\u_sspim.u_spi_if.so_reg[5] ),
+    .A2(_07126_),
+    .B1(_07148_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07179_));
+ sky130_fd_sc_hd__o22a_1 _11973_ (.A1(\u_sspim.u_spi_if.so_reg[6] ),
+    .A2(_07138_),
+    .B1(_07178_),
+    .B2(_07179_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00342_));
+ sky130_fd_sc_hd__mux2_1 _11974_ (.A0(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[7].u_bit_reg.data_out ),
+    .A1(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[7].u_bit_reg.data_out ),
+    .S(_07105_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .X(_07180_));
- sky130_fd_sc_hd__o22a_1 _11976_ (.A1(\u_sspim.u_spi_if.so_reg[7] ),
-    .A2(_07134_),
-    .B1(_07180_),
-    .B2(_07144_),
+ sky130_fd_sc_hd__mux2_1 _11975_ (.A0(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[7].u_bit_reg.data_out ),
+    .A1(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[7].u_bit_reg.data_out ),
+    .S(_07105_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07181_));
- sky130_fd_sc_hd__o31a_1 _11977_ (.A1(_07147_),
-    .A2(\u_sspim.u_spi_if.so_reg[6] ),
-    .A3(_07122_),
-    .B1(_07181_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00343_));
- sky130_fd_sc_hd__clkbuf_1 _11978_ (.A(net7),
+ sky130_fd_sc_hd__mux4_1 _11976_ (.A0(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[7].u_bit_reg.data_out ),
+    .A1(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[7].u_bit_reg.data_out ),
+    .A2(_07180_),
+    .A3(_07181_),
+    .S0(_06498_),
+    .S1(_07101_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07182_));
- sky130_fd_sc_hd__or4bb_1 _11979_ (.A(_05977_),
-    .B(_07182_),
-    .C_N(net10),
-    .D_N(_07037_),
+ sky130_fd_sc_hd__mux2_1 _11977_ (.A0(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[7].u_bit_reg.data_out ),
+    .A1(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[7].u_bit_reg.data_out ),
+    .S(_07145_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07183_));
- sky130_fd_sc_hd__clkbuf_2 _11980_ (.A(_07183_),
+ sky130_fd_sc_hd__mux2_1 _11978_ (.A0(_07182_),
+    .A1(_07183_),
+    .S(_07116_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07184_));
- sky130_fd_sc_hd__mux2_1 _11981_ (.A0(_07024_),
-    .A1(\u_uart_core.u_cfg.u_uart_ctrl_be0.gen_bit_reg[3].u_bit_reg.data_out ),
-    .S(_07184_),
+ sky130_fd_sc_hd__o22a_1 _11979_ (.A1(\u_sspim.u_spi_if.so_reg[7] ),
+    .A2(_07138_),
+    .B1(_07184_),
+    .B2(_07148_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07185_));
- sky130_fd_sc_hd__clkbuf_1 _11982_ (.A(_07185_),
+ sky130_fd_sc_hd__o31a_1 _11980_ (.A1(_07151_),
+    .A2(\u_sspim.u_spi_if.so_reg[6] ),
+    .A3(_07126_),
+    .B1(_07185_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00344_));
- sky130_fd_sc_hd__mux2_1 _11983_ (.A0(_07021_),
-    .A1(_05425_),
-    .S(_07184_),
+    .X(_00343_));
+ sky130_fd_sc_hd__clkbuf_1 _11981_ (.A(net7),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07186_));
- sky130_fd_sc_hd__clkbuf_1 _11984_ (.A(_07186_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00345_));
- sky130_fd_sc_hd__mux2_1 _11985_ (.A0(_07019_),
-    .A1(\u_uart_core.cfg_rx_enable ),
-    .S(_07184_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11982_ (.A(_07186_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07187_));
- sky130_fd_sc_hd__clkbuf_1 _11986_ (.A(_07187_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00346_));
- sky130_fd_sc_hd__mux2_1 _11987_ (.A0(_07014_),
-    .A1(\u_uart_core.cfg_tx_enable ),
-    .S(_07184_),
+ sky130_fd_sc_hd__or3_1 _11983_ (.A(_07187_),
+    .B(_06014_),
+    .C(_07047_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07188_));
- sky130_fd_sc_hd__clkbuf_1 _11988_ (.A(_07188_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00347_));
- sky130_fd_sc_hd__and2b_1 _11989_ (.A_N(_07182_),
-    .B(_05964_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _11984_ (.A(_07188_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07189_));
- sky130_fd_sc_hd__and3_1 _11990_ (.A(_07036_),
-    .B(_07037_),
-    .C(_07189_),
+ sky130_fd_sc_hd__mux2_1 _11985_ (.A0(_07035_),
+    .A1(_05385_),
+    .S(_07189_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07190_));
- sky130_fd_sc_hd__nand2_1 _11991_ (.A(_07021_),
-    .B(_07190_),
+ sky130_fd_sc_hd__clkbuf_1 _11986_ (.A(_07190_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07191_));
- sky130_fd_sc_hd__a21o_1 _11992_ (.A1(\u_uart_core.u_cfg.u_intr_bit2.data_out ),
-    .A2(_07191_),
+    .X(_00344_));
+ sky130_fd_sc_hd__mux2_1 _11987_ (.A0(_07032_),
+    .A1(_05406_),
+    .S(_07189_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07191_));
+ sky130_fd_sc_hd__clkbuf_1 _11988_ (.A(_07191_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00345_));
+ sky130_fd_sc_hd__mux2_1 _11989_ (.A0(_07030_),
+    .A1(\u_uart_core.cfg_rx_enable ),
+    .S(_07189_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07192_));
+ sky130_fd_sc_hd__clkbuf_1 _11990_ (.A(_07192_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00346_));
+ sky130_fd_sc_hd__mux2_1 _11991_ (.A0(_07026_),
+    .A1(\u_uart_core.cfg_tx_enable ),
+    .S(_07189_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07193_));
+ sky130_fd_sc_hd__clkbuf_1 _11992_ (.A(_07193_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00347_));
+ sky130_fd_sc_hd__and2b_1 _11993_ (.A_N(_07186_),
+    .B(_06002_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07194_));
+ sky130_fd_sc_hd__and2b_1 _11994_ (.A_N(_07047_),
+    .B(_07194_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07195_));
+ sky130_fd_sc_hd__nand2_1 _11995_ (.A(_07032_),
+    .B(_07195_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_07196_));
+ sky130_fd_sc_hd__a21o_1 _11996_ (.A1(\u_uart_core.u_cfg.u_intr_bit2.data_out ),
+    .A2(_07196_),
     .B1(\u_uart_core.rx_fifo_full_err_o ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00348_));
- sky130_fd_sc_hd__nor2_1 _11993_ (.A(_05788_),
-    .B(_06596_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_07192_));
- sky130_fd_sc_hd__clkbuf_1 _11994_ (.A(_07192_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07193_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _11995_ (.A(_07193_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07194_));
- sky130_fd_sc_hd__and2_1 _11996_ (.A(_06972_),
-    .B(_06973_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07195_));
- sky130_fd_sc_hd__and3_1 _11997_ (.A(_05967_),
-    .B(_05966_),
-    .C(_06598_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07196_));
- sky130_fd_sc_hd__clkbuf_1 _11998_ (.A(_07196_),
+ sky130_fd_sc_hd__clkbuf_1 _11997_ (.A(_06612_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07197_));
- sky130_fd_sc_hd__o21a_1 _11999_ (.A1(_07195_),
-    .A2(_06983_),
-    .B1(_07197_),
+ sky130_fd_sc_hd__clkbuf_4 _11998_ (.A(_06628_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07198_));
- sky130_fd_sc_hd__nand2_1 _12000_ (.A(_06597_),
-    .B(_05988_),
+ sky130_fd_sc_hd__buf_2 _11999_ (.A(_06622_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07199_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12001_ (.A(_07199_),
+    .X(_07199_));
+ sky130_fd_sc_hd__mux4_1 _12000_ (.A0(\u_uart_core.u_rxfifo.mem[0][0] ),
+    .A1(\u_uart_core.u_rxfifo.mem[1][0] ),
+    .A2(\u_uart_core.u_rxfifo.mem[2][0] ),
+    .A3(\u_uart_core.u_rxfifo.mem[3][0] ),
+    .S0(_07198_),
+    .S1(_07199_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07200_));
- sky130_fd_sc_hd__nor2_1 _12002_ (.A(_06671_),
-    .B(_07200_),
+ sky130_fd_sc_hd__clkbuf_2 _12001_ (.A(_06628_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07201_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12003_ (.A(_06614_),
+    .X(_07201_));
+ sky130_fd_sc_hd__mux4_1 _12002_ (.A0(\u_uart_core.u_rxfifo.mem[4][0] ),
+    .A1(\u_uart_core.u_rxfifo.mem[5][0] ),
+    .A2(\u_uart_core.u_rxfifo.mem[6][0] ),
+    .A3(\u_uart_core.u_rxfifo.mem[7][0] ),
+    .S0(_07201_),
+    .S1(_06622_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07202_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12004_ (.A(_06608_),
+ sky130_fd_sc_hd__mux2_1 _12003_ (.A0(_07200_),
+    .A1(_07202_),
+    .S(_06620_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07203_));
- sky130_fd_sc_hd__clkbuf_4 _12005_ (.A(_07203_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12004_ (.A(_06620_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07204_));
- sky130_fd_sc_hd__clkbuf_4 _12006_ (.A(_07204_),
+ sky130_fd_sc_hd__clkbuf_2 _12005_ (.A(_06626_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07205_));
- sky130_fd_sc_hd__clkbuf_2 _12007_ (.A(_06592_),
+ sky130_fd_sc_hd__clkbuf_1 _12006_ (.A(_07205_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07206_));
- sky130_fd_sc_hd__buf_2 _12008_ (.A(_07206_),
+ sky130_fd_sc_hd__buf_2 _12007_ (.A(_07206_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07207_));
- sky130_fd_sc_hd__mux4_2 _12009_ (.A0(\u_uart_core.u_rxfifo.mem[0][0] ),
-    .A1(\u_uart_core.u_rxfifo.mem[1][0] ),
-    .A2(\u_uart_core.u_rxfifo.mem[2][0] ),
-    .A3(\u_uart_core.u_rxfifo.mem[3][0] ),
-    .S0(_07205_),
-    .S1(_07207_),
+ sky130_fd_sc_hd__clkbuf_2 _12008_ (.A(_06622_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07208_));
- sky130_fd_sc_hd__mux4_1 _12010_ (.A0(\u_uart_core.u_rxfifo.mem[4][0] ),
-    .A1(\u_uart_core.u_rxfifo.mem[5][0] ),
-    .A2(\u_uart_core.u_rxfifo.mem[6][0] ),
-    .A3(\u_uart_core.u_rxfifo.mem[7][0] ),
-    .S0(_07205_),
-    .S1(_07207_),
+ sky130_fd_sc_hd__mux4_1 _12009_ (.A0(\u_uart_core.u_rxfifo.mem[12][0] ),
+    .A1(\u_uart_core.u_rxfifo.mem[13][0] ),
+    .A2(\u_uart_core.u_rxfifo.mem[14][0] ),
+    .A3(\u_uart_core.u_rxfifo.mem[15][0] ),
+    .S0(_07207_),
+    .S1(_07208_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07209_));
- sky130_fd_sc_hd__mux2_1 _12011_ (.A0(_07208_),
-    .A1(_07209_),
-    .S(_06618_),
+ sky130_fd_sc_hd__nand2_1 _12010_ (.A(_07204_),
+    .B(_07209_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07210_));
- sky130_fd_sc_hd__buf_2 _12012_ (.A(_07203_),
+    .Y(_07210_));
+ sky130_fd_sc_hd__mux4_2 _12011_ (.A0(\u_uart_core.u_rxfifo.mem[8][0] ),
+    .A1(\u_uart_core.u_rxfifo.mem[9][0] ),
+    .A2(\u_uart_core.u_rxfifo.mem[10][0] ),
+    .A3(\u_uart_core.u_rxfifo.mem[11][0] ),
+    .S0(_07207_),
+    .S1(_07199_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07211_));
- sky130_fd_sc_hd__buf_2 _12013_ (.A(_07211_),
+ sky130_fd_sc_hd__inv_2 _12012_ (.A(\u_uart_core.u_rxfifo.rd_ptr[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07212_));
- sky130_fd_sc_hd__mux4_1 _12014_ (.A0(\u_uart_core.u_rxfifo.mem[12][0] ),
-    .A1(\u_uart_core.u_rxfifo.mem[13][0] ),
-    .A2(\u_uart_core.u_rxfifo.mem[14][0] ),
-    .A3(\u_uart_core.u_rxfifo.mem[15][0] ),
-    .S0(_07212_),
-    .S1(_07207_),
+    .Y(_07212_));
+ sky130_fd_sc_hd__a21oi_1 _12013_ (.A1(_06655_),
+    .A2(_07211_),
+    .B1(_07212_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07213_));
- sky130_fd_sc_hd__clkbuf_2 _12015_ (.A(_06651_),
+    .Y(_07213_));
+ sky130_fd_sc_hd__a2bb2o_1 _12014_ (.A1_N(_06639_),
+    .A2_N(_07203_),
+    .B1(_07210_),
+    .B2(_07213_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07214_));
- sky130_fd_sc_hd__mux4_2 _12016_ (.A0(\u_uart_core.u_rxfifo.mem[8][0] ),
-    .A1(\u_uart_core.u_rxfifo.mem[9][0] ),
-    .A2(\u_uart_core.u_rxfifo.mem[10][0] ),
-    .A3(\u_uart_core.u_rxfifo.mem[11][0] ),
-    .S0(_06632_),
-    .S1(_06628_),
+ sky130_fd_sc_hd__nor2_1 _12015_ (.A(_07197_),
+    .B(_07214_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07215_));
- sky130_fd_sc_hd__inv_2 _12017_ (.A(\u_uart_core.u_rxfifo.rd_ptr[3] ),
+    .Y(_07215_));
+ sky130_fd_sc_hd__clkbuf_4 _12016_ (.A(_05995_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07216_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12018_ (.A(_07216_),
+    .X(_07216_));
+ sky130_fd_sc_hd__a32o_1 _12017_ (.A1(\u_uart_core.app_tx_fifo_full ),
+    .A2(_07216_),
+    .A3(_06610_),
+    .B1(_07194_),
+    .B2(\u_uart_core.u_cfg.u_intr_bit0.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07217_));
- sky130_fd_sc_hd__a21o_1 _12019_ (.A1(_07214_),
-    .A2(_07215_),
-    .B1(_07217_),
+ sky130_fd_sc_hd__and2b_1 _12018_ (.A_N(_07186_),
+    .B(_06011_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07218_));
- sky130_fd_sc_hd__a21o_1 _12020_ (.A1(_06605_),
-    .A2(_07213_),
-    .B1(_07218_),
+ sky130_fd_sc_hd__clkbuf_1 _12019_ (.A(_07218_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07219_));
- sky130_fd_sc_hd__clkbuf_2 _12021_ (.A(_06599_),
+ sky130_fd_sc_hd__a22o_1 _12020_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[0].u_bit_reg.data_out ),
+    .A2(_07049_),
+    .B1(_07219_),
+    .B2(_07060_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07220_));
- sky130_fd_sc_hd__o211a_1 _12022_ (.A1(_07202_),
-    .A2(_07210_),
-    .B1(_07219_),
-    .C1(_07220_),
+ sky130_fd_sc_hd__or3_1 _12021_ (.A(_07215_),
+    .B(_07217_),
+    .C(_07220_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07221_));
- sky130_fd_sc_hd__or3_1 _12023_ (.A(_06597_),
-    .B(_05987_),
-    .C(_05972_),
+ sky130_fd_sc_hd__nand2_1 _12022_ (.A(_07187_),
+    .B(_06028_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07222_));
- sky130_fd_sc_hd__and2_1 _12024_ (.A(_07199_),
-    .B(_07222_),
+    .Y(_07222_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12023_ (.A(_07222_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07223_));
- sky130_fd_sc_hd__clkbuf_1 _12025_ (.A(_07223_),
+ sky130_fd_sc_hd__and2_1 _12024_ (.A(_06983_),
+    .B(_06984_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07224_));
- sky130_fd_sc_hd__and2b_1 _12026_ (.A_N(_07182_),
-    .B(_05974_),
+ sky130_fd_sc_hd__and3_1 _12025_ (.A(_06005_),
+    .B(_06004_),
+    .C(_06610_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07225_));
- sky130_fd_sc_hd__clkbuf_1 _12027_ (.A(_07225_),
+ sky130_fd_sc_hd__clkbuf_1 _12026_ (.A(_07225_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07226_));
- sky130_fd_sc_hd__buf_4 _12028_ (.A(_05957_),
+ sky130_fd_sc_hd__o21ai_1 _12027_ (.A1(_07224_),
+    .A2(_06996_),
+    .B1(_07226_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07227_));
- sky130_fd_sc_hd__or2_1 _12029_ (.A(\u_uart_core.reg_ack ),
-    .B(_06596_),
+    .Y(_07227_));
+ sky130_fd_sc_hd__o21ai_1 _12028_ (.A1(_06671_),
+    .A2(_07223_),
+    .B1(_07227_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07228_));
- sky130_fd_sc_hd__a31o_1 _12030_ (.A1(\u_uart_core.app_tx_fifo_full ),
-    .A2(_07227_),
-    .A3(_06598_),
-    .B1(_07228_),
+    .Y(_07228_));
+ sky130_fd_sc_hd__or3_1 _12029_ (.A(_07186_),
+    .B(_06028_),
+    .C(_06009_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07229_));
- sky130_fd_sc_hd__a221o_1 _12031_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[0].u_bit_reg.data_out ),
-    .A2(_07039_),
-    .B1(_07189_),
-    .B2(\u_uart_core.u_cfg.u_intr_bit0.data_out ),
-    .C1(_07229_),
+ sky130_fd_sc_hd__nand2_1 _12030_ (.A(_07223_),
+    .B(_07229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07230_));
- sky130_fd_sc_hd__a221o_1 _12032_ (.A1(\u_uart_core.cfg_tx_enable ),
-    .A2(_07224_),
-    .B1(_07226_),
-    .B2(_07049_),
-    .C1(_07230_),
+    .Y(_07230_));
+ sky130_fd_sc_hd__and2_2 _12031_ (.A(_05793_),
+    .B(_00078_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07231_));
- sky130_fd_sc_hd__or3_1 _12033_ (.A(_07201_),
-    .B(_07221_),
-    .C(_07231_),
+ sky130_fd_sc_hd__clkbuf_1 _12032_ (.A(_07231_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07232_));
- sky130_fd_sc_hd__o22a_1 _12034_ (.A1(\u_uart_core.reg_rdata[0] ),
-    .A2(_07194_),
-    .B1(_07198_),
-    .B2(_07232_),
+ sky130_fd_sc_hd__o21ai_1 _12033_ (.A1(_05403_),
+    .A2(_07230_),
+    .B1(_07232_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_07233_));
+ sky130_fd_sc_hd__o32a_1 _12034_ (.A1(_07221_),
+    .A2(_07228_),
+    .A3(_07233_),
+    .B1(_07232_),
+    .B2(\u_uart_core.reg_rdata[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00349_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12035_ (.A(_07228_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07233_));
- sky130_fd_sc_hd__or2_1 _12036_ (.A(_06975_),
-    .B(_06983_),
+ sky130_fd_sc_hd__o211a_1 _12035_ (.A1(_06986_),
+    .A2(_06996_),
+    .B1(_06997_),
+    .C1(_07226_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07234_));
- sky130_fd_sc_hd__mux4_1 _12037_ (.A0(\u_uart_core.u_rxfifo.mem[0][1] ),
-    .A1(\u_uart_core.u_rxfifo.mem[1][1] ),
-    .A2(\u_uart_core.u_rxfifo.mem[2][1] ),
-    .A3(\u_uart_core.u_rxfifo.mem[3][1] ),
-    .S0(_07204_),
-    .S1(_07206_),
+ sky130_fd_sc_hd__and2_1 _12036_ (.A(_07222_),
+    .B(_07229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07235_));
- sky130_fd_sc_hd__mux4_1 _12038_ (.A0(\u_uart_core.u_rxfifo.mem[4][1] ),
-    .A1(\u_uart_core.u_rxfifo.mem[5][1] ),
-    .A2(\u_uart_core.u_rxfifo.mem[6][1] ),
-    .A3(\u_uart_core.u_rxfifo.mem[7][1] ),
-    .S0(_07204_),
-    .S1(_07206_),
+ sky130_fd_sc_hd__clkbuf_2 _12037_ (.A(\u_uart_core.u_rxfifo.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07236_));
- sky130_fd_sc_hd__mux2_2 _12039_ (.A0(_07235_),
-    .A1(_07236_),
-    .S(_06617_),
+ sky130_fd_sc_hd__mux4_1 _12038_ (.A0(\u_uart_core.u_rxfifo.mem[0][1] ),
+    .A1(\u_uart_core.u_rxfifo.mem[1][1] ),
+    .A2(\u_uart_core.u_rxfifo.mem[2][1] ),
+    .A3(\u_uart_core.u_rxfifo.mem[3][1] ),
+    .S0(_06641_),
+    .S1(_07236_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07237_));
- sky130_fd_sc_hd__buf_2 _12040_ (.A(_06653_),
+ sky130_fd_sc_hd__mux4_1 _12039_ (.A0(\u_uart_core.u_rxfifo.mem[4][1] ),
+    .A1(\u_uart_core.u_rxfifo.mem[5][1] ),
+    .A2(\u_uart_core.u_rxfifo.mem[6][1] ),
+    .A3(\u_uart_core.u_rxfifo.mem[7][1] ),
+    .S0(_06641_),
+    .S1(_07236_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07238_));
- sky130_fd_sc_hd__mux4_2 _12041_ (.A0(\u_uart_core.u_rxfifo.mem[12][1] ),
-    .A1(\u_uart_core.u_rxfifo.mem[13][1] ),
-    .A2(\u_uart_core.u_rxfifo.mem[14][1] ),
-    .A3(\u_uart_core.u_rxfifo.mem[15][1] ),
-    .S0(_07211_),
-    .S1(_07238_),
+ sky130_fd_sc_hd__mux2_1 _12040_ (.A0(_07237_),
+    .A1(_07238_),
+    .S(_06618_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07239_));
- sky130_fd_sc_hd__mux4_2 _12042_ (.A0(\u_uart_core.u_rxfifo.mem[8][1] ),
-    .A1(\u_uart_core.u_rxfifo.mem[9][1] ),
-    .A2(\u_uart_core.u_rxfifo.mem[10][1] ),
-    .A3(\u_uart_core.u_rxfifo.mem[11][1] ),
-    .S0(_06631_),
-    .S1(_06653_),
+ sky130_fd_sc_hd__or2_1 _12041_ (.A(_06637_),
+    .B(_07239_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07240_));
- sky130_fd_sc_hd__a21o_1 _12043_ (.A1(_06650_),
-    .A2(_07240_),
-    .B1(_07217_),
+ sky130_fd_sc_hd__mux4_1 _12042_ (.A0(\u_uart_core.u_rxfifo.mem[12][1] ),
+    .A1(\u_uart_core.u_rxfifo.mem[13][1] ),
+    .A2(\u_uart_core.u_rxfifo.mem[14][1] ),
+    .A3(\u_uart_core.u_rxfifo.mem[15][1] ),
+    .S0(_06628_),
+    .S1(_06606_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07241_));
- sky130_fd_sc_hd__a21o_1 _12044_ (.A1(_06604_),
-    .A2(_07239_),
-    .B1(_07241_),
+ sky130_fd_sc_hd__clkbuf_2 _12043_ (.A(_06605_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07242_));
- sky130_fd_sc_hd__o211a_1 _12045_ (.A1(_06614_),
-    .A2(_07237_),
-    .B1(_07242_),
-    .C1(_07220_),
+ sky130_fd_sc_hd__mux4_1 _12044_ (.A0(\u_uart_core.u_rxfifo.mem[8][1] ),
+    .A1(\u_uart_core.u_rxfifo.mem[9][1] ),
+    .A2(\u_uart_core.u_rxfifo.mem[10][1] ),
+    .A3(\u_uart_core.u_rxfifo.mem[11][1] ),
+    .S0(_06627_),
+    .S1(_07242_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07243_));
- sky130_fd_sc_hd__a32o_1 _12046_ (.A1(\u_uart_core.app_rxfifo_empty ),
-    .A2(_05957_),
-    .A3(_06598_),
-    .B1(_07189_),
-    .B2(\u_uart_core.u_cfg.u_intr_bit1.data_out ),
+ sky130_fd_sc_hd__a21o_1 _12045_ (.A1(_06653_),
+    .A2(_07243_),
+    .B1(_07212_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07244_));
- sky130_fd_sc_hd__a221o_1 _12047_ (.A1(_06575_),
-    .A2(_07039_),
-    .B1(_07225_),
-    .B2(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[1].u_bit_reg.data_out ),
-    .C1(_07244_),
+ sky130_fd_sc_hd__a21o_1 _12046_ (.A1(_06619_),
+    .A2(_07241_),
+    .B1(_07244_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07245_));
- sky130_fd_sc_hd__nand2_1 _12048_ (.A(_06658_),
-    .B(_06669_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_07246_));
- sky130_fd_sc_hd__nor2_1 _12049_ (.A(_07246_),
-    .B(_07199_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_07247_));
- sky130_fd_sc_hd__or4_1 _12050_ (.A(_07224_),
-    .B(_07243_),
+ sky130_fd_sc_hd__and3b_1 _12047_ (.A_N(_06611_),
+    .B(_07240_),
     .C(_07245_),
-    .D(_07247_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07246_));
+ sky130_fd_sc_hd__a32o_1 _12048_ (.A1(\u_uart_core.app_rxfifo_empty ),
+    .A2(_05994_),
+    .A3(_06610_),
+    .B1(_07194_),
+    .B2(\u_uart_core.u_cfg.u_intr_bit1.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07247_));
+ sky130_fd_sc_hd__a22o_1 _12049_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[1].u_bit_reg.data_out ),
+    .A2(_07049_),
+    .B1(_07218_),
+    .B2(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[1].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07248_));
- sky130_fd_sc_hd__a31o_1 _12051_ (.A1(_06984_),
-    .A2(_07197_),
-    .A3(_07234_),
-    .B1(_07248_),
+ sky130_fd_sc_hd__or4_1 _12050_ (.A(_07235_),
+    .B(_07246_),
+    .C(_07247_),
+    .D(_07248_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07249_));
- sky130_fd_sc_hd__nand2_1 _12052_ (.A(_07200_),
-    .B(_07222_),
+ sky130_fd_sc_hd__nor2_1 _12051_ (.A(_06668_),
+    .B(_07223_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_07250_));
- sky130_fd_sc_hd__o21a_1 _12053_ (.A1(\u_uart_core.cfg_rx_enable ),
-    .A2(_07250_),
-    .B1(_07193_),
+ sky130_fd_sc_hd__o32a_1 _12052_ (.A1(_07234_),
+    .A2(_07249_),
+    .A3(_07250_),
+    .B1(_07230_),
+    .B2(\u_uart_core.cfg_rx_enable ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07251_));
- sky130_fd_sc_hd__a22o_1 _12054_ (.A1(\u_uart_core.reg_rdata[1] ),
-    .A2(_07233_),
-    .B1(_07249_),
-    .B2(_07251_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00350_));
- sky130_fd_sc_hd__mux4_1 _12055_ (.A0(\u_uart_core.u_rxfifo.mem[0][2] ),
-    .A1(\u_uart_core.u_rxfifo.mem[1][2] ),
-    .A2(\u_uart_core.u_rxfifo.mem[2][2] ),
-    .A3(\u_uart_core.u_rxfifo.mem[3][2] ),
-    .S0(_07211_),
-    .S1(_07238_),
+ sky130_fd_sc_hd__clkbuf_2 _12053_ (.A(_07231_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07252_));
- sky130_fd_sc_hd__mux4_1 _12056_ (.A0(\u_uart_core.u_rxfifo.mem[4][2] ),
-    .A1(\u_uart_core.u_rxfifo.mem[5][2] ),
-    .A2(\u_uart_core.u_rxfifo.mem[6][2] ),
-    .A3(\u_uart_core.u_rxfifo.mem[7][2] ),
-    .S0(_07211_),
-    .S1(_07238_),
+ sky130_fd_sc_hd__mux2_1 _12054_ (.A0(\u_uart_core.reg_rdata[1] ),
+    .A1(_07251_),
+    .S(_07252_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07253_));
- sky130_fd_sc_hd__mux2_2 _12057_ (.A0(_07252_),
-    .A1(_07253_),
-    .S(_06604_),
+ sky130_fd_sc_hd__clkbuf_1 _12055_ (.A(_07253_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07254_));
- sky130_fd_sc_hd__mux4_2 _12058_ (.A0(\u_uart_core.u_rxfifo.mem[12][2] ),
-    .A1(\u_uart_core.u_rxfifo.mem[13][2] ),
-    .A2(\u_uart_core.u_rxfifo.mem[14][2] ),
-    .A3(\u_uart_core.u_rxfifo.mem[15][2] ),
-    .S0(_06632_),
-    .S1(_06628_),
+    .X(_00350_));
+ sky130_fd_sc_hd__nor2_1 _12056_ (.A(_06986_),
+    .B(_06996_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07255_));
- sky130_fd_sc_hd__mux4_2 _12059_ (.A0(\u_uart_core.u_rxfifo.mem[8][2] ),
-    .A1(\u_uart_core.u_rxfifo.mem[9][2] ),
-    .A2(\u_uart_core.u_rxfifo.mem[10][2] ),
-    .A3(\u_uart_core.u_rxfifo.mem[11][2] ),
-    .S0(_06631_),
-    .S1(_07206_),
+    .Y(_07254_));
+ sky130_fd_sc_hd__nand2_1 _12057_ (.A(_06981_),
+    .B(_07254_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_07255_));
+ sky130_fd_sc_hd__o211a_1 _12058_ (.A1(_06994_),
+    .A2(_07254_),
+    .B1(_07255_),
+    .C1(_07226_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07256_));
- sky130_fd_sc_hd__a21o_1 _12060_ (.A1(_06651_),
-    .A2(_07256_),
-    .B1(_07217_),
+ sky130_fd_sc_hd__mux4_1 _12059_ (.A0(\u_uart_core.u_rxfifo.mem[0][2] ),
+    .A1(\u_uart_core.u_rxfifo.mem[1][2] ),
+    .A2(\u_uart_core.u_rxfifo.mem[2][2] ),
+    .A3(\u_uart_core.u_rxfifo.mem[3][2] ),
+    .S0(_06626_),
+    .S1(_06605_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07257_));
- sky130_fd_sc_hd__a21o_1 _12061_ (.A1(_06604_),
-    .A2(_07255_),
-    .B1(_07257_),
+ sky130_fd_sc_hd__mux4_1 _12060_ (.A0(\u_uart_core.u_rxfifo.mem[4][2] ),
+    .A1(\u_uart_core.u_rxfifo.mem[5][2] ),
+    .A2(\u_uart_core.u_rxfifo.mem[6][2] ),
+    .A3(\u_uart_core.u_rxfifo.mem[7][2] ),
+    .S0(_06626_),
+    .S1(_06605_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07258_));
- sky130_fd_sc_hd__o211a_1 _12062_ (.A1(_06614_),
-    .A2(_07254_),
-    .B1(_07258_),
-    .C1(_07220_),
+ sky130_fd_sc_hd__mux2_1 _12061_ (.A0(_07257_),
+    .A1(_07258_),
+    .S(_06618_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07259_));
- sky130_fd_sc_hd__a22o_1 _12063_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[2].u_bit_reg.data_out ),
-    .A2(_07038_),
-    .B1(_07189_),
-    .B2(\u_uart_core.u_cfg.u_intr_bit2.data_out ),
+ sky130_fd_sc_hd__or2_1 _12062_ (.A(_06636_),
+    .B(_07259_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07260_));
- sky130_fd_sc_hd__a211o_1 _12064_ (.A1(_06521_),
-    .A2(_07225_),
-    .B1(_07260_),
-    .C1(_07224_),
+ sky130_fd_sc_hd__mux4_1 _12063_ (.A0(\u_uart_core.u_rxfifo.mem[12][2] ),
+    .A1(\u_uart_core.u_rxfifo.mem[13][2] ),
+    .A2(\u_uart_core.u_rxfifo.mem[14][2] ),
+    .A3(\u_uart_core.u_rxfifo.mem[15][2] ),
+    .S0(_07205_),
+    .S1(_06606_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07261_));
- sky130_fd_sc_hd__nand2_1 _12065_ (.A(_06987_),
-    .B(_07234_),
+ sky130_fd_sc_hd__mux4_2 _12064_ (.A0(\u_uart_core.u_rxfifo.mem[8][2] ),
+    .A1(\u_uart_core.u_rxfifo.mem[9][2] ),
+    .A2(\u_uart_core.u_rxfifo.mem[10][2] ),
+    .A3(\u_uart_core.u_rxfifo.mem[11][2] ),
+    .S0(_06641_),
+    .S1(_07236_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07262_));
- sky130_fd_sc_hd__or3b_1 _12066_ (.A(_06983_),
-    .B(_06975_),
-    .C_N(_06970_),
+    .X(_07262_));
+ sky130_fd_sc_hd__a21o_1 _12065_ (.A1(_06652_),
+    .A2(_07262_),
+    .B1(_07212_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07263_));
- sky130_fd_sc_hd__nor2_1 _12067_ (.A(_06675_),
-    .B(_07199_),
+ sky130_fd_sc_hd__a21o_1 _12066_ (.A1(_06619_),
+    .A2(_07261_),
+    .B1(_07263_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07264_));
- sky130_fd_sc_hd__a31o_1 _12068_ (.A1(_07197_),
-    .A2(_07262_),
-    .A3(_07263_),
-    .B1(_07264_),
+    .X(_07264_));
+ sky130_fd_sc_hd__and3b_1 _12067_ (.A_N(_06611_),
+    .B(_07260_),
+    .C(_07264_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07265_));
- sky130_fd_sc_hd__o32a_1 _12069_ (.A1(_07259_),
-    .A2(_07261_),
-    .A3(_07265_),
-    .B1(_07250_),
-    .B2(_05425_),
+ sky130_fd_sc_hd__a22o_1 _12068_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[2].u_bit_reg.data_out ),
+    .A2(_07048_),
+    .B1(_07194_),
+    .B2(\u_uart_core.u_cfg.u_intr_bit2.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07266_));
- sky130_fd_sc_hd__mux2_1 _12070_ (.A0(\u_uart_core.reg_rdata[2] ),
-    .A1(_07266_),
-    .S(_07192_),
+ sky130_fd_sc_hd__a2111o_1 _12069_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[2].u_bit_reg.data_out ),
+    .A2(_07219_),
+    .B1(_07265_),
+    .C1(_07266_),
+    .D1(_07235_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07267_));
- sky130_fd_sc_hd__clkbuf_1 _12071_ (.A(_07267_),
+ sky130_fd_sc_hd__a31o_1 _12070_ (.A1(_07187_),
+    .A2(_06029_),
+    .A3(_06676_),
+    .B1(_07267_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00351_));
- sky130_fd_sc_hd__nor2_1 _12072_ (.A(_06668_),
-    .B(_07200_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_07268_));
- sky130_fd_sc_hd__a22o_1 _12073_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[3].u_bit_reg.data_out ),
-    .A2(_07039_),
-    .B1(_07226_),
-    .B2(_06534_),
+    .X(_07268_));
+ sky130_fd_sc_hd__o22a_1 _12071_ (.A1(_05406_),
+    .A2(_07230_),
+    .B1(_07256_),
+    .B2(_07268_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07269_));
- sky130_fd_sc_hd__nand2_1 _12074_ (.A(_06986_),
-    .B(_07263_),
+ sky130_fd_sc_hd__mux2_1 _12072_ (.A0(\u_uart_core.reg_rdata[2] ),
+    .A1(_07269_),
+    .S(_07252_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07270_));
- sky130_fd_sc_hd__or2_1 _12075_ (.A(_06985_),
-    .B(_07263_),
+    .X(_07270_));
+ sky130_fd_sc_hd__clkbuf_1 _12073_ (.A(_07270_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07271_));
- sky130_fd_sc_hd__mux4_1 _12076_ (.A0(\u_uart_core.u_rxfifo.mem[4][3] ),
-    .A1(\u_uart_core.u_rxfifo.mem[5][3] ),
-    .A2(\u_uart_core.u_rxfifo.mem[6][3] ),
-    .A3(\u_uart_core.u_rxfifo.mem[7][3] ),
-    .S0(_07203_),
-    .S1(_06653_),
+    .X(_00351_));
+ sky130_fd_sc_hd__nand2_1 _12074_ (.A(_06993_),
+    .B(_07255_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_07271_));
+ sky130_fd_sc_hd__or2_1 _12075_ (.A(_06992_),
+    .B(_07255_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07272_));
- sky130_fd_sc_hd__mux4_1 _12077_ (.A0(\u_uart_core.u_rxfifo.mem[0][3] ),
-    .A1(\u_uart_core.u_rxfifo.mem[1][3] ),
-    .A2(\u_uart_core.u_rxfifo.mem[2][3] ),
-    .A3(\u_uart_core.u_rxfifo.mem[3][3] ),
-    .S0(_07203_),
-    .S1(_06592_),
+ sky130_fd_sc_hd__and3_1 _12076_ (.A(_07225_),
+    .B(_07271_),
+    .C(_07272_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07273_));
- sky130_fd_sc_hd__mux2_2 _12078_ (.A0(_07272_),
-    .A1(_07273_),
-    .S(_06650_),
+ sky130_fd_sc_hd__nor2_1 _12077_ (.A(_06686_),
+    .B(_07222_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07274_));
- sky130_fd_sc_hd__mux4_1 _12079_ (.A0(\u_uart_core.u_rxfifo.mem[12][3] ),
-    .A1(\u_uart_core.u_rxfifo.mem[13][3] ),
-    .A2(\u_uart_core.u_rxfifo.mem[14][3] ),
-    .A3(\u_uart_core.u_rxfifo.mem[15][3] ),
-    .S0(_06631_),
-    .S1(_06627_),
+    .Y(_07274_));
+ sky130_fd_sc_hd__mux4_1 _12078_ (.A0(\u_uart_core.u_rxfifo.mem[4][3] ),
+    .A1(\u_uart_core.u_rxfifo.mem[5][3] ),
+    .A2(\u_uart_core.u_rxfifo.mem[6][3] ),
+    .A3(\u_uart_core.u_rxfifo.mem[7][3] ),
+    .S0(_07205_),
+    .S1(_07242_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07275_));
- sky130_fd_sc_hd__mux4_1 _12080_ (.A0(\u_uart_core.u_rxfifo.mem[8][3] ),
-    .A1(\u_uart_core.u_rxfifo.mem[9][3] ),
-    .A2(\u_uart_core.u_rxfifo.mem[10][3] ),
-    .A3(\u_uart_core.u_rxfifo.mem[11][3] ),
-    .S0(_06608_),
-    .S1(_06592_),
+ sky130_fd_sc_hd__mux4_1 _12079_ (.A0(\u_uart_core.u_rxfifo.mem[0][3] ),
+    .A1(\u_uart_core.u_rxfifo.mem[1][3] ),
+    .A2(\u_uart_core.u_rxfifo.mem[2][3] ),
+    .A3(\u_uart_core.u_rxfifo.mem[3][3] ),
+    .S0(_07205_),
+    .S1(_07242_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07276_));
- sky130_fd_sc_hd__a21o_1 _12081_ (.A1(_06649_),
-    .A2(_07276_),
-    .B1(_07216_),
+ sky130_fd_sc_hd__mux2_1 _12080_ (.A0(_07275_),
+    .A1(_07276_),
+    .S(_06653_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07277_));
- sky130_fd_sc_hd__a21o_1 _12082_ (.A1(_06617_),
-    .A2(_07275_),
-    .B1(_07277_),
+ sky130_fd_sc_hd__mux4_1 _12081_ (.A0(\u_uart_core.u_rxfifo.mem[8][3] ),
+    .A1(\u_uart_core.u_rxfifo.mem[9][3] ),
+    .A2(\u_uart_core.u_rxfifo.mem[10][3] ),
+    .A3(\u_uart_core.u_rxfifo.mem[11][3] ),
+    .S0(_06627_),
+    .S1(_07242_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07278_));
- sky130_fd_sc_hd__o211a_1 _12083_ (.A1(_06613_),
-    .A2(_07274_),
-    .B1(_07278_),
-    .C1(_06599_),
+ sky130_fd_sc_hd__nand2_1 _12082_ (.A(_06652_),
+    .B(_07278_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07279_));
- sky130_fd_sc_hd__a311o_1 _12084_ (.A1(_07196_),
-    .A2(_07270_),
-    .A3(_07271_),
-    .B1(_07279_),
-    .C1(_07223_),
+    .Y(_07279_));
+ sky130_fd_sc_hd__mux4_1 _12083_ (.A0(\u_uart_core.u_rxfifo.mem[12][3] ),
+    .A1(\u_uart_core.u_rxfifo.mem[13][3] ),
+    .A2(\u_uart_core.u_rxfifo.mem[14][3] ),
+    .A3(\u_uart_core.u_rxfifo.mem[15][3] ),
+    .S0(_06627_),
+    .S1(_07236_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07280_));
- sky130_fd_sc_hd__o32a_1 _12085_ (.A1(_07268_),
-    .A2(_07269_),
-    .A3(_07280_),
-    .B1(_07250_),
-    .B2(\u_uart_core.u_cfg.u_uart_ctrl_be0.gen_bit_reg[3].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__nand2_1 _12084_ (.A(_06618_),
+    .B(_07280_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07281_));
- sky130_fd_sc_hd__mux2_1 _12086_ (.A0(\u_uart_core.reg_rdata[3] ),
-    .A1(_07281_),
-    .S(_07192_),
+    .Y(_07281_));
+ sky130_fd_sc_hd__a31o_1 _12085_ (.A1(_06636_),
+    .A2(_07279_),
+    .A3(_07281_),
+    .B1(_06611_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07282_));
- sky130_fd_sc_hd__clkbuf_1 _12087_ (.A(_07282_),
+ sky130_fd_sc_hd__o21ba_1 _12086_ (.A1(_06637_),
+    .A2(_07277_),
+    .B1_N(_07282_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00352_));
- sky130_fd_sc_hd__xnor2_1 _12088_ (.A(_06981_),
-    .B(_07271_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_07283_));
- sky130_fd_sc_hd__clkbuf_1 _12089_ (.A(_07226_),
+    .X(_07283_));
+ sky130_fd_sc_hd__a221o_1 _12087_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[3].u_bit_reg.data_out ),
+    .A2(_07049_),
+    .B1(_07218_),
+    .B2(_06540_),
+    .C1(_07283_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07284_));
- sky130_fd_sc_hd__a31o_1 _12090_ (.A1(_06628_),
-    .A2(_07212_),
-    .A3(\u_uart_core.u_rxfifo.mem[3][4] ),
-    .B1(_06617_),
+ sky130_fd_sc_hd__or4_1 _12088_ (.A(_07235_),
+    .B(_07273_),
+    .C(_07274_),
+    .D(_07284_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07285_));
- sky130_fd_sc_hd__nor2_1 _12091_ (.A(_06607_),
-    .B(_06620_),
+ sky130_fd_sc_hd__o21a_1 _12089_ (.A1(_05385_),
+    .A2(_07230_),
+    .B1(_07285_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07286_));
- sky130_fd_sc_hd__a22o_1 _12092_ (.A1(\u_uart_core.u_rxfifo.mem[0][4] ),
-    .A2(_06610_),
-    .B1(_07286_),
-    .B2(\u_uart_core.u_rxfifo.mem[1][4] ),
+    .X(_07286_));
+ sky130_fd_sc_hd__mux2_1 _12090_ (.A0(\u_uart_core.reg_rdata[3] ),
+    .A1(_07286_),
+    .S(_07252_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07287_));
- sky130_fd_sc_hd__a311o_1 _12093_ (.A1(_06629_),
-    .A2(_06638_),
-    .A3(\u_uart_core.u_rxfifo.mem[2][4] ),
-    .B1(_07285_),
-    .C1(_07287_),
+ sky130_fd_sc_hd__clkbuf_1 _12091_ (.A(_07287_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07288_));
- sky130_fd_sc_hd__a22o_1 _12094_ (.A1(\u_uart_core.u_rxfifo.mem[4][4] ),
-    .A2(_06610_),
-    .B1(_07286_),
-    .B2(\u_uart_core.u_rxfifo.mem[5][4] ),
+    .X(_00352_));
+ sky130_fd_sc_hd__nand2_1 _12092_ (.A(_06991_),
+    .B(_07272_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_07288_));
+ sky130_fd_sc_hd__o21a_1 _12093_ (.A1(_06991_),
+    .A2(_07272_),
+    .B1(_07226_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07289_));
- sky130_fd_sc_hd__a31o_1 _12095_ (.A1(_07207_),
-    .A2(_06638_),
-    .A3(\u_uart_core.u_rxfifo.mem[6][4] ),
-    .B1(_07289_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12094_ (.A(_07208_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07290_));
- sky130_fd_sc_hd__a211o_1 _12096_ (.A1(\u_uart_core.u_rxfifo.mem[7][4] ),
-    .A2(_06622_),
-    .B1(_07290_),
-    .C1(_06651_),
+ sky130_fd_sc_hd__buf_2 _12095_ (.A(_07201_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07291_));
- sky130_fd_sc_hd__a31o_1 _12097_ (.A1(_06627_),
-    .A2(_07204_),
-    .A3(\u_uart_core.u_rxfifo.mem[11][4] ),
-    .B1(_06603_),
+ sky130_fd_sc_hd__mux2_1 _12096_ (.A0(\u_uart_core.u_rxfifo.mem[4][4] ),
+    .A1(\u_uart_core.u_rxfifo.mem[5][4] ),
+    .S(_07291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07292_));
- sky130_fd_sc_hd__a22o_1 _12098_ (.A1(\u_uart_core.u_rxfifo.mem[8][4] ),
-    .A2(_06610_),
-    .B1(_07286_),
-    .B2(\u_uart_core.u_rxfifo.mem[9][4] ),
+ sky130_fd_sc_hd__nor2_1 _12097_ (.A(_07290_),
+    .B(_07292_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07293_));
- sky130_fd_sc_hd__a311o_1 _12099_ (.A1(_07238_),
-    .A2(_06621_),
-    .A3(\u_uart_core.u_rxfifo.mem[10][4] ),
-    .B1(_07292_),
-    .C1(_07293_),
+    .Y(_07293_));
+ sky130_fd_sc_hd__buf_2 _12098_ (.A(_07206_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07294_));
- sky130_fd_sc_hd__a22o_1 _12100_ (.A1(\u_uart_core.u_rxfifo.mem[12][4] ),
-    .A2(_06609_),
-    .B1(_07286_),
-    .B2(\u_uart_core.u_rxfifo.mem[13][4] ),
+ sky130_fd_sc_hd__mux2_1 _12099_ (.A0(\u_uart_core.u_rxfifo.mem[6][4] ),
+    .A1(\u_uart_core.u_rxfifo.mem[7][4] ),
+    .S(_07294_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07295_));
- sky130_fd_sc_hd__a31o_1 _12101_ (.A1(_06627_),
-    .A2(_06621_),
-    .A3(\u_uart_core.u_rxfifo.mem[14][4] ),
-    .B1(_07295_),
+ sky130_fd_sc_hd__o21ai_1 _12100_ (.A1(_06609_),
+    .A2(_07295_),
+    .B1(_06620_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07296_));
- sky130_fd_sc_hd__a211o_1 _12102_ (.A1(\u_uart_core.u_rxfifo.mem[15][4] ),
-    .A2(_06622_),
-    .B1(_07296_),
-    .C1(_06650_),
+    .Y(_07296_));
+ sky130_fd_sc_hd__mux2_1 _12101_ (.A0(\u_uart_core.u_rxfifo.mem[2][4] ),
+    .A1(\u_uart_core.u_rxfifo.mem[3][4] ),
+    .S(_07291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07297_));
- sky130_fd_sc_hd__and3_1 _12103_ (.A(_06613_),
-    .B(_07294_),
-    .C(_07297_),
+ sky130_fd_sc_hd__nor2_1 _12102_ (.A(_06609_),
+    .B(_07297_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07298_));
- sky130_fd_sc_hd__a31o_1 _12104_ (.A1(_07217_),
-    .A2(_07288_),
-    .A3(_07291_),
-    .B1(_07298_),
+    .Y(_07298_));
+ sky130_fd_sc_hd__mux2_1 _12103_ (.A0(\u_uart_core.u_rxfifo.mem[0][4] ),
+    .A1(\u_uart_core.u_rxfifo.mem[1][4] ),
+    .S(_07294_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07299_));
- sky130_fd_sc_hd__clkbuf_1 _12105_ (.A(_07220_),
+ sky130_fd_sc_hd__o21ai_1 _12104_ (.A1(_07290_),
+    .A2(_07299_),
+    .B1(_06655_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07300_));
- sky130_fd_sc_hd__a22o_1 _12106_ (.A1(_07062_),
-    .A2(_07284_),
-    .B1(_07299_),
-    .B2(_07300_),
+    .Y(_07300_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12105_ (.A(_07212_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07301_));
- sky130_fd_sc_hd__a221o_1 _12107_ (.A1(\u_uart_core.u_cfg.u_uart_ctrl_be0.gen_bit_reg[4].u_bit_reg.data_out ),
-    .A2(_07224_),
-    .B1(_07283_),
-    .B2(_07197_),
+ sky130_fd_sc_hd__o221a_2 _12106_ (.A1(_07293_),
+    .A2(_07296_),
+    .B1(_07298_),
+    .B2(_07300_),
     .C1(_07301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07302_));
- sky130_fd_sc_hd__o21ai_1 _12108_ (.A1(_06666_),
-    .A2(_07200_),
-    .B1(_07193_),
+ sky130_fd_sc_hd__mux2_1 _12107_ (.A0(\u_uart_core.u_rxfifo.mem[12][4] ),
+    .A1(\u_uart_core.u_rxfifo.mem[13][4] ),
+    .S(_07291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07303_));
- sky130_fd_sc_hd__o22a_1 _12109_ (.A1(\u_uart_core.reg_rdata[4] ),
-    .A2(_07193_),
-    .B1(_07302_),
-    .B2(_07303_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00353_));
- sky130_fd_sc_hd__mux2_1 _12110_ (.A0(\u_uart_core.u_rxfifo.mem[14][5] ),
-    .A1(\u_uart_core.u_rxfifo.mem[15][5] ),
-    .S(_06634_),
+    .X(_07303_));
+ sky130_fd_sc_hd__mux2_1 _12108_ (.A0(\u_uart_core.u_rxfifo.mem[14][4] ),
+    .A1(\u_uart_core.u_rxfifo.mem[15][4] ),
+    .S(_06629_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07304_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12111_ (.A(_07205_),
+ sky130_fd_sc_hd__clkbuf_1 _12109_ (.A(_06619_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07305_));
- sky130_fd_sc_hd__mux2_1 _12112_ (.A0(\u_uart_core.u_rxfifo.mem[12][5] ),
-    .A1(\u_uart_core.u_rxfifo.mem[13][5] ),
-    .S(_07305_),
+ sky130_fd_sc_hd__o21a_1 _12110_ (.A1(_06608_),
+    .A2(_07304_),
+    .B1(_07305_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07306_));
- sky130_fd_sc_hd__clkbuf_1 _12113_ (.A(_07214_),
+ sky130_fd_sc_hd__o21ai_2 _12111_ (.A1(_07290_),
+    .A2(_07303_),
+    .B1(_07306_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07307_));
- sky130_fd_sc_hd__a21o_1 _12114_ (.A1(_06595_),
-    .A2(_07306_),
-    .B1(_07307_),
+    .Y(_07307_));
+ sky130_fd_sc_hd__mux4_1 _12112_ (.A0(\u_uart_core.u_rxfifo.mem[8][4] ),
+    .A1(\u_uart_core.u_rxfifo.mem[9][4] ),
+    .A2(\u_uart_core.u_rxfifo.mem[10][4] ),
+    .A3(\u_uart_core.u_rxfifo.mem[11][4] ),
+    .S0(_07207_),
+    .S1(_07199_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07308_));
- sky130_fd_sc_hd__a21oi_1 _12115_ (.A1(_06640_),
-    .A2(_07304_),
-    .B1(_07308_),
+ sky130_fd_sc_hd__nand2_1 _12113_ (.A(_06655_),
+    .B(_07308_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_07309_));
- sky130_fd_sc_hd__clkbuf_2 _12116_ (.A(_07212_),
+ sky130_fd_sc_hd__a31o_1 _12114_ (.A1(_06639_),
+    .A2(_07307_),
+    .A3(_07309_),
+    .B1(_06612_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07310_));
- sky130_fd_sc_hd__mux4_1 _12117_ (.A0(\u_uart_core.u_rxfifo.mem[8][5] ),
-    .A1(\u_uart_core.u_rxfifo.mem[9][5] ),
-    .A2(\u_uart_core.u_rxfifo.mem[10][5] ),
-    .A3(\u_uart_core.u_rxfifo.mem[11][5] ),
-    .S0(_07310_),
-    .S1(_06639_),
+ sky130_fd_sc_hd__clkbuf_1 _12115_ (.A(_07219_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07311_));
- sky130_fd_sc_hd__o21ai_1 _12118_ (.A1(_06619_),
-    .A2(_07311_),
-    .B1(_06616_),
+ sky130_fd_sc_hd__a2bb2o_1 _12116_ (.A1_N(_07302_),
+    .A2_N(_07310_),
+    .B1(_06550_),
+    .B2(_07311_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07312_));
- sky130_fd_sc_hd__clkbuf_1 _12119_ (.A(_06629_),
+    .X(_07312_));
+ sky130_fd_sc_hd__a221o_1 _12117_ (.A1(_05386_),
+    .A2(_07235_),
+    .B1(_07288_),
+    .B2(_07289_),
+    .C1(_07312_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07313_));
- sky130_fd_sc_hd__mux2_1 _12120_ (.A0(\u_uart_core.u_rxfifo.mem[2][5] ),
-    .A1(\u_uart_core.u_rxfifo.mem[3][5] ),
-    .S(_07305_),
+ sky130_fd_sc_hd__o21ai_1 _12118_ (.A1(_06685_),
+    .A2(_07223_),
+    .B1(_07232_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07314_));
- sky130_fd_sc_hd__nand2_1 _12121_ (.A(_07313_),
-    .B(_07314_),
+    .Y(_07314_));
+ sky130_fd_sc_hd__o22a_1 _12119_ (.A1(\u_uart_core.reg_rdata[4] ),
+    .A2(_07232_),
+    .B1(_07313_),
+    .B2(_07314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07315_));
- sky130_fd_sc_hd__clkbuf_1 _12122_ (.A(_06594_),
+    .X(_00353_));
+ sky130_fd_sc_hd__mux4_1 _12120_ (.A0(\u_uart_core.u_rxfifo.mem[8][5] ),
+    .A1(\u_uart_core.u_rxfifo.mem[9][5] ),
+    .A2(\u_uart_core.u_rxfifo.mem[10][5] ),
+    .A3(\u_uart_core.u_rxfifo.mem[11][5] ),
+    .S0(_07294_),
+    .S1(_07208_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07316_));
- sky130_fd_sc_hd__clkbuf_2 _12123_ (.A(_06632_),
+    .X(_07315_));
+ sky130_fd_sc_hd__nor2_1 _12121_ (.A(_07204_),
+    .B(_07315_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_07316_));
+ sky130_fd_sc_hd__mux2_1 _12122_ (.A0(\u_uart_core.u_rxfifo.mem[14][5] ),
+    .A1(\u_uart_core.u_rxfifo.mem[15][5] ),
+    .S(_06630_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07317_));
- sky130_fd_sc_hd__mux2_1 _12124_ (.A0(\u_uart_core.u_rxfifo.mem[0][5] ),
-    .A1(\u_uart_core.u_rxfifo.mem[1][5] ),
-    .S(_07317_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12123_ (.A(_06607_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07318_));
- sky130_fd_sc_hd__a21oi_1 _12125_ (.A1(_07316_),
-    .A2(_07318_),
-    .B1(_06605_),
+ sky130_fd_sc_hd__buf_2 _12124_ (.A(_07206_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07319_));
- sky130_fd_sc_hd__clkbuf_2 _12126_ (.A(_07205_),
+    .X(_07319_));
+ sky130_fd_sc_hd__mux2_1 _12125_ (.A0(\u_uart_core.u_rxfifo.mem[12][5] ),
+    .A1(\u_uart_core.u_rxfifo.mem[13][5] ),
+    .S(_07319_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07320_));
- sky130_fd_sc_hd__mux2_1 _12127_ (.A0(\u_uart_core.u_rxfifo.mem[6][5] ),
-    .A1(\u_uart_core.u_rxfifo.mem[7][5] ),
-    .S(_07320_),
+ sky130_fd_sc_hd__clkbuf_1 _12126_ (.A(_06654_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07321_));
- sky130_fd_sc_hd__nand2_1 _12128_ (.A(_06630_),
-    .B(_07321_),
+ sky130_fd_sc_hd__a21o_1 _12127_ (.A1(_07318_),
+    .A2(_07320_),
+    .B1(_07321_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07322_));
- sky130_fd_sc_hd__mux2_1 _12129_ (.A0(\u_uart_core.u_rxfifo.mem[4][5] ),
-    .A1(\u_uart_core.u_rxfifo.mem[5][5] ),
-    .S(_06633_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07323_));
- sky130_fd_sc_hd__a21oi_1 _12130_ (.A1(_07316_),
-    .A2(_07323_),
-    .B1(_07307_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_07324_));
- sky130_fd_sc_hd__a221o_1 _12131_ (.A1(_07315_),
-    .A2(_07319_),
+    .X(_07322_));
+ sky130_fd_sc_hd__a21oi_1 _12128_ (.A1(_06624_),
+    .A2(_07317_),
     .B1(_07322_),
-    .B2(_07324_),
-    .C1(_07202_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_07323_));
+ sky130_fd_sc_hd__clkbuf_1 _12129_ (.A(_07199_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07324_));
+ sky130_fd_sc_hd__buf_2 _12130_ (.A(_07206_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07325_));
- sky130_fd_sc_hd__o21ai_1 _12132_ (.A1(_07309_),
-    .A2(_07312_),
-    .B1(_07325_),
+ sky130_fd_sc_hd__mux2_1 _12131_ (.A0(\u_uart_core.u_rxfifo.mem[2][5] ),
+    .A1(\u_uart_core.u_rxfifo.mem[3][5] ),
+    .S(_07325_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07326_));
- sky130_fd_sc_hd__a221o_1 _12133_ (.A1(_06551_),
-    .A2(_07284_),
-    .B1(_07326_),
-    .B2(_07300_),
-    .C1(_07233_),
+    .X(_07326_));
+ sky130_fd_sc_hd__nand2_1 _12132_ (.A(_07324_),
+    .B(_07326_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07327_));
- sky130_fd_sc_hd__o21a_1 _12134_ (.A1(\u_uart_core.reg_rdata[5] ),
-    .A2(_07194_),
-    .B1(_07327_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00354_));
- sky130_fd_sc_hd__mux2_1 _12135_ (.A0(\u_uart_core.u_rxfifo.mem[14][6] ),
-    .A1(\u_uart_core.u_rxfifo.mem[15][6] ),
-    .S(_07310_),
+    .Y(_07327_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12133_ (.A(_06608_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07328_));
- sky130_fd_sc_hd__mux2_1 _12136_ (.A0(\u_uart_core.u_rxfifo.mem[12][6] ),
-    .A1(\u_uart_core.u_rxfifo.mem[13][6] ),
-    .S(_06633_),
+ sky130_fd_sc_hd__mux2_1 _12134_ (.A0(\u_uart_core.u_rxfifo.mem[0][5] ),
+    .A1(\u_uart_core.u_rxfifo.mem[1][5] ),
+    .S(_07198_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07329_));
- sky130_fd_sc_hd__a21o_1 _12137_ (.A1(_06595_),
+ sky130_fd_sc_hd__a21oi_1 _12135_ (.A1(_07328_),
     .A2(_07329_),
-    .B1(_07307_),
+    .B1(_07305_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07330_));
- sky130_fd_sc_hd__a21oi_1 _12138_ (.A1(_06640_),
-    .A2(_07328_),
-    .B1(_07330_),
+    .Y(_07330_));
+ sky130_fd_sc_hd__mux2_1 _12136_ (.A0(\u_uart_core.u_rxfifo.mem[6][5] ),
+    .A1(\u_uart_core.u_rxfifo.mem[7][5] ),
+    .S(_07319_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07331_));
- sky130_fd_sc_hd__mux4_1 _12139_ (.A0(\u_uart_core.u_rxfifo.mem[8][6] ),
-    .A1(\u_uart_core.u_rxfifo.mem[9][6] ),
-    .A2(\u_uart_core.u_rxfifo.mem[10][6] ),
-    .A3(\u_uart_core.u_rxfifo.mem[11][6] ),
-    .S0(_07310_),
-    .S1(_06639_),
+    .X(_07331_));
+ sky130_fd_sc_hd__nand2_1 _12137_ (.A(_07324_),
+    .B(_07331_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07332_));
- sky130_fd_sc_hd__o21ai_1 _12140_ (.A1(_06619_),
-    .A2(_07332_),
-    .B1(_07202_),
+    .Y(_07332_));
+ sky130_fd_sc_hd__mux2_1 _12138_ (.A0(\u_uart_core.u_rxfifo.mem[4][5] ),
+    .A1(\u_uart_core.u_rxfifo.mem[5][5] ),
+    .S(_06629_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07333_));
- sky130_fd_sc_hd__mux2_1 _12141_ (.A0(\u_uart_core.u_rxfifo.mem[2][6] ),
-    .A1(\u_uart_core.u_rxfifo.mem[3][6] ),
-    .S(_07305_),
+    .X(_07333_));
+ sky130_fd_sc_hd__a21oi_1 _12139_ (.A1(_07318_),
+    .A2(_07333_),
+    .B1(_07321_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07334_));
- sky130_fd_sc_hd__nand2_1 _12142_ (.A(_07313_),
-    .B(_07334_),
+    .Y(_07334_));
+ sky130_fd_sc_hd__a221o_1 _12140_ (.A1(_07327_),
+    .A2(_07330_),
+    .B1(_07332_),
+    .B2(_07334_),
+    .C1(_06638_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07335_));
- sky130_fd_sc_hd__mux2_1 _12143_ (.A0(\u_uart_core.u_rxfifo.mem[0][6] ),
-    .A1(\u_uart_core.u_rxfifo.mem[1][6] ),
-    .S(_07317_),
+    .X(_07335_));
+ sky130_fd_sc_hd__o31a_1 _12141_ (.A1(_07301_),
+    .A2(_07316_),
+    .A3(_07323_),
+    .B1(_07335_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07336_));
- sky130_fd_sc_hd__a21oi_1 _12144_ (.A1(_06594_),
-    .A2(_07336_),
-    .B1(_06618_),
+ sky130_fd_sc_hd__a2bb2o_1 _12142_ (.A1_N(_07197_),
+    .A2_N(_07336_),
+    .B1(_07311_),
+    .B2(_06563_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07337_));
- sky130_fd_sc_hd__mux2_1 _12145_ (.A0(\u_uart_core.u_rxfifo.mem[6][6] ),
-    .A1(\u_uart_core.u_rxfifo.mem[7][6] ),
-    .S(_07320_),
+    .X(_07337_));
+ sky130_fd_sc_hd__mux2_1 _12143_ (.A0(\u_uart_core.reg_rdata[5] ),
+    .A1(_07337_),
+    .S(_07252_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07338_));
- sky130_fd_sc_hd__nand2_1 _12146_ (.A(_06630_),
-    .B(_07338_),
+ sky130_fd_sc_hd__clkbuf_1 _12144_ (.A(_07338_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07339_));
- sky130_fd_sc_hd__mux2_1 _12147_ (.A0(\u_uart_core.u_rxfifo.mem[4][6] ),
-    .A1(\u_uart_core.u_rxfifo.mem[5][6] ),
-    .S(_07317_),
+    .X(_00354_));
+ sky130_fd_sc_hd__mux2_1 _12145_ (.A0(\u_uart_core.u_rxfifo.mem[14][6] ),
+    .A1(\u_uart_core.u_rxfifo.mem[15][6] ),
+    .S(_07291_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07339_));
+ sky130_fd_sc_hd__mux2_1 _12146_ (.A0(\u_uart_core.u_rxfifo.mem[12][6] ),
+    .A1(\u_uart_core.u_rxfifo.mem[13][6] ),
+    .S(_06629_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07340_));
- sky130_fd_sc_hd__a21oi_1 _12148_ (.A1(_07316_),
+ sky130_fd_sc_hd__a21o_1 _12147_ (.A1(_07318_),
     .A2(_07340_),
-    .B1(_07214_),
+    .B1(_07321_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07341_));
- sky130_fd_sc_hd__a221o_1 _12149_ (.A1(_07335_),
-    .A2(_07337_),
-    .B1(_07339_),
-    .B2(_07341_),
-    .C1(_06615_),
+    .X(_07341_));
+ sky130_fd_sc_hd__a21oi_1 _12148_ (.A1(_07290_),
+    .A2(_07339_),
+    .B1(_07341_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07342_));
- sky130_fd_sc_hd__o21ai_2 _12150_ (.A1(_07331_),
-    .A2(_07333_),
-    .B1(_07342_),
+    .Y(_07342_));
+ sky130_fd_sc_hd__mux4_1 _12149_ (.A0(\u_uart_core.u_rxfifo.mem[8][6] ),
+    .A1(\u_uart_core.u_rxfifo.mem[9][6] ),
+    .A2(\u_uart_core.u_rxfifo.mem[10][6] ),
+    .A3(\u_uart_core.u_rxfifo.mem[11][6] ),
+    .S0(_07294_),
+    .S1(_06623_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07343_));
- sky130_fd_sc_hd__a221o_1 _12151_ (.A1(_06556_),
-    .A2(_07284_),
-    .B1(_07343_),
-    .B2(_07300_),
-    .C1(_07233_),
+    .X(_07343_));
+ sky130_fd_sc_hd__nor2_1 _12150_ (.A(_07204_),
+    .B(_07343_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07344_));
- sky130_fd_sc_hd__o21a_1 _12152_ (.A1(\u_uart_core.reg_rdata[6] ),
-    .A2(_07194_),
-    .B1(_07344_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00355_));
- sky130_fd_sc_hd__mux2_1 _12153_ (.A0(\u_uart_core.u_rxfifo.mem[14][7] ),
-    .A1(\u_uart_core.u_rxfifo.mem[15][7] ),
-    .S(_07310_),
+    .Y(_07344_));
+ sky130_fd_sc_hd__mux2_1 _12151_ (.A0(\u_uart_core.u_rxfifo.mem[2][6] ),
+    .A1(\u_uart_core.u_rxfifo.mem[3][6] ),
+    .S(_07325_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07345_));
- sky130_fd_sc_hd__mux2_1 _12154_ (.A0(\u_uart_core.u_rxfifo.mem[12][7] ),
-    .A1(\u_uart_core.u_rxfifo.mem[13][7] ),
-    .S(_06633_),
+ sky130_fd_sc_hd__nand2_1 _12152_ (.A(_06623_),
+    .B(_07345_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07346_));
- sky130_fd_sc_hd__a21o_1 _12155_ (.A1(_06595_),
-    .A2(_07346_),
-    .B1(_07307_),
+    .Y(_07346_));
+ sky130_fd_sc_hd__mux2_1 _12153_ (.A0(\u_uart_core.u_rxfifo.mem[0][6] ),
+    .A1(\u_uart_core.u_rxfifo.mem[1][6] ),
+    .S(_07201_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07347_));
- sky130_fd_sc_hd__a21oi_1 _12156_ (.A1(_06640_),
-    .A2(_07345_),
-    .B1(_07347_),
+ sky130_fd_sc_hd__a21oi_1 _12154_ (.A1(_07328_),
+    .A2(_07347_),
+    .B1(_07305_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_07348_));
- sky130_fd_sc_hd__mux4_1 _12157_ (.A0(\u_uart_core.u_rxfifo.mem[8][7] ),
-    .A1(\u_uart_core.u_rxfifo.mem[9][7] ),
-    .A2(\u_uart_core.u_rxfifo.mem[10][7] ),
-    .A3(\u_uart_core.u_rxfifo.mem[11][7] ),
-    .S0(_07320_),
-    .S1(_06639_),
+ sky130_fd_sc_hd__mux2_1 _12155_ (.A0(\u_uart_core.u_rxfifo.mem[6][6] ),
+    .A1(\u_uart_core.u_rxfifo.mem[7][6] ),
+    .S(_07319_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07349_));
- sky130_fd_sc_hd__o21ai_1 _12158_ (.A1(_06619_),
-    .A2(_07349_),
-    .B1(_07202_),
+ sky130_fd_sc_hd__nand2_1 _12156_ (.A(_07324_),
+    .B(_07349_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_07350_));
- sky130_fd_sc_hd__mux2_1 _12159_ (.A0(\u_uart_core.u_rxfifo.mem[2][7] ),
-    .A1(\u_uart_core.u_rxfifo.mem[3][7] ),
-    .S(_07305_),
+ sky130_fd_sc_hd__mux2_1 _12157_ (.A0(\u_uart_core.u_rxfifo.mem[4][6] ),
+    .A1(\u_uart_core.u_rxfifo.mem[5][6] ),
+    .S(_07198_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07351_));
- sky130_fd_sc_hd__nand2_1 _12160_ (.A(_07313_),
-    .B(_07351_),
+ sky130_fd_sc_hd__a21oi_1 _12158_ (.A1(_07328_),
+    .A2(_07351_),
+    .B1(_06654_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_07352_));
- sky130_fd_sc_hd__mux2_1 _12161_ (.A0(\u_uart_core.u_rxfifo.mem[0][7] ),
-    .A1(\u_uart_core.u_rxfifo.mem[1][7] ),
-    .S(_07212_),
+ sky130_fd_sc_hd__a221o_1 _12159_ (.A1(_07346_),
+    .A2(_07348_),
+    .B1(_07350_),
+    .B2(_07352_),
+    .C1(_06638_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07353_));
- sky130_fd_sc_hd__a21oi_1 _12162_ (.A1(_06594_),
-    .A2(_07353_),
-    .B1(_06618_),
+ sky130_fd_sc_hd__o31a_1 _12160_ (.A1(_07301_),
+    .A2(_07342_),
+    .A3(_07344_),
+    .B1(_07353_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07354_));
- sky130_fd_sc_hd__mux2_1 _12163_ (.A0(\u_uart_core.u_rxfifo.mem[6][7] ),
-    .A1(\u_uart_core.u_rxfifo.mem[7][7] ),
-    .S(_07320_),
+    .X(_07354_));
+ sky130_fd_sc_hd__a2bb2o_1 _12161_ (.A1_N(_07197_),
+    .A2_N(_07354_),
+    .B1(_07311_),
+    .B2(_06566_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07355_));
- sky130_fd_sc_hd__nand2_1 _12164_ (.A(_07313_),
-    .B(_07355_),
+ sky130_fd_sc_hd__mux2_1 _12162_ (.A0(\u_uart_core.reg_rdata[6] ),
+    .A1(_07355_),
+    .S(_07231_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07356_));
- sky130_fd_sc_hd__mux2_1 _12165_ (.A0(\u_uart_core.u_rxfifo.mem[4][7] ),
-    .A1(\u_uart_core.u_rxfifo.mem[5][7] ),
-    .S(_07317_),
+    .X(_07356_));
+ sky130_fd_sc_hd__clkbuf_1 _12163_ (.A(_07356_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00355_));
+ sky130_fd_sc_hd__mux4_1 _12164_ (.A0(\u_uart_core.u_rxfifo.mem[8][7] ),
+    .A1(\u_uart_core.u_rxfifo.mem[9][7] ),
+    .A2(\u_uart_core.u_rxfifo.mem[10][7] ),
+    .A3(\u_uart_core.u_rxfifo.mem[11][7] ),
+    .S0(_07207_),
+    .S1(_07208_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07357_));
- sky130_fd_sc_hd__a21oi_1 _12166_ (.A1(_07316_),
-    .A2(_07357_),
-    .B1(_07214_),
+ sky130_fd_sc_hd__nor2_1 _12165_ (.A(_07204_),
+    .B(_07357_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_07358_));
- sky130_fd_sc_hd__a221o_1 _12167_ (.A1(_07352_),
-    .A2(_07354_),
-    .B1(_07356_),
-    .B2(_07358_),
-    .C1(_06615_),
+ sky130_fd_sc_hd__mux2_1 _12166_ (.A0(\u_uart_core.u_rxfifo.mem[14][7] ),
+    .A1(\u_uart_core.u_rxfifo.mem[15][7] ),
+    .S(_06630_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07359_));
- sky130_fd_sc_hd__o21ai_2 _12168_ (.A1(_07348_),
-    .A2(_07350_),
-    .B1(_07359_),
+ sky130_fd_sc_hd__mux2_1 _12167_ (.A0(\u_uart_core.u_rxfifo.mem[12][7] ),
+    .A1(\u_uart_core.u_rxfifo.mem[13][7] ),
+    .S(_07325_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07360_));
- sky130_fd_sc_hd__a221o_1 _12169_ (.A1(_06563_),
-    .A2(_07284_),
-    .B1(_07360_),
-    .B2(_07300_),
-    .C1(_07233_),
+    .X(_07360_));
+ sky130_fd_sc_hd__a21o_1 _12168_ (.A1(_07318_),
+    .A2(_07360_),
+    .B1(_07321_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07361_));
- sky130_fd_sc_hd__o21a_1 _12170_ (.A1(\u_uart_core.reg_rdata[7] ),
-    .A2(_07194_),
+ sky130_fd_sc_hd__a21oi_1 _12169_ (.A1(_06624_),
+    .A2(_07359_),
     .B1(_07361_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00356_));
- sky130_fd_sc_hd__nand2_1 _12171_ (.A(_07014_),
-    .B(_07190_),
+    .Y(_07362_));
+ sky130_fd_sc_hd__mux2_1 _12170_ (.A0(\u_uart_core.u_rxfifo.mem[2][7] ),
+    .A1(\u_uart_core.u_rxfifo.mem[3][7] ),
+    .S(_07325_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07362_));
- sky130_fd_sc_hd__a21o_1 _12172_ (.A1(\u_uart_core.u_cfg.u_intr_bit0.data_out ),
-    .A2(_07362_),
+    .X(_07363_));
+ sky130_fd_sc_hd__nand2_1 _12171_ (.A(_06623_),
+    .B(_07363_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_07364_));
+ sky130_fd_sc_hd__mux2_1 _12172_ (.A0(\u_uart_core.u_rxfifo.mem[0][7] ),
+    .A1(\u_uart_core.u_rxfifo.mem[1][7] ),
+    .S(_07201_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07365_));
+ sky130_fd_sc_hd__a21oi_1 _12173_ (.A1(_06608_),
+    .A2(_07365_),
+    .B1(_07305_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_07366_));
+ sky130_fd_sc_hd__mux2_1 _12174_ (.A0(\u_uart_core.u_rxfifo.mem[6][7] ),
+    .A1(\u_uart_core.u_rxfifo.mem[7][7] ),
+    .S(_07319_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07367_));
+ sky130_fd_sc_hd__nand2_1 _12175_ (.A(_07324_),
+    .B(_07367_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_07368_));
+ sky130_fd_sc_hd__mux2_1 _12176_ (.A0(\u_uart_core.u_rxfifo.mem[4][7] ),
+    .A1(\u_uart_core.u_rxfifo.mem[5][7] ),
+    .S(_07198_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07369_));
+ sky130_fd_sc_hd__a21oi_1 _12177_ (.A1(_07328_),
+    .A2(_07369_),
+    .B1(_06654_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_07370_));
+ sky130_fd_sc_hd__a221o_1 _12178_ (.A1(_07364_),
+    .A2(_07366_),
+    .B1(_07368_),
+    .B2(_07370_),
+    .C1(_06638_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07371_));
+ sky130_fd_sc_hd__o31a_1 _12179_ (.A1(_07301_),
+    .A2(_07358_),
+    .A3(_07362_),
+    .B1(_07371_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07372_));
+ sky130_fd_sc_hd__a2bb2o_1 _12180_ (.A1_N(_07197_),
+    .A2_N(_07372_),
+    .B1(_07311_),
+    .B2(_06575_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07373_));
+ sky130_fd_sc_hd__mux2_1 _12181_ (.A0(\u_uart_core.reg_rdata[7] ),
+    .A1(_07373_),
+    .S(_07231_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07374_));
+ sky130_fd_sc_hd__clkbuf_1 _12182_ (.A(_07374_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00356_));
+ sky130_fd_sc_hd__nand2_1 _12183_ (.A(_07026_),
+    .B(_07195_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_07375_));
+ sky130_fd_sc_hd__a21o_1 _12184_ (.A1(\u_uart_core.u_cfg.u_intr_bit0.data_out ),
+    .A2(_07375_),
     .B1(\u_uart_core.frm_error_o ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00357_));
- sky130_fd_sc_hd__nand2_1 _12173_ (.A(_07019_),
-    .B(_07190_),
+ sky130_fd_sc_hd__nand2_1 _12185_ (.A(_07030_),
+    .B(_07195_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07363_));
- sky130_fd_sc_hd__a21o_1 _12174_ (.A1(\u_uart_core.u_cfg.u_intr_bit1.data_out ),
-    .A2(_07363_),
+    .Y(_07376_));
+ sky130_fd_sc_hd__a21o_1 _12186_ (.A1(\u_uart_core.u_cfg.u_intr_bit1.data_out ),
+    .A2(_07376_),
     .B1(\u_uart_core.par_error_o ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00358_));
- sky130_fd_sc_hd__clkbuf_2 _12175_ (.A(net15),
+ sky130_fd_sc_hd__nand2_4 _12187_ (.A(_06415_),
+    .B(_06438_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07364_));
- sky130_fd_sc_hd__clkbuf_2 _12176_ (.A(_07364_),
+    .Y(_07377_));
+ sky130_fd_sc_hd__nor2_1 _12188_ (.A(_06432_),
+    .B(_07377_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07365_));
- sky130_fd_sc_hd__and3_2 _12177_ (.A(_06972_),
-    .B(_06418_),
-    .C(_06404_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07366_));
- sky130_fd_sc_hd__nand2_1 _12178_ (.A(_07015_),
-    .B(_07366_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_07367_));
- sky130_fd_sc_hd__clkbuf_2 _12179_ (.A(_07367_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07368_));
- sky130_fd_sc_hd__mux2_1 _12180_ (.A0(_07365_),
-    .A1(\u_uart_core.u_txfifo.mem[12][0] ),
-    .S(_07368_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07369_));
- sky130_fd_sc_hd__clkbuf_1 _12181_ (.A(_07369_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00359_));
- sky130_fd_sc_hd__clkbuf_2 _12182_ (.A(net26),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07370_));
- sky130_fd_sc_hd__clkbuf_2 _12183_ (.A(_07370_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07371_));
- sky130_fd_sc_hd__mux2_1 _12184_ (.A0(_07371_),
-    .A1(\u_uart_core.u_txfifo.mem[12][1] ),
-    .S(_07368_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07372_));
- sky130_fd_sc_hd__clkbuf_1 _12185_ (.A(_07372_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00360_));
- sky130_fd_sc_hd__buf_2 _12186_ (.A(net37),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07373_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12187_ (.A(_07373_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07374_));
- sky130_fd_sc_hd__mux2_1 _12188_ (.A0(_07374_),
-    .A1(\u_uart_core.u_txfifo.mem[12][2] ),
-    .S(_07368_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07375_));
- sky130_fd_sc_hd__clkbuf_1 _12189_ (.A(_07375_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00361_));
- sky130_fd_sc_hd__mux2_1 _12190_ (.A0(_07024_),
-    .A1(\u_uart_core.u_txfifo.mem[12][3] ),
-    .S(_07368_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07376_));
- sky130_fd_sc_hd__clkbuf_1 _12191_ (.A(_07376_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00362_));
- sky130_fd_sc_hd__clkbuf_2 _12192_ (.A(_07367_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07377_));
- sky130_fd_sc_hd__mux2_1 _12193_ (.A0(_07027_),
-    .A1(\u_uart_core.u_txfifo.mem[12][4] ),
-    .S(_07377_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07378_));
- sky130_fd_sc_hd__clkbuf_1 _12194_ (.A(_07378_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00363_));
- sky130_fd_sc_hd__mux2_1 _12195_ (.A0(_07030_),
-    .A1(\u_uart_core.u_txfifo.mem[12][5] ),
-    .S(_07377_),
+    .Y(_07378_));
+ sky130_fd_sc_hd__clkbuf_2 _12189_ (.A(_07378_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07379_));
- sky130_fd_sc_hd__clkbuf_1 _12196_ (.A(_07379_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00364_));
- sky130_fd_sc_hd__mux2_1 _12197_ (.A0(_07032_),
-    .A1(\u_uart_core.u_txfifo.mem[12][6] ),
-    .S(_07377_),
+ sky130_fd_sc_hd__mux2_1 _12190_ (.A0(\u_uart_core.u_txfifo.mem[12][0] ),
+    .A1(_06957_),
+    .S(_07379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07380_));
- sky130_fd_sc_hd__clkbuf_1 _12198_ (.A(_07380_),
+ sky130_fd_sc_hd__clkbuf_1 _12191_ (.A(_07380_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00365_));
- sky130_fd_sc_hd__mux2_1 _12199_ (.A0(_07034_),
-    .A1(\u_uart_core.u_txfifo.mem[12][7] ),
-    .S(_07377_),
+    .X(_00359_));
+ sky130_fd_sc_hd__mux2_1 _12192_ (.A0(\u_uart_core.u_txfifo.mem[12][1] ),
+    .A1(_06964_),
+    .S(_07379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07381_));
- sky130_fd_sc_hd__clkbuf_1 _12200_ (.A(_07381_),
+ sky130_fd_sc_hd__clkbuf_1 _12193_ (.A(_07381_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00366_));
- sky130_fd_sc_hd__and3_1 _12201_ (.A(net10),
-    .B(_07037_),
-    .C(_07226_),
+    .X(_00360_));
+ sky130_fd_sc_hd__mux2_1 _12194_ (.A0(\u_uart_core.u_txfifo.mem[12][2] ),
+    .A1(_06967_),
+    .S(_07379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07382_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12202_ (.A(_07382_),
+ sky130_fd_sc_hd__clkbuf_1 _12195_ (.A(_07382_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00361_));
+ sky130_fd_sc_hd__buf_4 _12196_ (.A(_07034_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07383_));
- sky130_fd_sc_hd__mux2_1 _12203_ (.A0(_06556_),
-    .A1(_06959_),
-    .S(_07383_),
+ sky130_fd_sc_hd__mux2_1 _12197_ (.A0(\u_uart_core.u_txfifo.mem[12][3] ),
+    .A1(_07383_),
+    .S(_07379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07384_));
- sky130_fd_sc_hd__clkbuf_1 _12204_ (.A(_07384_),
+ sky130_fd_sc_hd__clkbuf_1 _12198_ (.A(_07384_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00367_));
- sky130_fd_sc_hd__mux2_1 _12205_ (.A0(_06551_),
-    .A1(_06956_),
-    .S(_07383_),
+    .X(_00362_));
+ sky130_fd_sc_hd__clkbuf_2 _12199_ (.A(net41),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07385_));
- sky130_fd_sc_hd__clkbuf_1 _12206_ (.A(_07385_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00368_));
- sky130_fd_sc_hd__mux2_1 _12207_ (.A0(_07062_),
-    .A1(_06453_),
-    .S(_07383_),
+ sky130_fd_sc_hd__buf_4 _12200_ (.A(_07385_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07386_));
- sky130_fd_sc_hd__clkbuf_1 _12208_ (.A(_07386_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00369_));
- sky130_fd_sc_hd__mux2_1 _12209_ (.A0(_06534_),
-    .A1(_06951_),
-    .S(_07383_),
+ sky130_fd_sc_hd__clkbuf_2 _12201_ (.A(_07378_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07387_));
- sky130_fd_sc_hd__clkbuf_1 _12210_ (.A(_07387_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00370_));
- sky130_fd_sc_hd__clkbuf_2 _12211_ (.A(_07382_),
+ sky130_fd_sc_hd__mux2_1 _12202_ (.A0(\u_uart_core.u_txfifo.mem[12][4] ),
+    .A1(_07386_),
+    .S(_07387_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07388_));
- sky130_fd_sc_hd__mux2_1 _12212_ (.A0(_06523_),
-    .A1(_06448_),
-    .S(_07388_),
+ sky130_fd_sc_hd__clkbuf_1 _12203_ (.A(_07388_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00363_));
+ sky130_fd_sc_hd__mux2_1 _12204_ (.A0(\u_uart_core.u_txfifo.mem[12][5] ),
+    .A1(_06462_),
+    .S(_07387_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07389_));
- sky130_fd_sc_hd__clkbuf_1 _12213_ (.A(_07389_),
+ sky130_fd_sc_hd__clkbuf_1 _12205_ (.A(_07389_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00371_));
- sky130_fd_sc_hd__mux2_1 _12214_ (.A0(_06533_),
-    .A1(_06446_),
-    .S(_07388_),
+    .X(_00364_));
+ sky130_fd_sc_hd__mux2_1 _12206_ (.A0(\u_uart_core.u_txfifo.mem[12][6] ),
+    .A1(_06464_),
+    .S(_07387_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07390_));
- sky130_fd_sc_hd__clkbuf_1 _12215_ (.A(_07390_),
+ sky130_fd_sc_hd__clkbuf_1 _12207_ (.A(_07390_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00372_));
- sky130_fd_sc_hd__mux2_1 _12216_ (.A0(_07043_),
-    .A1(_06441_),
-    .S(_07388_),
+    .X(_00365_));
+ sky130_fd_sc_hd__mux2_1 _12208_ (.A0(\u_uart_core.u_txfifo.mem[12][7] ),
+    .A1(_06466_),
+    .S(_07387_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07391_));
- sky130_fd_sc_hd__clkbuf_1 _12217_ (.A(_07391_),
+ sky130_fd_sc_hd__clkbuf_1 _12209_ (.A(_07391_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00373_));
- sky130_fd_sc_hd__mux2_1 _12218_ (.A0(_07027_),
-    .A1(\u_uart_core.u_cfg.u_uart_ctrl_be0.gen_bit_reg[4].u_bit_reg.data_out ),
-    .S(_07183_),
+    .X(_00366_));
+ sky130_fd_sc_hd__clkbuf_1 _12210_ (.A(net43),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07392_));
- sky130_fd_sc_hd__clkbuf_1 _12219_ (.A(_07392_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00374_));
- sky130_fd_sc_hd__clkbuf_1 _12220_ (.A(net37),
+ sky130_fd_sc_hd__buf_4 _12211_ (.A(_07392_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07393_));
- sky130_fd_sc_hd__buf_4 _12221_ (.A(_07393_),
+ sky130_fd_sc_hd__and2b_1 _12212_ (.A_N(_07047_),
+    .B(_07219_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07394_));
- sky130_fd_sc_hd__mux2_1 _12222_ (.A0(_06582_),
-    .A1(_07394_),
-    .S(_07040_),
+ sky130_fd_sc_hd__clkbuf_2 _12213_ (.A(_07394_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07395_));
- sky130_fd_sc_hd__clkbuf_1 _12223_ (.A(_07395_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00375_));
- sky130_fd_sc_hd__clkbuf_1 _12224_ (.A(net26),
+ sky130_fd_sc_hd__mux2_1 _12214_ (.A0(_06568_),
+    .A1(_07393_),
+    .S(_07395_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07396_));
- sky130_fd_sc_hd__buf_4 _12225_ (.A(_07396_),
+ sky130_fd_sc_hd__clkbuf_1 _12215_ (.A(_07396_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00367_));
+ sky130_fd_sc_hd__clkbuf_1 _12216_ (.A(net42),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07397_));
- sky130_fd_sc_hd__mux2_1 _12226_ (.A0(_06575_),
-    .A1(_07397_),
-    .S(_07040_),
+ sky130_fd_sc_hd__clkbuf_4 _12217_ (.A(_07397_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07398_));
- sky130_fd_sc_hd__clkbuf_1 _12227_ (.A(_07398_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00376_));
- sky130_fd_sc_hd__clkbuf_1 _12228_ (.A(net15),
+ sky130_fd_sc_hd__mux2_1 _12218_ (.A0(_06563_),
+    .A1(_07398_),
+    .S(_07395_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07399_));
- sky130_fd_sc_hd__buf_4 _12229_ (.A(_07399_),
+ sky130_fd_sc_hd__clkbuf_1 _12219_ (.A(_07399_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00368_));
+ sky130_fd_sc_hd__mux2_1 _12220_ (.A0(_06550_),
+    .A1(_07386_),
+    .S(_07395_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07400_));
- sky130_fd_sc_hd__mux2_1 _12230_ (.A0(_06570_),
-    .A1(_07400_),
-    .S(_07040_),
+ sky130_fd_sc_hd__clkbuf_1 _12221_ (.A(_07400_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00369_));
+ sky130_fd_sc_hd__mux2_1 _12222_ (.A0(_06540_),
+    .A1(_07383_),
+    .S(_07395_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07401_));
- sky130_fd_sc_hd__clkbuf_1 _12231_ (.A(_07401_),
+ sky130_fd_sc_hd__clkbuf_1 _12223_ (.A(_07401_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00377_));
- sky130_fd_sc_hd__mux2_1 _12232_ (.A0(_06563_),
-    .A1(_06962_),
-    .S(_07388_),
+    .X(_00370_));
+ sky130_fd_sc_hd__clkbuf_2 _12224_ (.A(_07394_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07402_));
- sky130_fd_sc_hd__clkbuf_1 _12233_ (.A(_07402_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00378_));
- sky130_fd_sc_hd__clkbuf_1 _12234_ (.A(_06178_),
+ sky130_fd_sc_hd__mux2_1 _12225_ (.A0(_06530_),
+    .A1(_06967_),
+    .S(_07402_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07403_));
- sky130_fd_sc_hd__mux2_1 _12235_ (.A0(_07403_),
-    .A1(\u_uart_core.u_rxfifo.mem[7][0] ),
-    .S(_06687_),
+ sky130_fd_sc_hd__clkbuf_1 _12226_ (.A(_07403_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00371_));
+ sky130_fd_sc_hd__mux2_1 _12227_ (.A0(_06539_),
+    .A1(_06964_),
+    .S(_07402_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07404_));
- sky130_fd_sc_hd__clkbuf_1 _12236_ (.A(_07404_),
+ sky130_fd_sc_hd__clkbuf_1 _12228_ (.A(_07404_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00379_));
- sky130_fd_sc_hd__clkbuf_1 _12237_ (.A(_06193_),
+    .X(_00372_));
+ sky130_fd_sc_hd__mux2_1 _12229_ (.A0(_07074_),
+    .A1(_06957_),
+    .S(_07402_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07405_));
- sky130_fd_sc_hd__mux2_1 _12238_ (.A0(_07405_),
-    .A1(\u_uart_core.u_rxfifo.mem[7][1] ),
-    .S(_06687_),
+ sky130_fd_sc_hd__clkbuf_1 _12230_ (.A(_07405_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00373_));
+ sky130_fd_sc_hd__mux2_1 _12231_ (.A0(_07037_),
+    .A1(_05386_),
+    .S(_07188_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07406_));
- sky130_fd_sc_hd__clkbuf_1 _12239_ (.A(_07406_),
+ sky130_fd_sc_hd__clkbuf_1 _12232_ (.A(_07406_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00380_));
- sky130_fd_sc_hd__clkbuf_1 _12240_ (.A(_06196_),
+    .X(_00374_));
+ sky130_fd_sc_hd__mux2_1 _12233_ (.A0(_06595_),
+    .A1(_06967_),
+    .S(_07050_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07407_));
- sky130_fd_sc_hd__mux2_1 _12241_ (.A0(_07407_),
-    .A1(\u_uart_core.u_rxfifo.mem[7][2] ),
-    .S(_06687_),
+ sky130_fd_sc_hd__clkbuf_1 _12234_ (.A(_07407_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00375_));
+ sky130_fd_sc_hd__mux2_1 _12235_ (.A0(_06585_),
+    .A1(_06964_),
+    .S(_07050_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07408_));
- sky130_fd_sc_hd__clkbuf_1 _12242_ (.A(_07408_),
+ sky130_fd_sc_hd__clkbuf_1 _12236_ (.A(_07408_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00381_));
- sky130_fd_sc_hd__buf_2 _12243_ (.A(_06686_),
+    .X(_00376_));
+ sky130_fd_sc_hd__mux2_1 _12237_ (.A0(_06581_),
+    .A1(_06957_),
+    .S(_07050_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07409_));
- sky130_fd_sc_hd__mux2_1 _12244_ (.A0(_06915_),
-    .A1(\u_uart_core.u_rxfifo.mem[7][3] ),
-    .S(_07409_),
+ sky130_fd_sc_hd__clkbuf_1 _12238_ (.A(_07409_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00377_));
+ sky130_fd_sc_hd__clkbuf_1 _12239_ (.A(net44),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07410_));
- sky130_fd_sc_hd__clkbuf_1 _12245_ (.A(_07410_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00382_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12246_ (.A(_06203_),
+ sky130_fd_sc_hd__buf_4 _12240_ (.A(_07410_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07411_));
- sky130_fd_sc_hd__mux2_1 _12247_ (.A0(_07411_),
-    .A1(\u_uart_core.u_rxfifo.mem[7][4] ),
-    .S(_07409_),
+ sky130_fd_sc_hd__mux2_1 _12241_ (.A0(_06575_),
+    .A1(_07411_),
+    .S(_07402_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07412_));
- sky130_fd_sc_hd__clkbuf_1 _12248_ (.A(_07412_),
+ sky130_fd_sc_hd__clkbuf_1 _12242_ (.A(_07412_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00383_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12249_ (.A(_06206_),
+    .X(_00378_));
+ sky130_fd_sc_hd__clkbuf_1 _12243_ (.A(_06142_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07413_));
- sky130_fd_sc_hd__mux2_1 _12250_ (.A0(_07413_),
-    .A1(\u_uart_core.u_rxfifo.mem[7][5] ),
-    .S(_07409_),
+ sky130_fd_sc_hd__mux2_1 _12244_ (.A0(_07413_),
+    .A1(\u_uart_core.u_rxfifo.mem[7][0] ),
+    .S(_06697_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07414_));
- sky130_fd_sc_hd__clkbuf_1 _12251_ (.A(_07414_),
+ sky130_fd_sc_hd__clkbuf_1 _12245_ (.A(_07414_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00384_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12252_ (.A(_06209_),
+    .X(_00379_));
+ sky130_fd_sc_hd__clkbuf_1 _12246_ (.A(_06156_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07415_));
- sky130_fd_sc_hd__mux2_1 _12253_ (.A0(_07415_),
-    .A1(\u_uart_core.u_rxfifo.mem[7][6] ),
-    .S(_07409_),
+ sky130_fd_sc_hd__mux2_1 _12247_ (.A0(_07415_),
+    .A1(\u_uart_core.u_rxfifo.mem[7][1] ),
+    .S(_06697_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07416_));
- sky130_fd_sc_hd__clkbuf_1 _12254_ (.A(_07416_),
+ sky130_fd_sc_hd__clkbuf_1 _12248_ (.A(_07416_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00385_));
- sky130_fd_sc_hd__mux2_1 _12255_ (.A0(_06927_),
-    .A1(\u_uart_core.u_rxfifo.mem[7][7] ),
-    .S(_06686_),
+    .X(_00380_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12249_ (.A(_06159_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07417_));
- sky130_fd_sc_hd__clkbuf_1 _12256_ (.A(_07417_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00386_));
- sky130_fd_sc_hd__or2_1 _12257_ (.A(\u_usb_host.u_async_wb.u_resp_if.wr_ptr[0] ),
-    .B(_06837_),
+ sky130_fd_sc_hd__mux2_1 _12250_ (.A0(_07417_),
+    .A1(\u_uart_core.u_rxfifo.mem[7][2] ),
+    .S(_06697_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07418_));
- sky130_fd_sc_hd__clkbuf_2 _12258_ (.A(_07418_),
+ sky130_fd_sc_hd__clkbuf_1 _12251_ (.A(_07418_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00381_));
+ sky130_fd_sc_hd__buf_2 _12252_ (.A(_06696_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07419_));
- sky130_fd_sc_hd__mux2_1 _12259_ (.A0(\u_usb_host.reg_rdata[0] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][0] ),
+ sky130_fd_sc_hd__mux2_1 _12253_ (.A0(_06925_),
+    .A1(\u_uart_core.u_rxfifo.mem[7][3] ),
     .S(_07419_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07420_));
- sky130_fd_sc_hd__clkbuf_1 _12260_ (.A(_07420_),
+ sky130_fd_sc_hd__clkbuf_1 _12254_ (.A(_07420_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00387_));
- sky130_fd_sc_hd__mux2_1 _12261_ (.A0(\u_usb_host.reg_rdata[1] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][1] ),
-    .S(_07419_),
+    .X(_00382_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12255_ (.A(_06166_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07421_));
- sky130_fd_sc_hd__clkbuf_1 _12262_ (.A(_07421_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00388_));
- sky130_fd_sc_hd__mux2_1 _12263_ (.A0(\u_usb_host.reg_rdata[2] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][2] ),
+ sky130_fd_sc_hd__mux2_1 _12256_ (.A0(_07421_),
+    .A1(\u_uart_core.u_rxfifo.mem[7][4] ),
     .S(_07419_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07422_));
- sky130_fd_sc_hd__clkbuf_1 _12264_ (.A(_07422_),
+ sky130_fd_sc_hd__clkbuf_1 _12257_ (.A(_07422_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00389_));
- sky130_fd_sc_hd__clkbuf_2 _12265_ (.A(_07418_),
+    .X(_00383_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12258_ (.A(_06169_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07423_));
- sky130_fd_sc_hd__buf_2 _12266_ (.A(_07423_),
+ sky130_fd_sc_hd__mux2_1 _12259_ (.A0(_07423_),
+    .A1(\u_uart_core.u_rxfifo.mem[7][5] ),
+    .S(_07419_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07424_));
- sky130_fd_sc_hd__mux2_1 _12267_ (.A0(\u_usb_host.reg_rdata[3] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][3] ),
-    .S(_07424_),
+ sky130_fd_sc_hd__clkbuf_1 _12260_ (.A(_07424_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00384_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12261_ (.A(_06172_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07425_));
- sky130_fd_sc_hd__clkbuf_1 _12268_ (.A(_07425_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00390_));
- sky130_fd_sc_hd__mux2_1 _12269_ (.A0(\u_usb_host.reg_rdata[4] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][4] ),
-    .S(_07424_),
+ sky130_fd_sc_hd__mux2_1 _12262_ (.A0(_07425_),
+    .A1(\u_uart_core.u_rxfifo.mem[7][6] ),
+    .S(_07419_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07426_));
- sky130_fd_sc_hd__clkbuf_1 _12270_ (.A(_07426_),
+ sky130_fd_sc_hd__clkbuf_1 _12263_ (.A(_07426_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00391_));
- sky130_fd_sc_hd__mux2_1 _12271_ (.A0(\u_usb_host.reg_rdata[5] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][5] ),
-    .S(_07424_),
+    .X(_00385_));
+ sky130_fd_sc_hd__mux2_1 _12264_ (.A0(_06937_),
+    .A1(\u_uart_core.u_rxfifo.mem[7][7] ),
+    .S(_06696_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07427_));
- sky130_fd_sc_hd__clkbuf_1 _12272_ (.A(_07427_),
+ sky130_fd_sc_hd__clkbuf_1 _12265_ (.A(_07427_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00392_));
- sky130_fd_sc_hd__mux2_1 _12273_ (.A0(\u_usb_host.reg_rdata[6] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][6] ),
-    .S(_07424_),
+    .X(_00386_));
+ sky130_fd_sc_hd__clkbuf_1 _12266_ (.A(_06848_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07428_));
- sky130_fd_sc_hd__clkbuf_1 _12274_ (.A(_07428_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00393_));
- sky130_fd_sc_hd__buf_2 _12275_ (.A(_07423_),
+ sky130_fd_sc_hd__or2_1 _12267_ (.A(\u_usb_host.u_async_wb.u_resp_if.wr_ptr[0] ),
+    .B(_07428_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07429_));
- sky130_fd_sc_hd__mux2_1 _12276_ (.A0(\u_usb_host.reg_rdata[7] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][7] ),
-    .S(_07429_),
+ sky130_fd_sc_hd__buf_2 _12268_ (.A(_07429_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07430_));
- sky130_fd_sc_hd__clkbuf_1 _12277_ (.A(_07430_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00394_));
- sky130_fd_sc_hd__mux2_1 _12278_ (.A0(\u_usb_host.reg_rdata[8] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][8] ),
-    .S(_07429_),
+ sky130_fd_sc_hd__mux2_1 _12269_ (.A0(\u_usb_host.reg_rdata[0] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][0] ),
+    .S(_07430_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07431_));
- sky130_fd_sc_hd__clkbuf_1 _12279_ (.A(_07431_),
+ sky130_fd_sc_hd__clkbuf_1 _12270_ (.A(_07431_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00395_));
- sky130_fd_sc_hd__mux2_1 _12280_ (.A0(\u_usb_host.reg_rdata[9] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][9] ),
-    .S(_07429_),
+    .X(_00387_));
+ sky130_fd_sc_hd__mux2_1 _12271_ (.A0(\u_usb_host.reg_rdata[1] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][1] ),
+    .S(_07430_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07432_));
- sky130_fd_sc_hd__clkbuf_1 _12281_ (.A(_07432_),
+ sky130_fd_sc_hd__clkbuf_1 _12272_ (.A(_07432_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00396_));
- sky130_fd_sc_hd__mux2_1 _12282_ (.A0(\u_usb_host.reg_rdata[10] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][10] ),
-    .S(_07429_),
+    .X(_00388_));
+ sky130_fd_sc_hd__mux2_1 _12273_ (.A0(\u_usb_host.reg_rdata[2] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][2] ),
+    .S(_07430_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07433_));
- sky130_fd_sc_hd__clkbuf_1 _12283_ (.A(_07433_),
+ sky130_fd_sc_hd__clkbuf_1 _12274_ (.A(_07433_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00397_));
- sky130_fd_sc_hd__clkbuf_2 _12284_ (.A(_07418_),
+    .X(_00389_));
+ sky130_fd_sc_hd__clkbuf_2 _12275_ (.A(_07429_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07434_));
- sky130_fd_sc_hd__clkbuf_2 _12285_ (.A(_07434_),
+ sky130_fd_sc_hd__clkbuf_2 _12276_ (.A(_07434_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07435_));
- sky130_fd_sc_hd__mux2_1 _12286_ (.A0(\u_usb_host.reg_rdata[11] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][11] ),
+ sky130_fd_sc_hd__mux2_1 _12277_ (.A0(\u_usb_host.reg_rdata[3] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][3] ),
     .S(_07435_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07436_));
- sky130_fd_sc_hd__clkbuf_1 _12287_ (.A(_07436_),
+ sky130_fd_sc_hd__clkbuf_1 _12278_ (.A(_07436_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00398_));
- sky130_fd_sc_hd__mux2_1 _12288_ (.A0(\u_usb_host.reg_rdata[12] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][12] ),
+    .X(_00390_));
+ sky130_fd_sc_hd__mux2_1 _12279_ (.A0(\u_usb_host.reg_rdata[4] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][4] ),
     .S(_07435_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07437_));
- sky130_fd_sc_hd__clkbuf_1 _12289_ (.A(_07437_),
+ sky130_fd_sc_hd__clkbuf_1 _12280_ (.A(_07437_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00399_));
- sky130_fd_sc_hd__mux2_1 _12290_ (.A0(\u_usb_host.reg_rdata[13] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][13] ),
+    .X(_00391_));
+ sky130_fd_sc_hd__mux2_1 _12281_ (.A0(\u_usb_host.reg_rdata[5] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][5] ),
     .S(_07435_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07438_));
- sky130_fd_sc_hd__clkbuf_1 _12291_ (.A(_07438_),
+ sky130_fd_sc_hd__clkbuf_1 _12282_ (.A(_07438_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00400_));
- sky130_fd_sc_hd__mux2_1 _12292_ (.A0(\u_usb_host.reg_rdata[14] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][14] ),
+    .X(_00392_));
+ sky130_fd_sc_hd__mux2_1 _12283_ (.A0(\u_usb_host.reg_rdata[6] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][6] ),
     .S(_07435_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07439_));
- sky130_fd_sc_hd__clkbuf_1 _12293_ (.A(_07439_),
+ sky130_fd_sc_hd__clkbuf_1 _12284_ (.A(_07439_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00401_));
- sky130_fd_sc_hd__clkbuf_2 _12294_ (.A(_07434_),
+    .X(_00393_));
+ sky130_fd_sc_hd__clkbuf_2 _12285_ (.A(_07434_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07440_));
- sky130_fd_sc_hd__mux2_1 _12295_ (.A0(\u_usb_host.reg_rdata[15] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][15] ),
+ sky130_fd_sc_hd__mux2_1 _12286_ (.A0(\u_usb_host.reg_rdata[7] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][7] ),
     .S(_07440_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07441_));
- sky130_fd_sc_hd__clkbuf_1 _12296_ (.A(_07441_),
+ sky130_fd_sc_hd__clkbuf_1 _12287_ (.A(_07441_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00402_));
- sky130_fd_sc_hd__mux2_1 _12297_ (.A0(\u_usb_host.reg_rdata[16] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][16] ),
+    .X(_00394_));
+ sky130_fd_sc_hd__mux2_1 _12288_ (.A0(\u_usb_host.reg_rdata[8] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][8] ),
     .S(_07440_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07442_));
- sky130_fd_sc_hd__clkbuf_1 _12298_ (.A(_07442_),
+ sky130_fd_sc_hd__clkbuf_1 _12289_ (.A(_07442_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00403_));
- sky130_fd_sc_hd__mux2_1 _12299_ (.A0(\u_usb_host.reg_rdata[17] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][17] ),
+    .X(_00395_));
+ sky130_fd_sc_hd__mux2_1 _12290_ (.A0(\u_usb_host.reg_rdata[9] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][9] ),
     .S(_07440_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07443_));
- sky130_fd_sc_hd__clkbuf_1 _12300_ (.A(_07443_),
+ sky130_fd_sc_hd__clkbuf_1 _12291_ (.A(_07443_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00404_));
- sky130_fd_sc_hd__mux2_1 _12301_ (.A0(\u_usb_host.reg_rdata[18] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][18] ),
+    .X(_00396_));
+ sky130_fd_sc_hd__mux2_1 _12292_ (.A0(\u_usb_host.reg_rdata[10] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][10] ),
     .S(_07440_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07444_));
- sky130_fd_sc_hd__clkbuf_1 _12302_ (.A(_07444_),
+ sky130_fd_sc_hd__clkbuf_1 _12293_ (.A(_07444_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00405_));
- sky130_fd_sc_hd__clkbuf_2 _12303_ (.A(_07434_),
+    .X(_00397_));
+ sky130_fd_sc_hd__clkbuf_2 _12294_ (.A(_07429_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07445_));
- sky130_fd_sc_hd__mux2_1 _12304_ (.A0(\u_usb_host.reg_rdata[19] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][19] ),
-    .S(_07445_),
+ sky130_fd_sc_hd__clkbuf_2 _12295_ (.A(_07445_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07446_));
- sky130_fd_sc_hd__clkbuf_1 _12305_ (.A(_07446_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00406_));
- sky130_fd_sc_hd__mux2_1 _12306_ (.A0(\u_usb_host.reg_rdata[20] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][20] ),
-    .S(_07445_),
+ sky130_fd_sc_hd__mux2_1 _12296_ (.A0(\u_usb_host.reg_rdata[11] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][11] ),
+    .S(_07446_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07447_));
- sky130_fd_sc_hd__clkbuf_1 _12307_ (.A(_07447_),
+ sky130_fd_sc_hd__clkbuf_1 _12297_ (.A(_07447_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00407_));
- sky130_fd_sc_hd__mux2_1 _12308_ (.A0(\u_usb_host.reg_rdata[21] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][21] ),
-    .S(_07445_),
+    .X(_00398_));
+ sky130_fd_sc_hd__mux2_1 _12298_ (.A0(\u_usb_host.reg_rdata[12] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][12] ),
+    .S(_07446_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07448_));
- sky130_fd_sc_hd__clkbuf_1 _12309_ (.A(_07448_),
+ sky130_fd_sc_hd__clkbuf_1 _12299_ (.A(_07448_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00408_));
- sky130_fd_sc_hd__mux2_1 _12310_ (.A0(\u_usb_host.reg_rdata[22] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][22] ),
-    .S(_07445_),
+    .X(_00399_));
+ sky130_fd_sc_hd__mux2_1 _12300_ (.A0(\u_usb_host.reg_rdata[13] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][13] ),
+    .S(_07446_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07449_));
- sky130_fd_sc_hd__clkbuf_1 _12311_ (.A(_07449_),
+ sky130_fd_sc_hd__clkbuf_1 _12301_ (.A(_07449_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00409_));
- sky130_fd_sc_hd__clkbuf_2 _12312_ (.A(_07434_),
+    .X(_00400_));
+ sky130_fd_sc_hd__mux2_1 _12302_ (.A0(\u_usb_host.reg_rdata[14] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][14] ),
+    .S(_07446_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07450_));
- sky130_fd_sc_hd__mux2_1 _12313_ (.A0(\u_usb_host.reg_rdata[23] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][23] ),
-    .S(_07450_),
+ sky130_fd_sc_hd__clkbuf_1 _12303_ (.A(_07450_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00401_));
+ sky130_fd_sc_hd__clkbuf_2 _12304_ (.A(_07445_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07451_));
- sky130_fd_sc_hd__clkbuf_1 _12314_ (.A(_07451_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00410_));
- sky130_fd_sc_hd__mux2_1 _12315_ (.A0(\u_usb_host.reg_rdata[24] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][24] ),
-    .S(_07450_),
+ sky130_fd_sc_hd__mux2_1 _12305_ (.A0(\u_usb_host.reg_rdata[15] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][15] ),
+    .S(_07451_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07452_));
- sky130_fd_sc_hd__clkbuf_1 _12316_ (.A(_07452_),
+ sky130_fd_sc_hd__clkbuf_1 _12306_ (.A(_07452_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00411_));
- sky130_fd_sc_hd__mux2_1 _12317_ (.A0(\u_usb_host.reg_rdata[25] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][25] ),
-    .S(_07450_),
+    .X(_00402_));
+ sky130_fd_sc_hd__mux2_1 _12307_ (.A0(\u_usb_host.reg_rdata[16] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][16] ),
+    .S(_07451_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07453_));
- sky130_fd_sc_hd__clkbuf_1 _12318_ (.A(_07453_),
+ sky130_fd_sc_hd__clkbuf_1 _12308_ (.A(_07453_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00412_));
- sky130_fd_sc_hd__mux2_1 _12319_ (.A0(\u_usb_host.reg_rdata[26] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][26] ),
-    .S(_07450_),
+    .X(_00403_));
+ sky130_fd_sc_hd__mux2_1 _12309_ (.A0(\u_usb_host.reg_rdata[17] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][17] ),
+    .S(_07451_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07454_));
- sky130_fd_sc_hd__clkbuf_1 _12320_ (.A(_07454_),
+ sky130_fd_sc_hd__clkbuf_1 _12310_ (.A(_07454_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00413_));
- sky130_fd_sc_hd__clkbuf_2 _12321_ (.A(_07418_),
+    .X(_00404_));
+ sky130_fd_sc_hd__mux2_1 _12311_ (.A0(\u_usb_host.reg_rdata[18] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][18] ),
+    .S(_07451_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07455_));
- sky130_fd_sc_hd__mux2_1 _12322_ (.A0(\u_usb_host.reg_rdata[27] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][27] ),
-    .S(_07455_),
+ sky130_fd_sc_hd__clkbuf_1 _12312_ (.A(_07455_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00405_));
+ sky130_fd_sc_hd__clkbuf_2 _12313_ (.A(_07445_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07456_));
- sky130_fd_sc_hd__clkbuf_1 _12323_ (.A(_07456_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00414_));
- sky130_fd_sc_hd__mux2_1 _12324_ (.A0(\u_usb_host.reg_rdata[28] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][28] ),
-    .S(_07455_),
+ sky130_fd_sc_hd__mux2_1 _12314_ (.A0(\u_usb_host.reg_rdata[19] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][19] ),
+    .S(_07456_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07457_));
- sky130_fd_sc_hd__clkbuf_1 _12325_ (.A(_07457_),
+ sky130_fd_sc_hd__clkbuf_1 _12315_ (.A(_07457_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00415_));
- sky130_fd_sc_hd__mux2_1 _12326_ (.A0(\u_usb_host.reg_rdata[29] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][29] ),
-    .S(_07455_),
+    .X(_00406_));
+ sky130_fd_sc_hd__mux2_1 _12316_ (.A0(\u_usb_host.reg_rdata[20] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][20] ),
+    .S(_07456_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07458_));
- sky130_fd_sc_hd__clkbuf_1 _12327_ (.A(_07458_),
+ sky130_fd_sc_hd__clkbuf_1 _12317_ (.A(_07458_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00416_));
- sky130_fd_sc_hd__mux2_1 _12328_ (.A0(\u_usb_host.reg_rdata[30] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][30] ),
-    .S(_07455_),
+    .X(_00407_));
+ sky130_fd_sc_hd__mux2_1 _12318_ (.A0(\u_usb_host.reg_rdata[21] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][21] ),
+    .S(_07456_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07459_));
- sky130_fd_sc_hd__clkbuf_1 _12329_ (.A(_07459_),
+ sky130_fd_sc_hd__clkbuf_1 _12319_ (.A(_07459_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00417_));
- sky130_fd_sc_hd__mux2_1 _12330_ (.A0(\u_usb_host.reg_rdata[31] ),
-    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][31] ),
-    .S(_07423_),
+    .X(_00408_));
+ sky130_fd_sc_hd__mux2_1 _12320_ (.A0(\u_usb_host.reg_rdata[22] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][22] ),
+    .S(_07456_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07460_));
- sky130_fd_sc_hd__clkbuf_1 _12331_ (.A(_07460_),
+ sky130_fd_sc_hd__clkbuf_1 _12321_ (.A(_07460_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00418_));
- sky130_fd_sc_hd__and2_1 _12332_ (.A(_06283_),
-    .B(_06297_),
+    .X(_00409_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12322_ (.A(_07445_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07461_));
- sky130_fd_sc_hd__buf_2 _12333_ (.A(_07461_),
+ sky130_fd_sc_hd__mux2_1 _12323_ (.A0(\u_usb_host.reg_rdata[23] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][23] ),
+    .S(_07461_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07462_));
- sky130_fd_sc_hd__mux2_1 _12334_ (.A0(\u_uart_core.u_rxfifo.mem[8][0] ),
-    .A1(_06295_),
-    .S(_07462_),
+ sky130_fd_sc_hd__clkbuf_1 _12324_ (.A(_07462_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00410_));
+ sky130_fd_sc_hd__mux2_1 _12325_ (.A0(\u_usb_host.reg_rdata[24] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][24] ),
+    .S(_07461_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07463_));
- sky130_fd_sc_hd__clkbuf_1 _12335_ (.A(_07463_),
+ sky130_fd_sc_hd__clkbuf_1 _12326_ (.A(_07463_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00419_));
- sky130_fd_sc_hd__mux2_1 _12336_ (.A0(\u_uart_core.u_rxfifo.mem[8][1] ),
-    .A1(_06301_),
-    .S(_07462_),
+    .X(_00411_));
+ sky130_fd_sc_hd__mux2_1 _12327_ (.A0(\u_usb_host.reg_rdata[25] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][25] ),
+    .S(_07461_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07464_));
- sky130_fd_sc_hd__clkbuf_1 _12337_ (.A(_07464_),
+ sky130_fd_sc_hd__clkbuf_1 _12328_ (.A(_07464_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00420_));
- sky130_fd_sc_hd__mux2_1 _12338_ (.A0(\u_uart_core.u_rxfifo.mem[8][2] ),
-    .A1(_06303_),
-    .S(_07462_),
+    .X(_00412_));
+ sky130_fd_sc_hd__mux2_1 _12329_ (.A0(\u_usb_host.reg_rdata[26] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][26] ),
+    .S(_07461_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07465_));
- sky130_fd_sc_hd__clkbuf_1 _12339_ (.A(_07465_),
+ sky130_fd_sc_hd__clkbuf_1 _12330_ (.A(_07465_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00421_));
- sky130_fd_sc_hd__mux2_1 _12340_ (.A0(\u_uart_core.u_rxfifo.mem[8][3] ),
-    .A1(_06257_),
-    .S(_07462_),
+    .X(_00413_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12331_ (.A(_07429_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07466_));
- sky130_fd_sc_hd__clkbuf_1 _12341_ (.A(_07466_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00422_));
- sky130_fd_sc_hd__buf_2 _12342_ (.A(_07461_),
+ sky130_fd_sc_hd__mux2_1 _12332_ (.A0(\u_usb_host.reg_rdata[27] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][27] ),
+    .S(_07466_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07467_));
- sky130_fd_sc_hd__mux2_1 _12343_ (.A0(\u_uart_core.u_rxfifo.mem[8][4] ),
-    .A1(_06306_),
-    .S(_07467_),
+ sky130_fd_sc_hd__clkbuf_1 _12333_ (.A(_07467_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00414_));
+ sky130_fd_sc_hd__mux2_1 _12334_ (.A0(\u_usb_host.reg_rdata[28] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][28] ),
+    .S(_07466_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07468_));
- sky130_fd_sc_hd__clkbuf_1 _12344_ (.A(_07468_),
+ sky130_fd_sc_hd__clkbuf_1 _12335_ (.A(_07468_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00423_));
- sky130_fd_sc_hd__mux2_1 _12345_ (.A0(\u_uart_core.u_rxfifo.mem[8][5] ),
-    .A1(_06309_),
-    .S(_07467_),
+    .X(_00415_));
+ sky130_fd_sc_hd__mux2_1 _12336_ (.A0(\u_usb_host.reg_rdata[29] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][29] ),
+    .S(_07466_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07469_));
- sky130_fd_sc_hd__clkbuf_1 _12346_ (.A(_07469_),
+ sky130_fd_sc_hd__clkbuf_1 _12337_ (.A(_07469_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00424_));
- sky130_fd_sc_hd__mux2_1 _12347_ (.A0(\u_uart_core.u_rxfifo.mem[8][6] ),
-    .A1(_06311_),
-    .S(_07467_),
+    .X(_00416_));
+ sky130_fd_sc_hd__mux2_1 _12338_ (.A0(\u_usb_host.reg_rdata[30] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][30] ),
+    .S(_07466_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07470_));
- sky130_fd_sc_hd__clkbuf_1 _12348_ (.A(_07470_),
+ sky130_fd_sc_hd__clkbuf_1 _12339_ (.A(_07470_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00425_));
- sky130_fd_sc_hd__mux2_1 _12349_ (.A0(\u_uart_core.u_rxfifo.mem[8][7] ),
-    .A1(_06266_),
-    .S(_07467_),
+    .X(_00417_));
+ sky130_fd_sc_hd__mux2_1 _12340_ (.A0(\u_usb_host.reg_rdata[31] ),
+    .A1(\u_usb_host.u_async_wb.u_resp_if.mem[0][31] ),
+    .S(_07434_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07471_));
- sky130_fd_sc_hd__clkbuf_1 _12350_ (.A(_07471_),
+ sky130_fd_sc_hd__clkbuf_1 _12341_ (.A(_07471_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00426_));
- sky130_fd_sc_hd__inv_2 _12351_ (.A(\u_usb_host.u_async_wb.u_cmd_if.wr_ptr[0] ),
+    .X(_00418_));
+ sky130_fd_sc_hd__and4b_1 _12342_ (.A_N(_06687_),
+    .B(_06149_),
+    .C(_06281_),
+    .D(_06300_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07472_));
- sky130_fd_sc_hd__and2b_1 _12352_ (.A_N(\u_usb_host.u_async_wb.u_cmd_if.grey_wr_ptr[2] ),
-    .B(\u_usb_host.u_async_wb.u_cmd_if.sync_rd_ptr[2] ),
+    .X(_07472_));
+ sky130_fd_sc_hd__buf_2 _12343_ (.A(_07472_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07473_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12353_ (.A(\u_usb_host.u_async_wb.u_cmd_if.grey_wr_ptr[2] ),
+ sky130_fd_sc_hd__mux2_1 _12344_ (.A0(\u_uart_core.u_rxfifo.mem[8][0] ),
+    .A1(_06280_),
+    .S(_07473_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07474_));
- sky130_fd_sc_hd__and2b_1 _12354_ (.A_N(\u_usb_host.u_async_wb.u_cmd_if.sync_rd_ptr[2] ),
-    .B(_07474_),
+ sky130_fd_sc_hd__clkbuf_1 _12345_ (.A(_07474_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00419_));
+ sky130_fd_sc_hd__mux2_1 _12346_ (.A0(\u_uart_core.u_rxfifo.mem[8][1] ),
+    .A1(_06286_),
+    .S(_07473_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07475_));
- sky130_fd_sc_hd__xnor2_1 _12355_ (.A(\u_usb_host.u_async_wb.u_cmd_if.wr_ptr[1] ),
-    .B(\u_usb_host.u_async_wb.u_cmd_if.sync_rd_ptr_1[1] ),
+ sky130_fd_sc_hd__clkbuf_1 _12347_ (.A(_07475_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07476_));
- sky130_fd_sc_hd__mux2_1 _12356_ (.A0(_07473_),
-    .A1(_07475_),
-    .S(_07476_),
+    .X(_00420_));
+ sky130_fd_sc_hd__mux2_1 _12348_ (.A0(\u_uart_core.u_rxfifo.mem[8][2] ),
+    .A1(_06288_),
+    .S(_07473_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07476_));
+ sky130_fd_sc_hd__clkbuf_1 _12349_ (.A(_07476_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00421_));
+ sky130_fd_sc_hd__mux2_1 _12350_ (.A0(\u_uart_core.u_rxfifo.mem[8][3] ),
+    .A1(_06269_),
+    .S(_07473_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07477_));
- sky130_fd_sc_hd__inv_2 _12357_ (.A(\u_usb_host.u_async_wb.u_cmd_if.wr_ptr[1] ),
+ sky130_fd_sc_hd__clkbuf_1 _12351_ (.A(_07477_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07478_));
- sky130_fd_sc_hd__o211ai_1 _12358_ (.A1(_07473_),
-    .A2(_07475_),
-    .B1(_07478_),
-    .C1(\u_usb_host.u_async_wb.u_cmd_if.sync_rd_ptr_1[0] ),
+    .X(_00422_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12352_ (.A(_07472_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07479_));
- sky130_fd_sc_hd__nand2_1 _12359_ (.A(\u_usb_host.u_async_wb.u_cmd_if.sync_rd_ptr_1[1] ),
-    .B(_07474_),
+    .X(_07478_));
+ sky130_fd_sc_hd__mux2_1 _12353_ (.A0(\u_uart_core.u_rxfifo.mem[8][4] ),
+    .A1(_06291_),
+    .S(_07478_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07480_));
- sky130_fd_sc_hd__or2_1 _12360_ (.A(\u_usb_host.u_async_wb.u_cmd_if.sync_rd_ptr_1[1] ),
-    .B(\u_usb_host.u_async_wb.u_cmd_if.grey_wr_ptr[2] ),
+    .X(_07479_));
+ sky130_fd_sc_hd__clkbuf_1 _12354_ (.A(_07479_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00423_));
+ sky130_fd_sc_hd__mux2_1 _12355_ (.A0(\u_uart_core.u_rxfifo.mem[8][5] ),
+    .A1(_06294_),
+    .S(_07478_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07480_));
+ sky130_fd_sc_hd__clkbuf_1 _12356_ (.A(_07480_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00424_));
+ sky130_fd_sc_hd__mux2_1 _12357_ (.A0(\u_uart_core.u_rxfifo.mem[8][6] ),
+    .A1(_06296_),
+    .S(_07478_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07481_));
- sky130_fd_sc_hd__a211o_1 _12361_ (.A1(_07480_),
-    .A2(_07481_),
-    .B1(_07478_),
-    .C1(\u_usb_host.u_async_wb.u_cmd_if.sync_rd_ptr_1[0] ),
+ sky130_fd_sc_hd__clkbuf_1 _12358_ (.A(_07481_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00425_));
+ sky130_fd_sc_hd__mux2_1 _12359_ (.A0(\u_uart_core.u_rxfifo.mem[8][7] ),
+    .A1(_06278_),
+    .S(_07478_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07482_));
- sky130_fd_sc_hd__a21oi_1 _12362_ (.A1(_07479_),
-    .A2(_07482_),
-    .B1(_07472_),
+ sky130_fd_sc_hd__clkbuf_1 _12360_ (.A(_07482_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07483_));
- sky130_fd_sc_hd__a2111o_1 _12363_ (.A1(_07472_),
-    .A2(_07477_),
-    .B1(_07483_),
-    .C1(_06031_),
-    .D1(\u_usb_host.u_async_wb.PendingRd ),
+    .X(_00426_));
+ sky130_fd_sc_hd__clkbuf_1 _12361_ (.A(net15),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07483_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12362_ (.A(_07483_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07484_));
- sky130_fd_sc_hd__clkbuf_1 _12364_ (.A(\u_usb_host.u_async_wb.u_cmd_if.wr_ptr[1] ),
+ sky130_fd_sc_hd__and3b_1 _12363_ (.A_N(_05809_),
+    .B(_05804_),
+    .C(_05818_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07485_));
- sky130_fd_sc_hd__and3b_1 _12365_ (.A_N(_07484_),
-    .B(_07472_),
-    .C(_07485_),
+ sky130_fd_sc_hd__clkbuf_2 _12364_ (.A(_07485_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07486_));
- sky130_fd_sc_hd__clkbuf_2 _12366_ (.A(_07486_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12365_ (.A(_07486_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07487_));
- sky130_fd_sc_hd__clkbuf_2 _12367_ (.A(_07487_),
+ sky130_fd_sc_hd__mux2_1 _12366_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][4] ),
+    .A1(_07484_),
+    .S(_07487_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07488_));
- sky130_fd_sc_hd__mux2_1 _12368_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][4] ),
-    .A1(_07400_),
-    .S(_07488_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07489_));
- sky130_fd_sc_hd__clkbuf_1 _12369_ (.A(_07489_),
+ sky130_fd_sc_hd__clkbuf_1 _12367_ (.A(_07488_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00427_));
- sky130_fd_sc_hd__mux2_1 _12370_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][5] ),
-    .A1(_07397_),
-    .S(_07488_),
+ sky130_fd_sc_hd__clkbuf_1 _12368_ (.A(net26),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07489_));
+ sky130_fd_sc_hd__clkbuf_2 _12369_ (.A(_07489_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07490_));
- sky130_fd_sc_hd__clkbuf_1 _12371_ (.A(_07490_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00428_));
- sky130_fd_sc_hd__mux2_1 _12372_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][6] ),
-    .A1(_07394_),
-    .S(_07488_),
+ sky130_fd_sc_hd__mux2_1 _12370_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][5] ),
+    .A1(_07490_),
+    .S(_07487_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07491_));
- sky130_fd_sc_hd__clkbuf_1 _12373_ (.A(_07491_),
+ sky130_fd_sc_hd__clkbuf_1 _12371_ (.A(_07491_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00429_));
- sky130_fd_sc_hd__mux2_1 _12374_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][7] ),
-    .A1(_06951_),
-    .S(_07488_),
+    .X(_00428_));
+ sky130_fd_sc_hd__clkbuf_1 _12372_ (.A(net37),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07492_));
- sky130_fd_sc_hd__clkbuf_1 _12375_ (.A(_07492_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00430_));
- sky130_fd_sc_hd__clkbuf_2 _12376_ (.A(_07486_),
+ sky130_fd_sc_hd__clkbuf_2 _12373_ (.A(_07492_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07493_));
- sky130_fd_sc_hd__clkbuf_2 _12377_ (.A(_07493_),
+ sky130_fd_sc_hd__mux2_1 _12374_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][6] ),
+    .A1(_07493_),
+    .S(_07487_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07494_));
- sky130_fd_sc_hd__clkbuf_2 _12378_ (.A(_07494_),
+ sky130_fd_sc_hd__clkbuf_1 _12375_ (.A(_07494_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00429_));
+ sky130_fd_sc_hd__mux2_1 _12376_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][7] ),
+    .A1(_07383_),
+    .S(_07487_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07495_));
- sky130_fd_sc_hd__mux2_1 _12379_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][8] ),
-    .A1(_06453_),
-    .S(_07495_),
+ sky130_fd_sc_hd__clkbuf_1 _12377_ (.A(_07495_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00430_));
+ sky130_fd_sc_hd__clkbuf_2 _12378_ (.A(_07485_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07496_));
- sky130_fd_sc_hd__clkbuf_1 _12380_ (.A(_07496_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00431_));
- sky130_fd_sc_hd__mux2_1 _12381_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][9] ),
-    .A1(_06956_),
-    .S(_07495_),
+ sky130_fd_sc_hd__clkbuf_4 _12379_ (.A(_07496_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07497_));
- sky130_fd_sc_hd__clkbuf_1 _12382_ (.A(_07497_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00432_));
- sky130_fd_sc_hd__mux2_1 _12383_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][10] ),
-    .A1(_06959_),
-    .S(_07495_),
+ sky130_fd_sc_hd__clkbuf_2 _12380_ (.A(_07497_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07498_));
- sky130_fd_sc_hd__clkbuf_1 _12384_ (.A(_07498_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00433_));
- sky130_fd_sc_hd__mux2_1 _12385_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][11] ),
-    .A1(_06962_),
-    .S(_07495_),
+ sky130_fd_sc_hd__mux2_1 _12381_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][8] ),
+    .A1(_07386_),
+    .S(_07498_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07499_));
- sky130_fd_sc_hd__clkbuf_1 _12386_ (.A(_07499_),
+ sky130_fd_sc_hd__clkbuf_1 _12382_ (.A(_07499_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00434_));
- sky130_fd_sc_hd__clkbuf_1 _12387_ (.A(net45),
+    .X(_00431_));
+ sky130_fd_sc_hd__mux2_1 _12383_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][9] ),
+    .A1(_07398_),
+    .S(_07498_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07500_));
- sky130_fd_sc_hd__clkbuf_2 _12388_ (.A(_07494_),
+ sky130_fd_sc_hd__clkbuf_1 _12384_ (.A(_07500_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00432_));
+ sky130_fd_sc_hd__mux2_1 _12385_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][10] ),
+    .A1(_07393_),
+    .S(_07498_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07501_));
- sky130_fd_sc_hd__mux2_1 _12389_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][12] ),
-    .A1(_07500_),
-    .S(_07501_),
+ sky130_fd_sc_hd__clkbuf_1 _12386_ (.A(_07501_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00433_));
+ sky130_fd_sc_hd__mux2_1 _12387_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][11] ),
+    .A1(_07411_),
+    .S(_07498_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07502_));
- sky130_fd_sc_hd__clkbuf_1 _12390_ (.A(_07502_),
+ sky130_fd_sc_hd__clkbuf_1 _12388_ (.A(_07502_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00435_));
- sky130_fd_sc_hd__clkbuf_1 _12391_ (.A(net46),
+    .X(_00434_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12389_ (.A(net45),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07503_));
- sky130_fd_sc_hd__mux2_1 _12392_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][13] ),
-    .A1(_07503_),
-    .S(_07501_),
+ sky130_fd_sc_hd__clkbuf_2 _12390_ (.A(_07497_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07504_));
- sky130_fd_sc_hd__clkbuf_1 _12393_ (.A(_07504_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00436_));
- sky130_fd_sc_hd__clkbuf_1 _12394_ (.A(net16),
+ sky130_fd_sc_hd__mux2_1 _12391_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][12] ),
+    .A1(_07503_),
+    .S(_07504_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07505_));
- sky130_fd_sc_hd__mux2_1 _12395_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][14] ),
-    .A1(_07505_),
-    .S(_07501_),
+ sky130_fd_sc_hd__clkbuf_1 _12392_ (.A(_07505_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00435_));
+ sky130_fd_sc_hd__clkbuf_1 _12393_ (.A(net46),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07506_));
- sky130_fd_sc_hd__clkbuf_1 _12396_ (.A(_07506_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00437_));
- sky130_fd_sc_hd__clkbuf_1 _12397_ (.A(net17),
+ sky130_fd_sc_hd__mux2_1 _12394_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][13] ),
+    .A1(_07506_),
+    .S(_07504_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07507_));
- sky130_fd_sc_hd__mux2_1 _12398_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][15] ),
-    .A1(_07507_),
-    .S(_07501_),
+ sky130_fd_sc_hd__clkbuf_1 _12395_ (.A(_07507_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00436_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12396_ (.A(net16),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07508_));
- sky130_fd_sc_hd__clkbuf_1 _12399_ (.A(_07508_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00438_));
- sky130_fd_sc_hd__clkbuf_1 _12400_ (.A(net18),
+ sky130_fd_sc_hd__mux2_1 _12397_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][14] ),
+    .A1(_07508_),
+    .S(_07504_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07509_));
- sky130_fd_sc_hd__clkbuf_2 _12401_ (.A(_07494_),
+ sky130_fd_sc_hd__clkbuf_1 _12398_ (.A(_07509_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00437_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12399_ (.A(net17),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07510_));
- sky130_fd_sc_hd__mux2_1 _12402_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][16] ),
-    .A1(_07509_),
-    .S(_07510_),
+ sky130_fd_sc_hd__mux2_1 _12400_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][15] ),
+    .A1(_07510_),
+    .S(_07504_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07511_));
- sky130_fd_sc_hd__clkbuf_1 _12403_ (.A(_07511_),
+ sky130_fd_sc_hd__clkbuf_1 _12401_ (.A(_07511_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00439_));
- sky130_fd_sc_hd__clkbuf_1 _12404_ (.A(net19),
+    .X(_00438_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12402_ (.A(net18),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07512_));
- sky130_fd_sc_hd__mux2_1 _12405_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][17] ),
-    .A1(_07512_),
-    .S(_07510_),
+ sky130_fd_sc_hd__clkbuf_2 _12403_ (.A(_07497_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07513_));
- sky130_fd_sc_hd__clkbuf_1 _12406_ (.A(_07513_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00440_));
- sky130_fd_sc_hd__clkbuf_1 _12407_ (.A(net20),
+ sky130_fd_sc_hd__mux2_1 _12404_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][16] ),
+    .A1(_07512_),
+    .S(_07513_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07514_));
- sky130_fd_sc_hd__mux2_1 _12408_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][18] ),
-    .A1(_07514_),
-    .S(_07510_),
+ sky130_fd_sc_hd__clkbuf_1 _12405_ (.A(_07514_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00439_));
+ sky130_fd_sc_hd__clkbuf_1 _12406_ (.A(net19),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07515_));
- sky130_fd_sc_hd__clkbuf_1 _12409_ (.A(_07515_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00441_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12410_ (.A(net21),
+ sky130_fd_sc_hd__mux2_1 _12407_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][17] ),
+    .A1(_07515_),
+    .S(_07513_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07516_));
- sky130_fd_sc_hd__mux2_1 _12411_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][19] ),
-    .A1(_07516_),
-    .S(_07510_),
+ sky130_fd_sc_hd__clkbuf_1 _12408_ (.A(_07516_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00440_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12409_ (.A(net20),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07517_));
- sky130_fd_sc_hd__clkbuf_1 _12412_ (.A(_07517_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00442_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12413_ (.A(net22),
+ sky130_fd_sc_hd__mux2_1 _12410_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][18] ),
+    .A1(_07517_),
+    .S(_07513_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07518_));
- sky130_fd_sc_hd__clkbuf_2 _12414_ (.A(_07494_),
+ sky130_fd_sc_hd__clkbuf_1 _12411_ (.A(_07518_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00441_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12412_ (.A(net21),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07519_));
- sky130_fd_sc_hd__mux2_1 _12415_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][20] ),
-    .A1(_07518_),
-    .S(_07519_),
+ sky130_fd_sc_hd__mux2_1 _12413_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][19] ),
+    .A1(_07519_),
+    .S(_07513_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07520_));
- sky130_fd_sc_hd__clkbuf_1 _12416_ (.A(_07520_),
+ sky130_fd_sc_hd__clkbuf_1 _12414_ (.A(_07520_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00443_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12417_ (.A(net23),
+    .X(_00442_));
+ sky130_fd_sc_hd__clkbuf_1 _12415_ (.A(net22),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07521_));
- sky130_fd_sc_hd__mux2_1 _12418_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][21] ),
-    .A1(_07521_),
-    .S(_07519_),
+ sky130_fd_sc_hd__clkbuf_2 _12416_ (.A(_07497_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07522_));
- sky130_fd_sc_hd__clkbuf_1 _12419_ (.A(_07522_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00444_));
- sky130_fd_sc_hd__clkbuf_1 _12420_ (.A(net24),
+ sky130_fd_sc_hd__mux2_1 _12417_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][20] ),
+    .A1(_07521_),
+    .S(_07522_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07523_));
- sky130_fd_sc_hd__mux2_1 _12421_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][22] ),
-    .A1(_07523_),
-    .S(_07519_),
+ sky130_fd_sc_hd__clkbuf_1 _12418_ (.A(_07523_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00443_));
+ sky130_fd_sc_hd__clkbuf_1 _12419_ (.A(net23),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07524_));
- sky130_fd_sc_hd__clkbuf_1 _12422_ (.A(_07524_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00445_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12423_ (.A(net25),
+ sky130_fd_sc_hd__mux2_1 _12420_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][21] ),
+    .A1(_07524_),
+    .S(_07522_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07525_));
- sky130_fd_sc_hd__mux2_1 _12424_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][23] ),
-    .A1(_07525_),
-    .S(_07519_),
+ sky130_fd_sc_hd__clkbuf_1 _12421_ (.A(_07525_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00444_));
+ sky130_fd_sc_hd__clkbuf_1 _12422_ (.A(net24),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07526_));
- sky130_fd_sc_hd__clkbuf_1 _12425_ (.A(_07526_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00446_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12426_ (.A(net27),
+ sky130_fd_sc_hd__mux2_1 _12423_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][22] ),
+    .A1(_07526_),
+    .S(_07522_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07527_));
- sky130_fd_sc_hd__buf_2 _12427_ (.A(_07493_),
+ sky130_fd_sc_hd__clkbuf_1 _12424_ (.A(_07527_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00445_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12425_ (.A(net25),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07528_));
- sky130_fd_sc_hd__mux2_1 _12428_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][24] ),
-    .A1(_07527_),
-    .S(_07528_),
+ sky130_fd_sc_hd__mux2_1 _12426_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][23] ),
+    .A1(_07528_),
+    .S(_07522_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07529_));
- sky130_fd_sc_hd__clkbuf_1 _12429_ (.A(_07529_),
+ sky130_fd_sc_hd__clkbuf_1 _12427_ (.A(_07529_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00447_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12430_ (.A(net28),
+    .X(_00446_));
+ sky130_fd_sc_hd__clkbuf_1 _12428_ (.A(net27),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07530_));
- sky130_fd_sc_hd__mux2_1 _12431_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][25] ),
-    .A1(_07530_),
-    .S(_07528_),
+ sky130_fd_sc_hd__clkbuf_2 _12429_ (.A(_07496_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07531_));
- sky130_fd_sc_hd__clkbuf_1 _12432_ (.A(_07531_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00448_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12433_ (.A(net29),
+ sky130_fd_sc_hd__mux2_1 _12430_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][24] ),
+    .A1(_07530_),
+    .S(_07531_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07532_));
- sky130_fd_sc_hd__mux2_1 _12434_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][26] ),
-    .A1(_07532_),
-    .S(_07528_),
+ sky130_fd_sc_hd__clkbuf_1 _12431_ (.A(_07532_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00447_));
+ sky130_fd_sc_hd__clkbuf_1 _12432_ (.A(net28),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07533_));
- sky130_fd_sc_hd__clkbuf_1 _12435_ (.A(_07533_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00449_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12436_ (.A(net30),
+ sky130_fd_sc_hd__mux2_1 _12433_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][25] ),
+    .A1(_07533_),
+    .S(_07531_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07534_));
- sky130_fd_sc_hd__mux2_1 _12437_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][27] ),
-    .A1(_07534_),
-    .S(_07528_),
+ sky130_fd_sc_hd__clkbuf_1 _12434_ (.A(_07534_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00448_));
+ sky130_fd_sc_hd__clkbuf_1 _12435_ (.A(net29),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07535_));
- sky130_fd_sc_hd__clkbuf_1 _12438_ (.A(_07535_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00450_));
- sky130_fd_sc_hd__clkbuf_1 _12439_ (.A(net35),
+ sky130_fd_sc_hd__mux2_1 _12436_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][26] ),
+    .A1(_07535_),
+    .S(_07531_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07536_));
- sky130_fd_sc_hd__clkbuf_2 _12440_ (.A(_07493_),
+ sky130_fd_sc_hd__clkbuf_1 _12437_ (.A(_07536_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00449_));
+ sky130_fd_sc_hd__clkbuf_1 _12438_ (.A(net30),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07537_));
- sky130_fd_sc_hd__mux2_1 _12441_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][32] ),
-    .A1(_07536_),
-    .S(_07537_),
+ sky130_fd_sc_hd__mux2_1 _12439_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][27] ),
+    .A1(_07537_),
+    .S(_07531_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07538_));
- sky130_fd_sc_hd__clkbuf_1 _12442_ (.A(_07538_),
+ sky130_fd_sc_hd__clkbuf_1 _12440_ (.A(_07538_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00451_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12443_ (.A(net36),
+    .X(_00450_));
+ sky130_fd_sc_hd__clkbuf_1 _12441_ (.A(net35),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07539_));
- sky130_fd_sc_hd__mux2_1 _12444_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][33] ),
-    .A1(_07539_),
-    .S(_07537_),
+ sky130_fd_sc_hd__clkbuf_2 _12442_ (.A(_07496_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07540_));
- sky130_fd_sc_hd__clkbuf_1 _12445_ (.A(_07540_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00452_));
- sky130_fd_sc_hd__clkbuf_1 _12446_ (.A(net38),
+ sky130_fd_sc_hd__mux2_1 _12443_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][32] ),
+    .A1(_07539_),
+    .S(_07540_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07541_));
- sky130_fd_sc_hd__mux2_1 _12447_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][34] ),
-    .A1(_07541_),
-    .S(_07537_),
+ sky130_fd_sc_hd__clkbuf_1 _12444_ (.A(_07541_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00451_));
+ sky130_fd_sc_hd__clkbuf_1 _12445_ (.A(net36),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07542_));
- sky130_fd_sc_hd__clkbuf_1 _12448_ (.A(_07542_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00453_));
- sky130_fd_sc_hd__buf_2 _12449_ (.A(net39),
+ sky130_fd_sc_hd__mux2_1 _12446_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][33] ),
+    .A1(_07542_),
+    .S(_07540_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07543_));
- sky130_fd_sc_hd__mux2_1 _12450_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][35] ),
-    .A1(_07543_),
-    .S(_07537_),
+ sky130_fd_sc_hd__clkbuf_1 _12447_ (.A(_07543_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00452_));
+ sky130_fd_sc_hd__clkbuf_1 _12448_ (.A(net38),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07544_));
- sky130_fd_sc_hd__clkbuf_1 _12451_ (.A(_07544_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00454_));
- sky130_fd_sc_hd__buf_4 _12452_ (.A(net47),
+ sky130_fd_sc_hd__mux2_1 _12449_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][34] ),
+    .A1(_07544_),
+    .S(_07540_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07545_));
- sky130_fd_sc_hd__buf_2 _12453_ (.A(_07545_),
+ sky130_fd_sc_hd__clkbuf_1 _12450_ (.A(_07545_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00453_));
+ sky130_fd_sc_hd__buf_2 _12451_ (.A(net39),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07546_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12454_ (.A(_07546_),
+ sky130_fd_sc_hd__mux2_1 _12452_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][35] ),
+    .A1(_07546_),
+    .S(_07540_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07547_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12455_ (.A(_07493_),
+ sky130_fd_sc_hd__clkbuf_1 _12453_ (.A(_07547_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00454_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12454_ (.A(_05802_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07548_));
- sky130_fd_sc_hd__mux2_1 _12456_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][36] ),
-    .A1(_07547_),
-    .S(_07548_),
+ sky130_fd_sc_hd__clkbuf_2 _12455_ (.A(_07496_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07549_));
- sky130_fd_sc_hd__clkbuf_1 _12457_ (.A(_07549_),
+ sky130_fd_sc_hd__mux2_1 _12456_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][36] ),
+    .A1(_07548_),
+    .S(_07549_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07550_));
+ sky130_fd_sc_hd__clkbuf_1 _12457_ (.A(_07550_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -184251,13 +183749,13 @@
     .X(_00455_));
  sky130_fd_sc_hd__mux2_1 _12458_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][37] ),
     .A1(net2),
-    .S(_07548_),
+    .S(_07549_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07550_));
- sky130_fd_sc_hd__clkbuf_1 _12459_ (.A(_07550_),
+    .X(_07551_));
+ sky130_fd_sc_hd__clkbuf_1 _12459_ (.A(_07551_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -184265,195 +183763,197 @@
     .X(_00456_));
  sky130_fd_sc_hd__mux2_1 _12460_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][38] ),
     .A1(net3),
-    .S(_07548_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07551_));
- sky130_fd_sc_hd__clkbuf_1 _12461_ (.A(_07551_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00457_));
- sky130_fd_sc_hd__buf_2 _12462_ (.A(_05967_),
+    .S(_07549_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07552_));
- sky130_fd_sc_hd__mux2_1 _12463_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][39] ),
-    .A1(_07552_),
-    .S(_07548_),
+ sky130_fd_sc_hd__clkbuf_1 _12461_ (.A(_07552_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00457_));
+ sky130_fd_sc_hd__buf_2 _12462_ (.A(_06005_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07553_));
- sky130_fd_sc_hd__clkbuf_1 _12464_ (.A(_07553_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00458_));
- sky130_fd_sc_hd__buf_2 _12465_ (.A(_05966_),
+ sky130_fd_sc_hd__mux2_1 _12463_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][39] ),
+    .A1(_07553_),
+    .S(_07549_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07554_));
- sky130_fd_sc_hd__mux2_1 _12466_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][40] ),
-    .A1(_07554_),
-    .S(_07487_),
+ sky130_fd_sc_hd__clkbuf_1 _12464_ (.A(_07554_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00458_));
+ sky130_fd_sc_hd__clkbuf_2 _12465_ (.A(_06004_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07555_));
- sky130_fd_sc_hd__clkbuf_1 _12467_ (.A(_07555_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00459_));
- sky130_fd_sc_hd__clkbuf_2 _12468_ (.A(_05955_),
+ sky130_fd_sc_hd__mux2_1 _12466_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][40] ),
+    .A1(_07555_),
+    .S(_07486_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07556_));
- sky130_fd_sc_hd__mux2_1 _12469_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][41] ),
-    .A1(_07556_),
-    .S(_07487_),
+ sky130_fd_sc_hd__clkbuf_1 _12467_ (.A(_07556_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00459_));
+ sky130_fd_sc_hd__clkbuf_1 _12468_ (.A(_05992_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07557_));
- sky130_fd_sc_hd__clkbuf_1 _12470_ (.A(_07557_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00460_));
- sky130_fd_sc_hd__buf_2 _12471_ (.A(_07182_),
+ sky130_fd_sc_hd__mux2_1 _12469_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][41] ),
+    .A1(_07557_),
+    .S(_07486_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07558_));
- sky130_fd_sc_hd__mux2_1 _12472_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][42] ),
-    .A1(_07558_),
-    .S(_07487_),
+ sky130_fd_sc_hd__clkbuf_1 _12470_ (.A(_07558_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00460_));
+ sky130_fd_sc_hd__buf_2 _12471_ (.A(_07187_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07559_));
- sky130_fd_sc_hd__clkbuf_1 _12473_ (.A(_07559_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00461_));
- sky130_fd_sc_hd__or2b_1 _12474_ (.A(_06426_),
-    .B_N(_06423_),
+ sky130_fd_sc_hd__mux2_1 _12472_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[2][42] ),
+    .A1(_07559_),
+    .S(_07486_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07560_));
- sky130_fd_sc_hd__nor2_1 _12475_ (.A(_06420_),
-    .B(_07560_),
+ sky130_fd_sc_hd__clkbuf_1 _12473_ (.A(_07560_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07561_));
- sky130_fd_sc_hd__clkbuf_2 _12476_ (.A(_07561_),
+    .X(_00461_));
+ sky130_fd_sc_hd__or2b_2 _12474_ (.A(_06422_),
+    .B_N(_06429_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07562_));
- sky130_fd_sc_hd__mux2_1 _12477_ (.A0(\u_uart_core.u_txfifo.mem[11][0] ),
-    .A1(_07400_),
-    .S(_07562_),
+    .X(_07561_));
+ sky130_fd_sc_hd__nor2_1 _12475_ (.A(_06427_),
+    .B(_07561_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_07562_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12476_ (.A(_07562_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07563_));
- sky130_fd_sc_hd__clkbuf_1 _12478_ (.A(_07563_),
+ sky130_fd_sc_hd__mux2_1 _12477_ (.A0(\u_uart_core.u_txfifo.mem[11][0] ),
+    .A1(_07484_),
+    .S(_07563_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07564_));
+ sky130_fd_sc_hd__clkbuf_1 _12478_ (.A(_07564_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00462_));
  sky130_fd_sc_hd__mux2_1 _12479_ (.A0(\u_uart_core.u_txfifo.mem[11][1] ),
-    .A1(_07397_),
-    .S(_07562_),
+    .A1(_07490_),
+    .S(_07563_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07564_));
- sky130_fd_sc_hd__clkbuf_1 _12480_ (.A(_07564_),
+    .X(_07565_));
+ sky130_fd_sc_hd__clkbuf_1 _12480_ (.A(_07565_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00463_));
  sky130_fd_sc_hd__mux2_1 _12481_ (.A0(\u_uart_core.u_txfifo.mem[11][2] ),
-    .A1(_07394_),
-    .S(_07562_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07565_));
- sky130_fd_sc_hd__clkbuf_1 _12482_ (.A(_07565_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00464_));
- sky130_fd_sc_hd__buf_2 _12483_ (.A(_06950_),
+    .A1(_07493_),
+    .S(_07563_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07566_));
- sky130_fd_sc_hd__mux2_1 _12484_ (.A0(\u_uart_core.u_txfifo.mem[11][3] ),
-    .A1(_07566_),
-    .S(_07562_),
+ sky130_fd_sc_hd__clkbuf_1 _12482_ (.A(_07566_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00464_));
+ sky130_fd_sc_hd__mux2_1 _12483_ (.A0(\u_uart_core.u_txfifo.mem[11][3] ),
+    .A1(_07383_),
+    .S(_07563_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07567_));
- sky130_fd_sc_hd__clkbuf_1 _12485_ (.A(_07567_),
+ sky130_fd_sc_hd__clkbuf_1 _12484_ (.A(_07567_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00465_));
- sky130_fd_sc_hd__clkbuf_2 _12486_ (.A(_07026_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12485_ (.A(_07562_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07568_));
- sky130_fd_sc_hd__clkbuf_2 _12487_ (.A(_07561_),
+ sky130_fd_sc_hd__mux2_1 _12486_ (.A0(\u_uart_core.u_txfifo.mem[11][4] ),
+    .A1(_07386_),
+    .S(_07568_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07569_));
- sky130_fd_sc_hd__mux2_1 _12488_ (.A0(\u_uart_core.u_txfifo.mem[11][4] ),
-    .A1(_07568_),
-    .S(_07569_),
+ sky130_fd_sc_hd__clkbuf_1 _12487_ (.A(_07569_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00466_));
+ sky130_fd_sc_hd__mux2_1 _12488_ (.A0(\u_uart_core.u_txfifo.mem[11][5] ),
+    .A1(_07398_),
+    .S(_07568_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -184464,10 +183964,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00466_));
- sky130_fd_sc_hd__mux2_1 _12490_ (.A0(\u_uart_core.u_txfifo.mem[11][5] ),
-    .A1(_06956_),
-    .S(_07569_),
+    .X(_00467_));
+ sky130_fd_sc_hd__mux2_1 _12490_ (.A0(\u_uart_core.u_txfifo.mem[11][6] ),
+    .A1(_07393_),
+    .S(_07568_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -184478,10 +183978,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00467_));
- sky130_fd_sc_hd__mux2_1 _12492_ (.A0(\u_uart_core.u_txfifo.mem[11][6] ),
-    .A1(_06959_),
-    .S(_07569_),
+    .X(_00468_));
+ sky130_fd_sc_hd__mux2_1 _12492_ (.A0(\u_uart_core.u_txfifo.mem[11][7] ),
+    .A1(_07411_),
+    .S(_07568_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -184492,146 +183992,146 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00468_));
- sky130_fd_sc_hd__mux2_1 _12494_ (.A0(\u_uart_core.u_txfifo.mem[11][7] ),
-    .A1(_06962_),
-    .S(_07569_),
+    .X(_00469_));
+ sky130_fd_sc_hd__and3_1 _12494_ (.A(_05802_),
+    .B(_05823_),
+    .C(_06006_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07573_));
- sky130_fd_sc_hd__clkbuf_1 _12495_ (.A(_07573_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00469_));
- sky130_fd_sc_hd__clkbuf_1 _12496_ (.A(_07545_),
+ sky130_fd_sc_hd__buf_2 _12495_ (.A(_07573_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07574_));
- sky130_fd_sc_hd__and3_1 _12497_ (.A(_07574_),
-    .B(_05790_),
-    .C(_05968_),
+ sky130_fd_sc_hd__mux2_1 _12496_ (.A0(\u_i2cm.txr[0] ),
+    .A1(_07484_),
+    .S(_07574_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07575_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12498_ (.A(_07575_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07576_));
- sky130_fd_sc_hd__mux2_1 _12499_ (.A0(\u_i2cm.txr[0] ),
-    .A1(_07400_),
-    .S(_07576_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07577_));
- sky130_fd_sc_hd__clkbuf_1 _12500_ (.A(_07577_),
+ sky130_fd_sc_hd__clkbuf_1 _12497_ (.A(_07575_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00470_));
- sky130_fd_sc_hd__mux2_1 _12501_ (.A0(\u_i2cm.txr[1] ),
-    .A1(_07397_),
-    .S(_07576_),
+ sky130_fd_sc_hd__mux2_1 _12498_ (.A0(\u_i2cm.txr[1] ),
+    .A1(_07490_),
+    .S(_07574_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07578_));
- sky130_fd_sc_hd__clkbuf_1 _12502_ (.A(_07578_),
+    .X(_07576_));
+ sky130_fd_sc_hd__clkbuf_1 _12499_ (.A(_07576_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00471_));
- sky130_fd_sc_hd__mux2_1 _12503_ (.A0(\u_i2cm.txr[2] ),
-    .A1(_07394_),
-    .S(_07576_),
+ sky130_fd_sc_hd__mux2_1 _12500_ (.A0(\u_i2cm.txr[2] ),
+    .A1(_07493_),
+    .S(_07574_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07579_));
- sky130_fd_sc_hd__clkbuf_1 _12504_ (.A(_07579_),
+    .X(_07577_));
+ sky130_fd_sc_hd__clkbuf_1 _12501_ (.A(_07577_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00472_));
- sky130_fd_sc_hd__mux2_1 _12505_ (.A0(\u_i2cm.txr[3] ),
-    .A1(_07566_),
-    .S(_07576_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12502_ (.A(net40),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07578_));
+ sky130_fd_sc_hd__buf_2 _12503_ (.A(_07578_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07579_));
+ sky130_fd_sc_hd__mux2_1 _12504_ (.A0(\u_i2cm.txr[3] ),
+    .A1(_07579_),
+    .S(_07574_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07580_));
- sky130_fd_sc_hd__clkbuf_1 _12506_ (.A(_07580_),
+ sky130_fd_sc_hd__clkbuf_1 _12505_ (.A(_07580_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00473_));
- sky130_fd_sc_hd__clkbuf_2 _12507_ (.A(_07575_),
+ sky130_fd_sc_hd__buf_2 _12506_ (.A(_07385_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07581_));
- sky130_fd_sc_hd__mux2_1 _12508_ (.A0(\u_i2cm.txr[4] ),
-    .A1(_07568_),
-    .S(_07581_),
+ sky130_fd_sc_hd__clkbuf_2 _12507_ (.A(_07573_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07582_));
- sky130_fd_sc_hd__clkbuf_1 _12509_ (.A(_07582_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00474_));
- sky130_fd_sc_hd__clkbuf_2 _12510_ (.A(_06955_),
+ sky130_fd_sc_hd__mux2_1 _12508_ (.A0(\u_i2cm.txr[4] ),
+    .A1(_07581_),
+    .S(_07582_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07583_));
- sky130_fd_sc_hd__mux2_1 _12511_ (.A0(\u_i2cm.txr[5] ),
-    .A1(_07583_),
-    .S(_07581_),
+ sky130_fd_sc_hd__clkbuf_1 _12509_ (.A(_07583_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00474_));
+ sky130_fd_sc_hd__mux2_1 _12510_ (.A0(\u_i2cm.txr[5] ),
+    .A1(_07398_),
+    .S(_07582_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07584_));
- sky130_fd_sc_hd__clkbuf_1 _12512_ (.A(_07584_),
+ sky130_fd_sc_hd__clkbuf_1 _12511_ (.A(_07584_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00475_));
- sky130_fd_sc_hd__buf_2 _12513_ (.A(_06958_),
+ sky130_fd_sc_hd__mux2_1 _12512_ (.A0(\u_i2cm.txr[6] ),
+    .A1(_07393_),
+    .S(_07582_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07585_));
- sky130_fd_sc_hd__mux2_1 _12514_ (.A0(\u_i2cm.txr[6] ),
-    .A1(_07585_),
-    .S(_07581_),
+ sky130_fd_sc_hd__clkbuf_1 _12513_ (.A(_07585_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00476_));
+ sky130_fd_sc_hd__mux2_1 _12514_ (.A0(\u_i2cm.txr[7] ),
+    .A1(_07411_),
+    .S(_07582_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -184642,217 +184142,218 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00476_));
- sky130_fd_sc_hd__buf_2 _12516_ (.A(_06961_),
+    .X(_00477_));
+ sky130_fd_sc_hd__and3_1 _12516_ (.A(_05482_),
+    .B(\u_i2cm.irxack ),
+    .C(_05490_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07587_));
- sky130_fd_sc_hd__mux2_1 _12517_ (.A0(\u_i2cm.txr[7] ),
-    .A1(_07587_),
-    .S(_07581_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07588_));
- sky130_fd_sc_hd__clkbuf_1 _12518_ (.A(_07588_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00477_));
- sky130_fd_sc_hd__and3_1 _12519_ (.A(_05501_),
-    .B(\u_i2cm.irxack ),
-    .C(_05509_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07589_));
- sky130_fd_sc_hd__a31o_1 _12520_ (.A1(_05637_),
+ sky130_fd_sc_hd__a31o_1 _12517_ (.A1(_05619_),
     .A2(\u_i2cm.u_byte_ctrl.core_rxd ),
-    .A3(_05792_),
-    .B1(_07589_),
+    .A3(_05825_),
+    .B1(_07587_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00478_));
- sky130_fd_sc_hd__or2b_1 _12521_ (.A(\u_i2cm.u_byte_ctrl.ld ),
+ sky130_fd_sc_hd__or2b_1 _12518_ (.A(\u_i2cm.u_byte_ctrl.ld ),
     .B_N(\u_i2cm.u_byte_ctrl.shift ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .X(_07588_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12519_ (.A(_07588_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07589_));
+ sky130_fd_sc_hd__clkbuf_1 _12520_ (.A(\u_i2cm.u_byte_ctrl.shift ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .X(_07590_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12522_ (.A(_07590_),
+ sky130_fd_sc_hd__or2_1 _12521_ (.A(\u_i2cm.u_byte_ctrl.ld ),
+    .B(_07590_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07591_));
- sky130_fd_sc_hd__clkbuf_1 _12523_ (.A(\u_i2cm.u_byte_ctrl.shift ),
+ sky130_fd_sc_hd__or2b_1 _12522_ (.A(\u_i2cm.u_byte_ctrl.dcnt[0] ),
+    .B_N(_07591_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07592_));
- sky130_fd_sc_hd__or2_1 _12524_ (.A(\u_i2cm.u_byte_ctrl.ld ),
-    .B(_07592_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07593_));
- sky130_fd_sc_hd__or2b_1 _12525_ (.A(\u_i2cm.u_byte_ctrl.dcnt[0] ),
-    .B_N(_07593_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07594_));
- sky130_fd_sc_hd__a21bo_1 _12526_ (.A1(\u_i2cm.u_byte_ctrl.dcnt[0] ),
-    .A2(_07591_),
-    .B1_N(_07594_),
+ sky130_fd_sc_hd__a21bo_1 _12523_ (.A1(\u_i2cm.u_byte_ctrl.dcnt[0] ),
+    .A2(_07589_),
+    .B1_N(_07592_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00479_));
- sky130_fd_sc_hd__clkbuf_1 _12527_ (.A(\u_i2cm.u_byte_ctrl.ld ),
+ sky130_fd_sc_hd__clkbuf_1 _12524_ (.A(\u_i2cm.u_byte_ctrl.ld ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07595_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12528_ (.A(_07595_),
+    .X(_07593_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12525_ (.A(_07593_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07596_));
- sky130_fd_sc_hd__a221o_1 _12529_ (.A1(_07592_),
-    .A2(_05532_),
-    .B1(_07594_),
+    .X(_07594_));
+ sky130_fd_sc_hd__a221o_1 _12526_ (.A1(_07590_),
+    .A2(_05513_),
+    .B1(_07592_),
     .B2(\u_i2cm.u_byte_ctrl.dcnt[1] ),
-    .C1(_07596_),
+    .C1(_07594_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00480_));
- sky130_fd_sc_hd__a21boi_1 _12530_ (.A1(_07592_),
-    .A2(_05532_),
+ sky130_fd_sc_hd__a21boi_1 _12527_ (.A1(_07590_),
+    .A2(_05513_),
     .B1_N(\u_i2cm.u_byte_ctrl.dcnt[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07597_));
- sky130_fd_sc_hd__a211o_1 _12531_ (.A1(_07592_),
-    .A2(_05533_),
-    .B1(_07597_),
-    .C1(_07596_),
+    .Y(_07595_));
+ sky130_fd_sc_hd__a211o_1 _12528_ (.A1(_07590_),
+    .A2(_05514_),
+    .B1(_07595_),
+    .C1(_07594_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00481_));
- sky130_fd_sc_hd__clkbuf_2 _12532_ (.A(_05808_),
+ sky130_fd_sc_hd__clkbuf_2 _12529_ (.A(_05841_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07598_));
- sky130_fd_sc_hd__mux2_1 _12533_ (.A0(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cSDA[1] ),
+    .X(_07596_));
+ sky130_fd_sc_hd__mux2_1 _12530_ (.A0(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cSDA[1] ),
     .A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSDA[0] ),
-    .S(_07598_),
+    .S(_07596_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07599_));
- sky130_fd_sc_hd__clkbuf_1 _12534_ (.A(_07599_),
+    .X(_07597_));
+ sky130_fd_sc_hd__clkbuf_1 _12531_ (.A(_07597_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00482_));
- sky130_fd_sc_hd__mux2_1 _12535_ (.A0(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSDA[0] ),
+ sky130_fd_sc_hd__mux2_1 _12532_ (.A0(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSDA[0] ),
     .A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSDA[1] ),
-    .S(_07598_),
+    .S(_07596_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07600_));
- sky130_fd_sc_hd__clkbuf_1 _12536_ (.A(_07600_),
+    .X(_07598_));
+ sky130_fd_sc_hd__clkbuf_1 _12533_ (.A(_07598_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00483_));
- sky130_fd_sc_hd__mux2_1 _12537_ (.A0(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSDA[1] ),
+ sky130_fd_sc_hd__mux2_1 _12534_ (.A0(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSDA[1] ),
     .A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSDA[2] ),
-    .S(_07598_),
+    .S(_07596_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07601_));
- sky130_fd_sc_hd__clkbuf_1 _12538_ (.A(_07601_),
+    .X(_07599_));
+ sky130_fd_sc_hd__clkbuf_1 _12535_ (.A(_07599_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00484_));
- sky130_fd_sc_hd__mux2_1 _12539_ (.A0(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cSCL[1] ),
+ sky130_fd_sc_hd__mux2_1 _12536_ (.A0(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cSCL[1] ),
     .A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSCL[0] ),
-    .S(_07598_),
+    .S(_07596_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07602_));
- sky130_fd_sc_hd__clkbuf_1 _12540_ (.A(_07602_),
+    .X(_07600_));
+ sky130_fd_sc_hd__clkbuf_1 _12537_ (.A(_07600_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00485_));
- sky130_fd_sc_hd__mux2_1 _12541_ (.A0(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSCL[0] ),
+ sky130_fd_sc_hd__mux2_1 _12538_ (.A0(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSCL[0] ),
     .A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSCL[1] ),
-    .S(_05809_),
+    .S(_05842_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07603_));
- sky130_fd_sc_hd__clkbuf_1 _12542_ (.A(_07603_),
+    .X(_07601_));
+ sky130_fd_sc_hd__clkbuf_1 _12539_ (.A(_07601_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00486_));
- sky130_fd_sc_hd__mux2_1 _12543_ (.A0(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSCL[1] ),
+ sky130_fd_sc_hd__mux2_1 _12540_ (.A0(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSCL[1] ),
     .A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSCL[2] ),
-    .S(_05809_),
+    .S(_05842_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07604_));
- sky130_fd_sc_hd__clkbuf_1 _12544_ (.A(_07604_),
+    .X(_07602_));
+ sky130_fd_sc_hd__clkbuf_1 _12541_ (.A(_07602_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00487_));
- sky130_fd_sc_hd__mux2_1 _12545_ (.A0(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cmd_stop ),
+ sky130_fd_sc_hd__mux2_1 _12542_ (.A0(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cmd_stop ),
     .A1(\u_i2cm.u_byte_ctrl.core_cmd[3] ),
-    .S(\u_i2cm.u_byte_ctrl.u_bit_ctrl.clk_en ),
+    .S(_05917_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07603_));
+ sky130_fd_sc_hd__clkbuf_1 _12543_ (.A(_07603_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00488_));
+ sky130_fd_sc_hd__and2b_1 _12544_ (.A_N(\u_i2cm.u_byte_ctrl.u_bit_ctrl.dSCL ),
+    .B(_06071_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07604_));
+ sky130_fd_sc_hd__mux2_1 _12545_ (.A0(\u_i2cm.u_byte_ctrl.core_rxd ),
+    .A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.sSDA ),
+    .S(_07604_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -184863,758 +184364,747 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00488_));
- sky130_fd_sc_hd__and2b_1 _12547_ (.A_N(\u_i2cm.u_byte_ctrl.u_bit_ctrl.dSCL ),
-    .B(_06036_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07606_));
- sky130_fd_sc_hd__mux2_1 _12548_ (.A0(\u_i2cm.u_byte_ctrl.core_rxd ),
-    .A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.sSDA ),
-    .S(_07606_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07607_));
- sky130_fd_sc_hd__clkbuf_1 _12549_ (.A(_07607_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
     .X(_00489_));
- sky130_fd_sc_hd__a21o_1 _12550_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.sda_chk ),
-    .A2(_06171_),
-    .B1(_06177_),
+ sky130_fd_sc_hd__a21o_1 _12547_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.sda_chk ),
+    .A2(_06224_),
+    .B1(_06230_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00490_));
- sky130_fd_sc_hd__or3b_1 _12551_ (.A(_06146_),
-    .B(_06111_),
-    .C_N(_05873_),
+ sky130_fd_sc_hd__nand2_1 _12548_ (.A(_05903_),
+    .B(_06219_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07608_));
- sky130_fd_sc_hd__a21oi_1 _12552_ (.A1(\u_i2cm.u_byte_ctrl.core_txd ),
+    .Y(_07606_));
+ sky130_fd_sc_hd__a21o_1 _12549_ (.A1(\u_i2cm.u_byte_ctrl.core_txd ),
+    .A2(_07606_),
+    .B1(_06195_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07607_));
+ sky130_fd_sc_hd__o21ai_1 _12550_ (.A1(_06208_),
+    .A2(_07606_),
+    .B1(_05917_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_07608_));
+ sky130_fd_sc_hd__a21o_1 _12551_ (.A1(net93),
     .A2(_07608_),
-    .B1(_06126_),
+    .B1(_05488_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07609_));
- sky130_fd_sc_hd__a41o_1 _12553_ (.A1(_05873_),
-    .A2(_06141_),
-    .A3(_06160_),
-    .A4(_06166_),
-    .B1(_06173_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07610_));
- sky130_fd_sc_hd__a2bb2o_1 _12554_ (.A1_N(_06148_),
-    .A2_N(_07609_),
-    .B1(_07610_),
-    .B2(net93),
+    .X(_07609_));
+ sky130_fd_sc_hd__a21o_1 _12552_ (.A1(_05917_),
+    .A2(_07607_),
+    .B1(_07609_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00491_));
- sky130_fd_sc_hd__and4_1 _12555_ (.A(_06112_),
-    .B(_06141_),
-    .C(_06165_),
-    .D(_06162_),
+ sky130_fd_sc_hd__a31o_1 _12553_ (.A1(_05482_),
+    .A2(_06192_),
+    .A3(_06221_),
+    .B1(_06179_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07610_));
+ sky130_fd_sc_hd__and4b_1 _12554_ (.A_N(_06200_),
+    .B(_06212_),
+    .C(_05898_),
+    .D(_05903_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07611_));
- sky130_fd_sc_hd__or2_1 _12556_ (.A(_05507_),
-    .B(_07611_),
+ sky130_fd_sc_hd__a21oi_1 _12555_ (.A1(_06184_),
+    .A2(_07611_),
+    .B1(_06229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07612_));
- sky130_fd_sc_hd__a41o_1 _12557_ (.A1(_06174_),
-    .A2(_06123_),
-    .A3(_06167_),
-    .A4(_07611_),
-    .B1(_06148_),
+    .Y(_07612_));
+ sky130_fd_sc_hd__a21oi_1 _12556_ (.A1(_05592_),
+    .A2(_07610_),
+    .B1(_07612_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_00492_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12557_ (.A(_07591_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07613_));
- sky130_fd_sc_hd__mux2_1 _12558_ (.A0(_07612_),
-    .A1(net92),
-    .S(_07613_),
+ sky130_fd_sc_hd__or2b_1 _12558_ (.A(\u_i2cm.txr[0] ),
+    .B_N(_07594_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07614_));
- sky130_fd_sc_hd__clkbuf_1 _12559_ (.A(_07614_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00492_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12560_ (.A(_07593_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07615_));
- sky130_fd_sc_hd__or2b_1 _12561_ (.A(\u_i2cm.txr[0] ),
-    .B_N(_07596_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07616_));
- sky130_fd_sc_hd__o221a_1 _12562_ (.A1(\u_i2cm.rxr[0] ),
-    .A2(_07615_),
-    .B1(_07591_),
+ sky130_fd_sc_hd__o221a_1 _12559_ (.A1(\u_i2cm.rxr[0] ),
+    .A2(_07613_),
+    .B1(_07589_),
     .B2(\u_i2cm.u_byte_ctrl.core_rxd ),
-    .C1(_07616_),
+    .C1(_07614_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00493_));
- sky130_fd_sc_hd__or2b_1 _12563_ (.A(\u_i2cm.txr[1] ),
-    .B_N(_07596_),
+ sky130_fd_sc_hd__or2b_1 _12560_ (.A(\u_i2cm.txr[1] ),
+    .B_N(_07594_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07617_));
- sky130_fd_sc_hd__o221a_1 _12564_ (.A1(\u_i2cm.rxr[1] ),
-    .A2(_07615_),
-    .B1(_07591_),
+    .X(_07615_));
+ sky130_fd_sc_hd__o221a_1 _12561_ (.A1(\u_i2cm.rxr[1] ),
+    .A2(_07613_),
+    .B1(_07589_),
     .B2(\u_i2cm.rxr[0] ),
-    .C1(_07617_),
+    .C1(_07615_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00494_));
- sky130_fd_sc_hd__clkbuf_1 _12565_ (.A(_07595_),
+ sky130_fd_sc_hd__clkbuf_1 _12562_ (.A(_07593_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07618_));
- sky130_fd_sc_hd__or2b_1 _12566_ (.A(\u_i2cm.txr[2] ),
-    .B_N(_07618_),
+    .X(_07616_));
+ sky130_fd_sc_hd__or2b_1 _12563_ (.A(\u_i2cm.txr[2] ),
+    .B_N(_07616_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07619_));
- sky130_fd_sc_hd__o221a_1 _12567_ (.A1(\u_i2cm.rxr[2] ),
-    .A2(_07615_),
-    .B1(_07591_),
+    .X(_07617_));
+ sky130_fd_sc_hd__o221a_1 _12564_ (.A1(\u_i2cm.rxr[2] ),
+    .A2(_07613_),
+    .B1(_07589_),
     .B2(\u_i2cm.rxr[1] ),
-    .C1(_07619_),
+    .C1(_07617_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00495_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12568_ (.A(_07590_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12565_ (.A(_07588_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07620_));
- sky130_fd_sc_hd__or2b_1 _12569_ (.A(\u_i2cm.txr[3] ),
-    .B_N(_07618_),
+    .X(_07618_));
+ sky130_fd_sc_hd__or2b_1 _12566_ (.A(\u_i2cm.txr[3] ),
+    .B_N(_07616_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07621_));
- sky130_fd_sc_hd__o221a_1 _12570_ (.A1(\u_i2cm.rxr[3] ),
-    .A2(_07615_),
-    .B1(_07620_),
+    .X(_07619_));
+ sky130_fd_sc_hd__o221a_1 _12567_ (.A1(\u_i2cm.rxr[3] ),
+    .A2(_07613_),
+    .B1(_07618_),
     .B2(\u_i2cm.rxr[2] ),
-    .C1(_07621_),
+    .C1(_07619_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00496_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12571_ (.A(_07593_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12568_ (.A(_07591_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07622_));
- sky130_fd_sc_hd__or2b_1 _12572_ (.A(\u_i2cm.txr[4] ),
-    .B_N(_07618_),
+    .X(_07620_));
+ sky130_fd_sc_hd__or2b_1 _12569_ (.A(\u_i2cm.txr[4] ),
+    .B_N(_07616_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07623_));
- sky130_fd_sc_hd__o221a_1 _12573_ (.A1(\u_i2cm.rxr[4] ),
-    .A2(_07622_),
-    .B1(_07620_),
+    .X(_07621_));
+ sky130_fd_sc_hd__o221a_1 _12570_ (.A1(\u_i2cm.rxr[4] ),
+    .A2(_07620_),
+    .B1(_07618_),
     .B2(\u_i2cm.rxr[3] ),
-    .C1(_07623_),
+    .C1(_07621_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00497_));
- sky130_fd_sc_hd__or2b_1 _12574_ (.A(\u_i2cm.txr[5] ),
-    .B_N(_07618_),
+ sky130_fd_sc_hd__or2b_1 _12571_ (.A(\u_i2cm.txr[5] ),
+    .B_N(_07616_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07624_));
- sky130_fd_sc_hd__o221a_1 _12575_ (.A1(\u_i2cm.rxr[5] ),
-    .A2(_07622_),
-    .B1(_07620_),
+    .X(_07622_));
+ sky130_fd_sc_hd__o221a_1 _12572_ (.A1(\u_i2cm.rxr[5] ),
+    .A2(_07620_),
+    .B1(_07618_),
     .B2(\u_i2cm.rxr[4] ),
-    .C1(_07624_),
+    .C1(_07622_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00498_));
- sky130_fd_sc_hd__or2b_1 _12576_ (.A(\u_i2cm.txr[6] ),
-    .B_N(_07595_),
+ sky130_fd_sc_hd__or2b_1 _12573_ (.A(\u_i2cm.txr[6] ),
+    .B_N(_07593_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07625_));
- sky130_fd_sc_hd__o221a_1 _12577_ (.A1(\u_i2cm.rxr[6] ),
-    .A2(_07622_),
-    .B1(_07620_),
+    .X(_07623_));
+ sky130_fd_sc_hd__o221a_1 _12574_ (.A1(\u_i2cm.rxr[6] ),
+    .A2(_07620_),
+    .B1(_07618_),
     .B2(\u_i2cm.rxr[5] ),
-    .C1(_07625_),
+    .C1(_07623_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00499_));
- sky130_fd_sc_hd__or2b_1 _12578_ (.A(\u_i2cm.txr[7] ),
-    .B_N(_07595_),
+ sky130_fd_sc_hd__or2b_1 _12575_ (.A(\u_i2cm.txr[7] ),
+    .B_N(_07593_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07626_));
- sky130_fd_sc_hd__o221a_1 _12579_ (.A1(\u_i2cm.rxr[7] ),
-    .A2(_07622_),
-    .B1(_07590_),
+    .X(_07624_));
+ sky130_fd_sc_hd__o221a_1 _12576_ (.A1(\u_i2cm.rxr[7] ),
+    .A2(_07620_),
+    .B1(_07588_),
     .B2(\u_i2cm.rxr[6] ),
-    .C1(_07626_),
+    .C1(_07624_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00500_));
- sky130_fd_sc_hd__clkbuf_4 _12580_ (.A(_07399_),
+ sky130_fd_sc_hd__nor2_1 _12577_ (.A(_06959_),
+    .B(_07561_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_07625_));
+ sky130_fd_sc_hd__clkbuf_2 _12578_ (.A(_07625_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07626_));
+ sky130_fd_sc_hd__mux2_1 _12579_ (.A0(\u_uart_core.u_txfifo.mem[10][0] ),
+    .A1(_07484_),
+    .S(_07626_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07627_));
- sky130_fd_sc_hd__nor2_1 _12581_ (.A(_06944_),
-    .B(_07560_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_07628_));
- sky130_fd_sc_hd__clkbuf_2 _12582_ (.A(_07628_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07629_));
- sky130_fd_sc_hd__mux2_1 _12583_ (.A0(\u_uart_core.u_txfifo.mem[10][0] ),
-    .A1(_07627_),
-    .S(_07629_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07630_));
- sky130_fd_sc_hd__clkbuf_1 _12584_ (.A(_07630_),
+ sky130_fd_sc_hd__clkbuf_1 _12580_ (.A(_07627_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00501_));
- sky130_fd_sc_hd__clkbuf_4 _12585_ (.A(_07396_),
+ sky130_fd_sc_hd__mux2_1 _12581_ (.A0(\u_uart_core.u_txfifo.mem[10][1] ),
+    .A1(_07490_),
+    .S(_07626_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07631_));
- sky130_fd_sc_hd__mux2_1 _12586_ (.A0(\u_uart_core.u_txfifo.mem[10][1] ),
-    .A1(_07631_),
-    .S(_07629_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07632_));
- sky130_fd_sc_hd__clkbuf_1 _12587_ (.A(_07632_),
+    .X(_07628_));
+ sky130_fd_sc_hd__clkbuf_1 _12582_ (.A(_07628_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00502_));
- sky130_fd_sc_hd__buf_2 _12588_ (.A(_07393_),
+ sky130_fd_sc_hd__mux2_1 _12583_ (.A0(\u_uart_core.u_txfifo.mem[10][2] ),
+    .A1(_07493_),
+    .S(_07626_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07633_));
- sky130_fd_sc_hd__mux2_1 _12589_ (.A0(\u_uart_core.u_txfifo.mem[10][2] ),
-    .A1(_07633_),
-    .S(_07629_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07634_));
- sky130_fd_sc_hd__clkbuf_1 _12590_ (.A(_07634_),
+    .X(_07629_));
+ sky130_fd_sc_hd__clkbuf_1 _12584_ (.A(_07629_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00503_));
- sky130_fd_sc_hd__mux2_1 _12591_ (.A0(\u_uart_core.u_txfifo.mem[10][3] ),
-    .A1(_07566_),
-    .S(_07629_),
+ sky130_fd_sc_hd__mux2_1 _12585_ (.A0(\u_uart_core.u_txfifo.mem[10][3] ),
+    .A1(_07579_),
+    .S(_07626_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07635_));
- sky130_fd_sc_hd__clkbuf_1 _12592_ (.A(_07635_),
+    .X(_07630_));
+ sky130_fd_sc_hd__clkbuf_1 _12586_ (.A(_07630_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00504_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12593_ (.A(_07628_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12587_ (.A(_07625_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07636_));
- sky130_fd_sc_hd__mux2_1 _12594_ (.A0(\u_uart_core.u_txfifo.mem[10][4] ),
-    .A1(_07568_),
-    .S(_07636_),
+    .X(_07631_));
+ sky130_fd_sc_hd__mux2_1 _12588_ (.A0(\u_uart_core.u_txfifo.mem[10][4] ),
+    .A1(_07581_),
+    .S(_07631_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07637_));
- sky130_fd_sc_hd__clkbuf_1 _12595_ (.A(_07637_),
+    .X(_07632_));
+ sky130_fd_sc_hd__clkbuf_1 _12589_ (.A(_07632_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00505_));
- sky130_fd_sc_hd__mux2_1 _12596_ (.A0(\u_uart_core.u_txfifo.mem[10][5] ),
-    .A1(_07583_),
-    .S(_07636_),
+ sky130_fd_sc_hd__buf_2 _12590_ (.A(_07397_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07638_));
- sky130_fd_sc_hd__clkbuf_1 _12597_ (.A(_07638_),
+    .X(_07633_));
+ sky130_fd_sc_hd__mux2_1 _12591_ (.A0(\u_uart_core.u_txfifo.mem[10][5] ),
+    .A1(_07633_),
+    .S(_07631_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07634_));
+ sky130_fd_sc_hd__clkbuf_1 _12592_ (.A(_07634_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00506_));
- sky130_fd_sc_hd__mux2_1 _12598_ (.A0(\u_uart_core.u_txfifo.mem[10][6] ),
-    .A1(_07585_),
-    .S(_07636_),
+ sky130_fd_sc_hd__buf_2 _12593_ (.A(_07392_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07639_));
- sky130_fd_sc_hd__clkbuf_1 _12599_ (.A(_07639_),
+    .X(_07635_));
+ sky130_fd_sc_hd__mux2_1 _12594_ (.A0(\u_uart_core.u_txfifo.mem[10][6] ),
+    .A1(_07635_),
+    .S(_07631_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07636_));
+ sky130_fd_sc_hd__clkbuf_1 _12595_ (.A(_07636_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00507_));
- sky130_fd_sc_hd__mux2_1 _12600_ (.A0(\u_uart_core.u_txfifo.mem[10][7] ),
-    .A1(_07587_),
-    .S(_07636_),
+ sky130_fd_sc_hd__buf_2 _12596_ (.A(_07410_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07640_));
- sky130_fd_sc_hd__clkbuf_1 _12601_ (.A(_07640_),
+    .X(_07637_));
+ sky130_fd_sc_hd__mux2_1 _12597_ (.A0(\u_uart_core.u_txfifo.mem[10][7] ),
+    .A1(_07637_),
+    .S(_07631_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07638_));
+ sky130_fd_sc_hd__clkbuf_1 _12598_ (.A(_07638_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00508_));
- sky130_fd_sc_hd__or2b_1 _12602_ (.A(_06442_),
-    .B_N(_07366_),
+ sky130_fd_sc_hd__buf_2 _12599_ (.A(_07483_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07639_));
+ sky130_fd_sc_hd__nor2_1 _12600_ (.A(_06448_),
+    .B(_07377_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_07640_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12601_ (.A(_07640_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07641_));
- sky130_fd_sc_hd__clkbuf_2 _12603_ (.A(_07641_),
+ sky130_fd_sc_hd__mux2_1 _12602_ (.A0(\u_uart_core.u_txfifo.mem[0][0] ),
+    .A1(_07639_),
+    .S(_07641_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07642_));
- sky130_fd_sc_hd__mux2_1 _12604_ (.A0(_07365_),
-    .A1(\u_uart_core.u_txfifo.mem[0][0] ),
-    .S(_07642_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07643_));
- sky130_fd_sc_hd__clkbuf_1 _12605_ (.A(_07643_),
+ sky130_fd_sc_hd__clkbuf_1 _12603_ (.A(_07642_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00509_));
- sky130_fd_sc_hd__mux2_1 _12606_ (.A0(_07371_),
-    .A1(\u_uart_core.u_txfifo.mem[0][1] ),
-    .S(_07642_),
+ sky130_fd_sc_hd__buf_2 _12604_ (.A(_07489_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07643_));
+ sky130_fd_sc_hd__mux2_1 _12605_ (.A0(\u_uart_core.u_txfifo.mem[0][1] ),
+    .A1(_07643_),
+    .S(_07641_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07644_));
- sky130_fd_sc_hd__clkbuf_1 _12607_ (.A(_07644_),
+ sky130_fd_sc_hd__clkbuf_1 _12606_ (.A(_07644_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00510_));
- sky130_fd_sc_hd__mux2_1 _12608_ (.A0(_07374_),
-    .A1(\u_uart_core.u_txfifo.mem[0][2] ),
-    .S(_07642_),
+ sky130_fd_sc_hd__clkbuf_2 _12607_ (.A(_07492_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07645_));
- sky130_fd_sc_hd__clkbuf_1 _12609_ (.A(_07645_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00511_));
- sky130_fd_sc_hd__mux2_1 _12610_ (.A0(_07024_),
-    .A1(\u_uart_core.u_txfifo.mem[0][3] ),
-    .S(_07642_),
+ sky130_fd_sc_hd__mux2_1 _12608_ (.A0(\u_uart_core.u_txfifo.mem[0][2] ),
+    .A1(_07645_),
+    .S(_07641_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07646_));
- sky130_fd_sc_hd__clkbuf_1 _12611_ (.A(_07646_),
+ sky130_fd_sc_hd__clkbuf_1 _12609_ (.A(_07646_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00512_));
- sky130_fd_sc_hd__clkbuf_2 _12612_ (.A(_06452_),
+    .X(_00511_));
+ sky130_fd_sc_hd__mux2_1 _12610_ (.A0(\u_uart_core.u_txfifo.mem[0][3] ),
+    .A1(_07579_),
+    .S(_07641_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07647_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12613_ (.A(_07641_),
+ sky130_fd_sc_hd__clkbuf_1 _12611_ (.A(_07647_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00512_));
+ sky130_fd_sc_hd__clkbuf_2 _12612_ (.A(_07640_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07648_));
- sky130_fd_sc_hd__mux2_1 _12614_ (.A0(_07647_),
-    .A1(\u_uart_core.u_txfifo.mem[0][4] ),
+ sky130_fd_sc_hd__mux2_1 _12613_ (.A0(\u_uart_core.u_txfifo.mem[0][4] ),
+    .A1(_07581_),
     .S(_07648_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07649_));
- sky130_fd_sc_hd__clkbuf_1 _12615_ (.A(_07649_),
+ sky130_fd_sc_hd__clkbuf_1 _12614_ (.A(_07649_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00513_));
- sky130_fd_sc_hd__mux2_1 _12616_ (.A0(_07030_),
-    .A1(\u_uart_core.u_txfifo.mem[0][5] ),
+ sky130_fd_sc_hd__mux2_1 _12615_ (.A0(\u_uart_core.u_txfifo.mem[0][5] ),
+    .A1(_07633_),
     .S(_07648_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07650_));
- sky130_fd_sc_hd__clkbuf_1 _12617_ (.A(_07650_),
+ sky130_fd_sc_hd__clkbuf_1 _12616_ (.A(_07650_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00514_));
- sky130_fd_sc_hd__mux2_1 _12618_ (.A0(_07032_),
-    .A1(\u_uart_core.u_txfifo.mem[0][6] ),
+ sky130_fd_sc_hd__mux2_1 _12617_ (.A0(\u_uart_core.u_txfifo.mem[0][6] ),
+    .A1(_07635_),
     .S(_07648_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07651_));
- sky130_fd_sc_hd__clkbuf_1 _12619_ (.A(_07651_),
+ sky130_fd_sc_hd__clkbuf_1 _12618_ (.A(_07651_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00515_));
- sky130_fd_sc_hd__mux2_1 _12620_ (.A0(_07034_),
-    .A1(\u_uart_core.u_txfifo.mem[0][7] ),
+ sky130_fd_sc_hd__mux2_1 _12619_ (.A0(\u_uart_core.u_txfifo.mem[0][7] ),
+    .A1(_07637_),
     .S(_07648_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07652_));
- sky130_fd_sc_hd__clkbuf_1 _12621_ (.A(_07652_),
+ sky130_fd_sc_hd__clkbuf_1 _12620_ (.A(_07652_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00516_));
- sky130_fd_sc_hd__and3_1 _12622_ (.A(_07574_),
+ sky130_fd_sc_hd__and3_1 _12621_ (.A(_05801_),
     .B(\u_i2cm.wb_ack_o ),
-    .C(_05974_),
+    .C(_06011_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07653_));
- sky130_fd_sc_hd__clkbuf_2 _12623_ (.A(_07653_),
+ sky130_fd_sc_hd__clkbuf_2 _12622_ (.A(_07653_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07654_));
- sky130_fd_sc_hd__mux2_1 _12624_ (.A0(\u_i2cm.ctr[0] ),
-    .A1(_07627_),
+ sky130_fd_sc_hd__mux2_1 _12623_ (.A0(\u_i2cm.ctr[0] ),
+    .A1(_07639_),
     .S(_07654_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07655_));
- sky130_fd_sc_hd__clkbuf_1 _12625_ (.A(_07655_),
+ sky130_fd_sc_hd__clkbuf_1 _12624_ (.A(_07655_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00517_));
- sky130_fd_sc_hd__mux2_1 _12626_ (.A0(\u_i2cm.ctr[1] ),
-    .A1(_07631_),
+ sky130_fd_sc_hd__mux2_1 _12625_ (.A0(\u_i2cm.ctr[1] ),
+    .A1(_07643_),
     .S(_07654_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07656_));
- sky130_fd_sc_hd__clkbuf_1 _12627_ (.A(_07656_),
+ sky130_fd_sc_hd__clkbuf_1 _12626_ (.A(_07656_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00518_));
- sky130_fd_sc_hd__mux2_1 _12628_ (.A0(\u_i2cm.ctr[2] ),
-    .A1(_07633_),
+ sky130_fd_sc_hd__mux2_1 _12627_ (.A0(\u_i2cm.ctr[2] ),
+    .A1(_07645_),
     .S(_07654_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07657_));
- sky130_fd_sc_hd__clkbuf_1 _12629_ (.A(_07657_),
+ sky130_fd_sc_hd__clkbuf_1 _12628_ (.A(_07657_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00519_));
- sky130_fd_sc_hd__mux2_1 _12630_ (.A0(\u_i2cm.ctr[3] ),
-    .A1(_07566_),
+ sky130_fd_sc_hd__mux2_1 _12629_ (.A0(\u_i2cm.ctr[3] ),
+    .A1(_07579_),
     .S(_07654_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07658_));
- sky130_fd_sc_hd__clkbuf_1 _12631_ (.A(_07658_),
+ sky130_fd_sc_hd__clkbuf_1 _12630_ (.A(_07658_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00520_));
- sky130_fd_sc_hd__clkbuf_2 _12632_ (.A(_07653_),
+ sky130_fd_sc_hd__clkbuf_2 _12631_ (.A(_07653_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07659_));
- sky130_fd_sc_hd__mux2_1 _12633_ (.A0(\u_i2cm.ctr[4] ),
-    .A1(_07568_),
+ sky130_fd_sc_hd__mux2_1 _12632_ (.A0(\u_i2cm.ctr[4] ),
+    .A1(_07581_),
     .S(_07659_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07660_));
- sky130_fd_sc_hd__clkbuf_1 _12634_ (.A(_07660_),
+ sky130_fd_sc_hd__clkbuf_1 _12633_ (.A(_07660_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00521_));
- sky130_fd_sc_hd__mux2_1 _12635_ (.A0(\u_i2cm.ctr[5] ),
-    .A1(_07583_),
+ sky130_fd_sc_hd__mux2_1 _12634_ (.A0(\u_i2cm.ctr[5] ),
+    .A1(_07633_),
     .S(_07659_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07661_));
- sky130_fd_sc_hd__clkbuf_1 _12636_ (.A(_07661_),
+ sky130_fd_sc_hd__clkbuf_1 _12635_ (.A(_07661_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00522_));
- sky130_fd_sc_hd__mux2_1 _12637_ (.A0(\u_i2cm.ctr[6] ),
-    .A1(_07585_),
+ sky130_fd_sc_hd__mux2_1 _12636_ (.A0(\u_i2cm.ctr[6] ),
+    .A1(_07635_),
     .S(_07659_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07662_));
- sky130_fd_sc_hd__clkbuf_1 _12638_ (.A(_07662_),
+ sky130_fd_sc_hd__clkbuf_1 _12637_ (.A(_07662_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00523_));
- sky130_fd_sc_hd__mux2_1 _12639_ (.A0(_05814_),
-    .A1(_07587_),
+ sky130_fd_sc_hd__mux2_1 _12638_ (.A0(_05847_),
+    .A1(_07637_),
     .S(_07659_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07663_));
- sky130_fd_sc_hd__clkbuf_1 _12640_ (.A(_07663_),
+ sky130_fd_sc_hd__clkbuf_1 _12639_ (.A(_07663_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00524_));
- sky130_fd_sc_hd__nand2_1 _12641_ (.A(_07574_),
+ sky130_fd_sc_hd__nand2_2 _12640_ (.A(_05801_),
     .B(\u_i2cm.wb_ack_o ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_07664_));
- sky130_fd_sc_hd__nor2_1 _12642_ (.A(_05977_),
+ sky130_fd_sc_hd__nor2_1 _12641_ (.A(_06014_),
     .B(_07664_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_07665_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12643_ (.A(_07665_),
+ sky130_fd_sc_hd__clkbuf_2 _12642_ (.A(_07665_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07666_));
- sky130_fd_sc_hd__mux2_1 _12644_ (.A0(\u_i2cm.prer[0] ),
-    .A1(_07627_),
+ sky130_fd_sc_hd__mux2_1 _12643_ (.A0(\u_i2cm.prer[0] ),
+    .A1(_07639_),
     .S(_07666_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07667_));
- sky130_fd_sc_hd__clkbuf_1 _12645_ (.A(_07667_),
+ sky130_fd_sc_hd__clkbuf_1 _12644_ (.A(_07667_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00525_));
- sky130_fd_sc_hd__mux2_1 _12646_ (.A0(\u_i2cm.prer[1] ),
-    .A1(_07631_),
+ sky130_fd_sc_hd__mux2_1 _12645_ (.A0(\u_i2cm.prer[1] ),
+    .A1(_07643_),
     .S(_07666_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07668_));
- sky130_fd_sc_hd__clkbuf_1 _12647_ (.A(_07668_),
+ sky130_fd_sc_hd__clkbuf_1 _12646_ (.A(_07668_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00526_));
- sky130_fd_sc_hd__mux2_1 _12648_ (.A0(\u_i2cm.prer[2] ),
-    .A1(_07633_),
+ sky130_fd_sc_hd__mux2_1 _12647_ (.A0(\u_i2cm.prer[2] ),
+    .A1(_07645_),
     .S(_07666_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07669_));
- sky130_fd_sc_hd__clkbuf_1 _12649_ (.A(_07669_),
+ sky130_fd_sc_hd__clkbuf_1 _12648_ (.A(_07669_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00527_));
- sky130_fd_sc_hd__clkbuf_2 _12650_ (.A(_06950_),
+ sky130_fd_sc_hd__buf_2 _12649_ (.A(_07578_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07670_));
- sky130_fd_sc_hd__mux2_1 _12651_ (.A0(\u_i2cm.prer[3] ),
+ sky130_fd_sc_hd__mux2_1 _12650_ (.A0(\u_i2cm.prer[3] ),
     .A1(_07670_),
     .S(_07666_),
     .VGND(vssd1),
@@ -185622,25 +185112,25 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07671_));
- sky130_fd_sc_hd__clkbuf_1 _12652_ (.A(_07671_),
+ sky130_fd_sc_hd__clkbuf_1 _12651_ (.A(_07671_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00528_));
- sky130_fd_sc_hd__buf_2 _12653_ (.A(_07026_),
+ sky130_fd_sc_hd__clkbuf_4 _12652_ (.A(_07385_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07672_));
- sky130_fd_sc_hd__clkbuf_2 _12654_ (.A(_07665_),
+ sky130_fd_sc_hd__clkbuf_2 _12653_ (.A(_07665_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07673_));
- sky130_fd_sc_hd__mux2_1 _12655_ (.A0(\u_i2cm.prer[4] ),
+ sky130_fd_sc_hd__mux2_1 _12654_ (.A0(\u_i2cm.prer[4] ),
     .A1(_07672_),
     .S(_07673_),
     .VGND(vssd1),
@@ -185648,262 +185138,270 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07674_));
- sky130_fd_sc_hd__clkbuf_1 _12656_ (.A(_07674_),
+ sky130_fd_sc_hd__clkbuf_1 _12655_ (.A(_07674_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00529_));
- sky130_fd_sc_hd__mux2_1 _12657_ (.A0(\u_i2cm.prer[5] ),
-    .A1(_07583_),
+ sky130_fd_sc_hd__mux2_1 _12656_ (.A0(\u_i2cm.prer[5] ),
+    .A1(_07633_),
     .S(_07673_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07675_));
- sky130_fd_sc_hd__clkbuf_1 _12658_ (.A(_07675_),
+ sky130_fd_sc_hd__clkbuf_1 _12657_ (.A(_07675_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00530_));
- sky130_fd_sc_hd__mux2_1 _12659_ (.A0(\u_i2cm.prer[6] ),
-    .A1(_07585_),
+ sky130_fd_sc_hd__mux2_1 _12658_ (.A0(\u_i2cm.prer[6] ),
+    .A1(_07635_),
     .S(_07673_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07676_));
- sky130_fd_sc_hd__clkbuf_1 _12660_ (.A(_07676_),
+ sky130_fd_sc_hd__clkbuf_1 _12659_ (.A(_07676_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00531_));
- sky130_fd_sc_hd__mux2_1 _12661_ (.A0(\u_i2cm.prer[7] ),
-    .A1(_07587_),
+ sky130_fd_sc_hd__mux2_1 _12660_ (.A0(\u_i2cm.prer[7] ),
+    .A1(_07637_),
     .S(_07673_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07677_));
- sky130_fd_sc_hd__clkbuf_1 _12662_ (.A(_07677_),
+ sky130_fd_sc_hd__clkbuf_1 _12661_ (.A(_07677_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00532_));
- sky130_fd_sc_hd__and3_1 _12663_ (.A(_05948_),
-    .B(\u_i2cm.core_en ),
-    .C(_07227_),
+ sky130_fd_sc_hd__clkbuf_4 _12662_ (.A(_05994_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07678_));
- sky130_fd_sc_hd__and3_1 _12664_ (.A(_07546_),
-    .B(_05790_),
+ sky130_fd_sc_hd__and3_1 _12663_ (.A(_05985_),
+    .B(\u_i2cm.core_en ),
     .C(_07678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07679_));
- sky130_fd_sc_hd__mux2_1 _12665_ (.A0(\u_i2cm.ack ),
-    .A1(_07670_),
-    .S(_07679_),
+ sky130_fd_sc_hd__and3_2 _12664_ (.A(_05802_),
+    .B(_05823_),
+    .C(_07679_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07680_));
- sky130_fd_sc_hd__clkbuf_1 _12666_ (.A(_07680_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00533_));
- sky130_fd_sc_hd__and2b_1 _12667_ (.A_N(_06416_),
-    .B(_06422_),
+ sky130_fd_sc_hd__mux2_1 _12665_ (.A0(\u_i2cm.ack ),
+    .A1(_07670_),
+    .S(_07680_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07681_));
- sky130_fd_sc_hd__nand2_1 _12668_ (.A(_07366_),
-    .B(_07681_),
+ sky130_fd_sc_hd__clkbuf_1 _12666_ (.A(_07681_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00533_));
+ sky130_fd_sc_hd__nor2_1 _12667_ (.A(_07377_),
+    .B(_07561_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_07682_));
- sky130_fd_sc_hd__clkbuf_2 _12669_ (.A(_07682_),
+ sky130_fd_sc_hd__clkbuf_2 _12668_ (.A(_07682_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07683_));
- sky130_fd_sc_hd__mux2_1 _12670_ (.A0(_07365_),
-    .A1(\u_uart_core.u_txfifo.mem[8][0] ),
+ sky130_fd_sc_hd__mux2_1 _12669_ (.A0(\u_uart_core.u_txfifo.mem[8][0] ),
+    .A1(_07639_),
     .S(_07683_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07684_));
- sky130_fd_sc_hd__clkbuf_1 _12671_ (.A(_07684_),
+ sky130_fd_sc_hd__clkbuf_1 _12670_ (.A(_07684_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00534_));
- sky130_fd_sc_hd__mux2_1 _12672_ (.A0(_07371_),
-    .A1(\u_uart_core.u_txfifo.mem[8][1] ),
+ sky130_fd_sc_hd__mux2_1 _12671_ (.A0(\u_uart_core.u_txfifo.mem[8][1] ),
+    .A1(_07643_),
     .S(_07683_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07685_));
- sky130_fd_sc_hd__clkbuf_1 _12673_ (.A(_07685_),
+ sky130_fd_sc_hd__clkbuf_1 _12672_ (.A(_07685_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00535_));
- sky130_fd_sc_hd__mux2_1 _12674_ (.A0(_07374_),
-    .A1(\u_uart_core.u_txfifo.mem[8][2] ),
+ sky130_fd_sc_hd__mux2_1 _12673_ (.A0(\u_uart_core.u_txfifo.mem[8][2] ),
+    .A1(_07645_),
     .S(_07683_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07686_));
- sky130_fd_sc_hd__clkbuf_1 _12675_ (.A(_07686_),
+ sky130_fd_sc_hd__clkbuf_1 _12674_ (.A(_07686_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00536_));
- sky130_fd_sc_hd__clkbuf_2 _12676_ (.A(_07023_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07687_));
- sky130_fd_sc_hd__mux2_1 _12677_ (.A0(_07687_),
-    .A1(\u_uart_core.u_txfifo.mem[8][3] ),
+ sky130_fd_sc_hd__mux2_1 _12675_ (.A0(\u_uart_core.u_txfifo.mem[8][3] ),
+    .A1(_07670_),
     .S(_07683_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07688_));
- sky130_fd_sc_hd__clkbuf_1 _12678_ (.A(_07688_),
+    .X(_07687_));
+ sky130_fd_sc_hd__clkbuf_1 _12676_ (.A(_07687_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00537_));
- sky130_fd_sc_hd__clkbuf_2 _12679_ (.A(_07682_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12677_ (.A(_07682_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07688_));
+ sky130_fd_sc_hd__mux2_1 _12678_ (.A0(\u_uart_core.u_txfifo.mem[8][4] ),
+    .A1(_07672_),
+    .S(_07688_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07689_));
- sky130_fd_sc_hd__mux2_1 _12680_ (.A0(_07647_),
-    .A1(\u_uart_core.u_txfifo.mem[8][4] ),
-    .S(_07689_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07690_));
- sky130_fd_sc_hd__clkbuf_1 _12681_ (.A(_07690_),
+ sky130_fd_sc_hd__clkbuf_1 _12679_ (.A(_07689_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00538_));
- sky130_fd_sc_hd__clkbuf_2 _12682_ (.A(_06955_),
+ sky130_fd_sc_hd__buf_2 _12680_ (.A(_07397_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07690_));
+ sky130_fd_sc_hd__mux2_1 _12681_ (.A0(\u_uart_core.u_txfifo.mem[8][5] ),
+    .A1(_07690_),
+    .S(_07688_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07691_));
- sky130_fd_sc_hd__mux2_1 _12683_ (.A0(_07691_),
-    .A1(\u_uart_core.u_txfifo.mem[8][5] ),
-    .S(_07689_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07692_));
- sky130_fd_sc_hd__clkbuf_1 _12684_ (.A(_07692_),
+ sky130_fd_sc_hd__clkbuf_1 _12682_ (.A(_07691_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00539_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12685_ (.A(_06958_),
+ sky130_fd_sc_hd__clkbuf_4 _12683_ (.A(_07392_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07692_));
+ sky130_fd_sc_hd__mux2_1 _12684_ (.A0(\u_uart_core.u_txfifo.mem[8][6] ),
+    .A1(_07692_),
+    .S(_07688_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07693_));
- sky130_fd_sc_hd__mux2_1 _12686_ (.A0(_07693_),
-    .A1(\u_uart_core.u_txfifo.mem[8][6] ),
-    .S(_07689_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07694_));
- sky130_fd_sc_hd__clkbuf_1 _12687_ (.A(_07694_),
+ sky130_fd_sc_hd__clkbuf_1 _12685_ (.A(_07693_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00540_));
- sky130_fd_sc_hd__clkbuf_2 _12688_ (.A(_06961_),
+ sky130_fd_sc_hd__clkbuf_4 _12686_ (.A(_07410_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07694_));
+ sky130_fd_sc_hd__mux2_1 _12687_ (.A0(\u_uart_core.u_txfifo.mem[8][7] ),
+    .A1(_07694_),
+    .S(_07688_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07695_));
- sky130_fd_sc_hd__mux2_1 _12689_ (.A0(_07695_),
-    .A1(\u_uart_core.u_txfifo.mem[8][7] ),
-    .S(_07689_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07696_));
- sky130_fd_sc_hd__clkbuf_1 _12690_ (.A(_07696_),
+ sky130_fd_sc_hd__clkbuf_1 _12688_ (.A(_07695_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00541_));
- sky130_fd_sc_hd__nand2_1 _12691_ (.A(_06413_),
-    .B(_07681_),
+ sky130_fd_sc_hd__or3_1 _12689_ (.A(_06437_),
+    .B(_06418_),
+    .C(_07561_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07697_));
- sky130_fd_sc_hd__clkbuf_2 _12692_ (.A(_07697_),
+    .X(_07696_));
+ sky130_fd_sc_hd__clkbuf_2 _12690_ (.A(_07696_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07697_));
+ sky130_fd_sc_hd__mux2_1 _12691_ (.A0(_06447_),
+    .A1(\u_uart_core.u_txfifo.mem[9][0] ),
+    .S(_07697_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07698_));
- sky130_fd_sc_hd__mux2_1 _12693_ (.A0(_07365_),
-    .A1(\u_uart_core.u_txfifo.mem[9][0] ),
-    .S(_07698_),
+ sky130_fd_sc_hd__clkbuf_1 _12692_ (.A(_07698_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00542_));
+ sky130_fd_sc_hd__mux2_1 _12693_ (.A0(_06452_),
+    .A1(\u_uart_core.u_txfifo.mem[9][1] ),
+    .S(_07697_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -185914,10 +185412,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00542_));
- sky130_fd_sc_hd__mux2_1 _12695_ (.A0(_07371_),
-    .A1(\u_uart_core.u_txfifo.mem[9][1] ),
-    .S(_07698_),
+    .X(_00543_));
+ sky130_fd_sc_hd__mux2_1 _12695_ (.A0(_06454_),
+    .A1(\u_uart_core.u_txfifo.mem[9][2] ),
+    .S(_07697_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -185928,10 +185426,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00543_));
- sky130_fd_sc_hd__mux2_1 _12697_ (.A0(_07374_),
-    .A1(\u_uart_core.u_txfifo.mem[9][2] ),
-    .S(_07698_),
+    .X(_00544_));
+ sky130_fd_sc_hd__mux2_1 _12697_ (.A0(_07035_),
+    .A1(\u_uart_core.u_txfifo.mem[9][3] ),
+    .S(_07697_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -185942,30 +185440,30 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00544_));
- sky130_fd_sc_hd__mux2_1 _12699_ (.A0(_07687_),
-    .A1(\u_uart_core.u_txfifo.mem[9][3] ),
-    .S(_07698_),
+    .X(_00545_));
+ sky130_fd_sc_hd__clkbuf_2 _12699_ (.A(_07696_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07702_));
- sky130_fd_sc_hd__clkbuf_1 _12700_ (.A(_07702_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00545_));
- sky130_fd_sc_hd__clkbuf_2 _12701_ (.A(_07697_),
+ sky130_fd_sc_hd__mux2_1 _12700_ (.A0(_07037_),
+    .A1(\u_uart_core.u_txfifo.mem[9][4] ),
+    .S(_07702_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07703_));
- sky130_fd_sc_hd__mux2_1 _12702_ (.A0(_07647_),
-    .A1(\u_uart_core.u_txfifo.mem[9][4] ),
-    .S(_07703_),
+ sky130_fd_sc_hd__clkbuf_1 _12701_ (.A(_07703_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00546_));
+ sky130_fd_sc_hd__mux2_1 _12702_ (.A0(_07040_),
+    .A1(\u_uart_core.u_txfifo.mem[9][5] ),
+    .S(_07702_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -185976,10 +185474,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00546_));
- sky130_fd_sc_hd__mux2_1 _12704_ (.A0(_07691_),
-    .A1(\u_uart_core.u_txfifo.mem[9][5] ),
-    .S(_07703_),
+    .X(_00547_));
+ sky130_fd_sc_hd__mux2_1 _12704_ (.A0(_07042_),
+    .A1(\u_uart_core.u_txfifo.mem[9][6] ),
+    .S(_07702_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -185990,10 +185488,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00547_));
- sky130_fd_sc_hd__mux2_1 _12706_ (.A0(_07693_),
-    .A1(\u_uart_core.u_txfifo.mem[9][6] ),
-    .S(_07703_),
+    .X(_00548_));
+ sky130_fd_sc_hd__mux2_1 _12706_ (.A0(_07044_),
+    .A1(\u_uart_core.u_txfifo.mem[9][7] ),
+    .S(_07702_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -186004,90 +185502,89 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00548_));
- sky130_fd_sc_hd__mux2_1 _12708_ (.A0(_07695_),
-    .A1(\u_uart_core.u_txfifo.mem[9][7] ),
-    .S(_07703_),
+    .X(_00549_));
+ sky130_fd_sc_hd__buf_2 _12708_ (.A(_07483_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07707_));
- sky130_fd_sc_hd__clkbuf_1 _12709_ (.A(_07707_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00549_));
- sky130_fd_sc_hd__buf_2 _12710_ (.A(_07399_),
+ sky130_fd_sc_hd__and2_1 _12709_ (.A(_05810_),
+    .B(_05819_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07708_));
- sky130_fd_sc_hd__or3_1 _12711_ (.A(\u_usb_host.u_async_wb.u_cmd_if.wr_ptr[0] ),
-    .B(\u_usb_host.u_async_wb.u_cmd_if.wr_ptr[1] ),
-    .C(_07484_),
+ sky130_fd_sc_hd__clkbuf_2 _12710_ (.A(_07708_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07709_));
- sky130_fd_sc_hd__clkbuf_2 _12712_ (.A(_07709_),
+ sky130_fd_sc_hd__clkbuf_2 _12711_ (.A(_07709_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07710_));
- sky130_fd_sc_hd__clkbuf_2 _12713_ (.A(_07710_),
+ sky130_fd_sc_hd__mux2_1 _12712_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][4] ),
+    .A1(_07707_),
+    .S(_07710_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07711_));
- sky130_fd_sc_hd__mux2_1 _12714_ (.A0(_07708_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][4] ),
-    .S(_07711_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07712_));
- sky130_fd_sc_hd__clkbuf_1 _12715_ (.A(_07712_),
+ sky130_fd_sc_hd__clkbuf_1 _12713_ (.A(_07711_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00550_));
- sky130_fd_sc_hd__buf_2 _12716_ (.A(_07396_),
+ sky130_fd_sc_hd__buf_2 _12714_ (.A(_07489_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07712_));
+ sky130_fd_sc_hd__mux2_1 _12715_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][5] ),
+    .A1(_07712_),
+    .S(_07710_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07713_));
- sky130_fd_sc_hd__mux2_1 _12717_ (.A0(_07713_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][5] ),
-    .S(_07711_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07714_));
- sky130_fd_sc_hd__clkbuf_1 _12718_ (.A(_07714_),
+ sky130_fd_sc_hd__clkbuf_1 _12716_ (.A(_07713_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00551_));
- sky130_fd_sc_hd__buf_2 _12719_ (.A(_07393_),
+ sky130_fd_sc_hd__buf_2 _12717_ (.A(_07492_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07714_));
+ sky130_fd_sc_hd__mux2_1 _12718_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][6] ),
+    .A1(_07714_),
+    .S(_07710_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07715_));
- sky130_fd_sc_hd__mux2_1 _12720_ (.A0(_07715_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][6] ),
-    .S(_07711_),
+ sky130_fd_sc_hd__clkbuf_1 _12719_ (.A(_07715_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00552_));
+ sky130_fd_sc_hd__mux2_1 _12720_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][7] ),
+    .A1(_07670_),
+    .S(_07710_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -186098,42 +185595,42 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00552_));
- sky130_fd_sc_hd__mux2_1 _12722_ (.A0(_07687_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][7] ),
-    .S(_07711_),
+    .X(_00553_));
+ sky130_fd_sc_hd__clkbuf_2 _12722_ (.A(_07708_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07717_));
- sky130_fd_sc_hd__clkbuf_1 _12723_ (.A(_07717_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00553_));
- sky130_fd_sc_hd__clkbuf_2 _12724_ (.A(_07709_),
+ sky130_fd_sc_hd__buf_2 _12723_ (.A(_07717_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07718_));
- sky130_fd_sc_hd__clkbuf_2 _12725_ (.A(_07718_),
+ sky130_fd_sc_hd__clkbuf_2 _12724_ (.A(_07718_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07719_));
- sky130_fd_sc_hd__clkbuf_2 _12726_ (.A(_07719_),
+ sky130_fd_sc_hd__mux2_1 _12725_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][8] ),
+    .A1(_07672_),
+    .S(_07719_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07720_));
- sky130_fd_sc_hd__mux2_1 _12727_ (.A0(_07647_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][8] ),
-    .S(_07720_),
+ sky130_fd_sc_hd__clkbuf_1 _12726_ (.A(_07720_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00554_));
+ sky130_fd_sc_hd__mux2_1 _12727_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][9] ),
+    .A1(_07690_),
+    .S(_07719_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -186144,10 +185641,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00554_));
- sky130_fd_sc_hd__mux2_1 _12729_ (.A0(_07691_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][9] ),
-    .S(_07720_),
+    .X(_00555_));
+ sky130_fd_sc_hd__mux2_1 _12729_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][10] ),
+    .A1(_07692_),
+    .S(_07719_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -186158,10 +185655,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00555_));
- sky130_fd_sc_hd__mux2_1 _12731_ (.A0(_07693_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][10] ),
-    .S(_07720_),
+    .X(_00556_));
+ sky130_fd_sc_hd__mux2_1 _12731_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][11] ),
+    .A1(_07694_),
+    .S(_07719_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -186172,30 +185669,30 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00556_));
- sky130_fd_sc_hd__mux2_1 _12733_ (.A0(_07695_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][11] ),
-    .S(_07720_),
+    .X(_00557_));
+ sky130_fd_sc_hd__clkbuf_2 _12733_ (.A(_07718_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07724_));
- sky130_fd_sc_hd__clkbuf_1 _12734_ (.A(_07724_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00557_));
- sky130_fd_sc_hd__clkbuf_2 _12735_ (.A(_07719_),
+ sky130_fd_sc_hd__mux2_1 _12734_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][12] ),
+    .A1(_07503_),
+    .S(_07724_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07725_));
- sky130_fd_sc_hd__mux2_1 _12736_ (.A0(_07500_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][12] ),
-    .S(_07725_),
+ sky130_fd_sc_hd__clkbuf_1 _12735_ (.A(_07725_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00558_));
+ sky130_fd_sc_hd__mux2_1 _12736_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][13] ),
+    .A1(_07506_),
+    .S(_07724_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -186206,10 +185703,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00558_));
- sky130_fd_sc_hd__mux2_1 _12738_ (.A0(_07503_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][13] ),
-    .S(_07725_),
+    .X(_00559_));
+ sky130_fd_sc_hd__mux2_1 _12738_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][14] ),
+    .A1(_07508_),
+    .S(_07724_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -186220,10 +185717,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00559_));
- sky130_fd_sc_hd__mux2_1 _12740_ (.A0(_07505_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][14] ),
-    .S(_07725_),
+    .X(_00560_));
+ sky130_fd_sc_hd__mux2_1 _12740_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][15] ),
+    .A1(_07510_),
+    .S(_07724_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -186234,30 +185731,30 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00560_));
- sky130_fd_sc_hd__mux2_1 _12742_ (.A0(_07507_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][15] ),
-    .S(_07725_),
+    .X(_00561_));
+ sky130_fd_sc_hd__clkbuf_2 _12742_ (.A(_07718_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07729_));
- sky130_fd_sc_hd__clkbuf_1 _12743_ (.A(_07729_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00561_));
- sky130_fd_sc_hd__clkbuf_2 _12744_ (.A(_07719_),
+ sky130_fd_sc_hd__mux2_1 _12743_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][16] ),
+    .A1(_07512_),
+    .S(_07729_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07730_));
- sky130_fd_sc_hd__mux2_1 _12745_ (.A0(_07509_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][16] ),
-    .S(_07730_),
+ sky130_fd_sc_hd__clkbuf_1 _12744_ (.A(_07730_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00562_));
+ sky130_fd_sc_hd__mux2_1 _12745_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][17] ),
+    .A1(_07515_),
+    .S(_07729_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -186268,10 +185765,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00562_));
- sky130_fd_sc_hd__mux2_1 _12747_ (.A0(_07512_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][17] ),
-    .S(_07730_),
+    .X(_00563_));
+ sky130_fd_sc_hd__mux2_1 _12747_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][18] ),
+    .A1(_07517_),
+    .S(_07729_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -186282,10 +185779,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00563_));
- sky130_fd_sc_hd__mux2_1 _12749_ (.A0(_07514_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][18] ),
-    .S(_07730_),
+    .X(_00564_));
+ sky130_fd_sc_hd__mux2_1 _12749_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][19] ),
+    .A1(_07519_),
+    .S(_07729_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -186296,30 +185793,30 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00564_));
- sky130_fd_sc_hd__mux2_1 _12751_ (.A0(_07516_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][19] ),
-    .S(_07730_),
+    .X(_00565_));
+ sky130_fd_sc_hd__clkbuf_2 _12751_ (.A(_07718_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07734_));
- sky130_fd_sc_hd__clkbuf_1 _12752_ (.A(_07734_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00565_));
- sky130_fd_sc_hd__clkbuf_2 _12753_ (.A(_07719_),
+ sky130_fd_sc_hd__mux2_1 _12752_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][20] ),
+    .A1(_07521_),
+    .S(_07734_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07735_));
- sky130_fd_sc_hd__mux2_1 _12754_ (.A0(_07518_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][20] ),
-    .S(_07735_),
+ sky130_fd_sc_hd__clkbuf_1 _12753_ (.A(_07735_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00566_));
+ sky130_fd_sc_hd__mux2_1 _12754_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][21] ),
+    .A1(_07524_),
+    .S(_07734_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -186330,10 +185827,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00566_));
- sky130_fd_sc_hd__mux2_1 _12756_ (.A0(_07521_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][21] ),
-    .S(_07735_),
+    .X(_00567_));
+ sky130_fd_sc_hd__mux2_1 _12756_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][22] ),
+    .A1(_07526_),
+    .S(_07734_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -186344,10 +185841,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00567_));
- sky130_fd_sc_hd__mux2_1 _12758_ (.A0(_07523_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][22] ),
-    .S(_07735_),
+    .X(_00568_));
+ sky130_fd_sc_hd__mux2_1 _12758_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][23] ),
+    .A1(_07528_),
+    .S(_07734_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -186358,30 +185855,30 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00568_));
- sky130_fd_sc_hd__mux2_1 _12760_ (.A0(_07525_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][23] ),
-    .S(_07735_),
+    .X(_00569_));
+ sky130_fd_sc_hd__clkbuf_2 _12760_ (.A(_07717_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07739_));
- sky130_fd_sc_hd__clkbuf_1 _12761_ (.A(_07739_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00569_));
- sky130_fd_sc_hd__clkbuf_2 _12762_ (.A(_07718_),
+ sky130_fd_sc_hd__mux2_1 _12761_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][24] ),
+    .A1(_07530_),
+    .S(_07739_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07740_));
- sky130_fd_sc_hd__mux2_1 _12763_ (.A0(_07527_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][24] ),
-    .S(_07740_),
+ sky130_fd_sc_hd__clkbuf_1 _12762_ (.A(_07740_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00570_));
+ sky130_fd_sc_hd__mux2_1 _12763_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][25] ),
+    .A1(_07533_),
+    .S(_07739_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -186392,10 +185889,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00570_));
- sky130_fd_sc_hd__mux2_1 _12765_ (.A0(_07530_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][25] ),
-    .S(_07740_),
+    .X(_00571_));
+ sky130_fd_sc_hd__mux2_1 _12765_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][26] ),
+    .A1(_07535_),
+    .S(_07739_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -186406,10 +185903,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00571_));
- sky130_fd_sc_hd__mux2_1 _12767_ (.A0(_07532_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][26] ),
-    .S(_07740_),
+    .X(_00572_));
+ sky130_fd_sc_hd__mux2_1 _12767_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][27] ),
+    .A1(_07537_),
+    .S(_07739_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -186420,30 +185917,30 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00572_));
- sky130_fd_sc_hd__mux2_1 _12769_ (.A0(_07534_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][27] ),
-    .S(_07740_),
+    .X(_00573_));
+ sky130_fd_sc_hd__clkbuf_2 _12769_ (.A(_07717_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07744_));
- sky130_fd_sc_hd__clkbuf_1 _12770_ (.A(_07744_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00573_));
- sky130_fd_sc_hd__clkbuf_2 _12771_ (.A(_07718_),
+ sky130_fd_sc_hd__mux2_1 _12770_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][32] ),
+    .A1(_07539_),
+    .S(_07744_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07745_));
- sky130_fd_sc_hd__mux2_1 _12772_ (.A0(_07536_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][32] ),
-    .S(_07745_),
+ sky130_fd_sc_hd__clkbuf_1 _12771_ (.A(_07745_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00574_));
+ sky130_fd_sc_hd__mux2_1 _12772_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][33] ),
+    .A1(_07542_),
+    .S(_07744_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -186454,10 +185951,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00574_));
- sky130_fd_sc_hd__mux2_1 _12774_ (.A0(_07539_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][33] ),
-    .S(_07745_),
+    .X(_00575_));
+ sky130_fd_sc_hd__mux2_1 _12774_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][34] ),
+    .A1(_07544_),
+    .S(_07744_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -186468,10 +185965,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00575_));
- sky130_fd_sc_hd__mux2_1 _12776_ (.A0(_07541_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][34] ),
-    .S(_07745_),
+    .X(_00576_));
+ sky130_fd_sc_hd__mux2_1 _12776_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][35] ),
+    .A1(_07546_),
+    .S(_07744_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -186482,30 +185979,30 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00576_));
- sky130_fd_sc_hd__mux2_1 _12778_ (.A0(_07543_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][35] ),
-    .S(_07745_),
+    .X(_00577_));
+ sky130_fd_sc_hd__clkbuf_2 _12778_ (.A(_07717_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07749_));
- sky130_fd_sc_hd__clkbuf_1 _12779_ (.A(_07749_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00577_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12780_ (.A(_07718_),
+ sky130_fd_sc_hd__mux2_1 _12779_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][36] ),
+    .A1(_07548_),
+    .S(_07749_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07750_));
- sky130_fd_sc_hd__mux2_1 _12781_ (.A0(_07547_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][36] ),
-    .S(_07750_),
+ sky130_fd_sc_hd__clkbuf_1 _12780_ (.A(_07750_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00578_));
+ sky130_fd_sc_hd__mux2_1 _12781_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][37] ),
+    .A1(net2),
+    .S(_07749_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -186516,10 +186013,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00578_));
- sky130_fd_sc_hd__mux2_1 _12783_ (.A0(net2),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][37] ),
-    .S(_07750_),
+    .X(_00579_));
+ sky130_fd_sc_hd__mux2_1 _12783_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][38] ),
+    .A1(net3),
+    .S(_07749_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -186530,10 +186027,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00579_));
- sky130_fd_sc_hd__mux2_1 _12785_ (.A0(net3),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][38] ),
-    .S(_07750_),
+    .X(_00580_));
+ sky130_fd_sc_hd__mux2_1 _12785_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][39] ),
+    .A1(_07553_),
+    .S(_07749_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -186544,10 +186041,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00580_));
- sky130_fd_sc_hd__mux2_1 _12787_ (.A0(_07552_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][39] ),
-    .S(_07750_),
+    .X(_00581_));
+ sky130_fd_sc_hd__mux2_1 _12787_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][40] ),
+    .A1(_07555_),
+    .S(_07709_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -186558,10 +186055,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00581_));
- sky130_fd_sc_hd__mux2_1 _12789_ (.A0(_07554_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][40] ),
-    .S(_07710_),
+    .X(_00582_));
+ sky130_fd_sc_hd__mux2_1 _12789_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][41] ),
+    .A1(_07557_),
+    .S(_07709_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -186572,10 +186069,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00582_));
- sky130_fd_sc_hd__mux2_1 _12791_ (.A0(_07556_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][41] ),
-    .S(_07710_),
+    .X(_00583_));
+ sky130_fd_sc_hd__mux2_1 _12791_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][42] ),
+    .A1(_07559_),
+    .S(_07709_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -186586,117 +186083,117 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00583_));
- sky130_fd_sc_hd__mux2_1 _12793_ (.A0(_07558_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[0][42] ),
-    .S(_07710_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07757_));
- sky130_fd_sc_hd__clkbuf_1 _12794_ (.A(_07757_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
     .X(_00584_));
- sky130_fd_sc_hd__or2_1 _12795_ (.A(_07472_),
-    .B(_07484_),
+ sky130_fd_sc_hd__nand2_1 _12793_ (.A(_05809_),
+    .B(_05819_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07758_));
- sky130_fd_sc_hd__or2_1 _12796_ (.A(_07485_),
-    .B(_07758_),
+    .Y(_07757_));
+ sky130_fd_sc_hd__nor2_1 _12794_ (.A(_05804_),
+    .B(_07757_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_07758_));
+ sky130_fd_sc_hd__clkbuf_2 _12795_ (.A(_07758_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07759_));
- sky130_fd_sc_hd__clkbuf_2 _12797_ (.A(_07759_),
+ sky130_fd_sc_hd__clkbuf_2 _12796_ (.A(_07759_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07760_));
- sky130_fd_sc_hd__mux2_1 _12798_ (.A0(_07708_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][4] ),
+ sky130_fd_sc_hd__mux2_1 _12797_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[1][4] ),
+    .A1(_07707_),
     .S(_07760_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07761_));
- sky130_fd_sc_hd__clkbuf_1 _12799_ (.A(_07761_),
+ sky130_fd_sc_hd__clkbuf_1 _12798_ (.A(_07761_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00585_));
- sky130_fd_sc_hd__mux2_1 _12800_ (.A0(_07713_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][5] ),
+ sky130_fd_sc_hd__mux2_1 _12799_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[1][5] ),
+    .A1(_07712_),
     .S(_07760_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07762_));
- sky130_fd_sc_hd__clkbuf_1 _12801_ (.A(_07762_),
+ sky130_fd_sc_hd__clkbuf_1 _12800_ (.A(_07762_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00586_));
- sky130_fd_sc_hd__mux2_1 _12802_ (.A0(_07715_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][6] ),
+ sky130_fd_sc_hd__mux2_1 _12801_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[1][6] ),
+    .A1(_07714_),
     .S(_07760_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07763_));
- sky130_fd_sc_hd__clkbuf_1 _12803_ (.A(_07763_),
+ sky130_fd_sc_hd__clkbuf_1 _12802_ (.A(_07763_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00587_));
- sky130_fd_sc_hd__clkbuf_2 _12804_ (.A(_07759_),
+ sky130_fd_sc_hd__clkbuf_2 _12803_ (.A(_07578_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07764_));
- sky130_fd_sc_hd__clkbuf_2 _12805_ (.A(_07764_),
+ sky130_fd_sc_hd__clkbuf_2 _12804_ (.A(_07759_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07765_));
- sky130_fd_sc_hd__mux2_1 _12806_ (.A0(_07687_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][7] ),
+ sky130_fd_sc_hd__mux2_1 _12805_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[1][7] ),
+    .A1(_07764_),
     .S(_07765_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07766_));
- sky130_fd_sc_hd__clkbuf_1 _12807_ (.A(_07766_),
+ sky130_fd_sc_hd__clkbuf_1 _12806_ (.A(_07766_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00588_));
- sky130_fd_sc_hd__clkbuf_2 _12808_ (.A(_06452_),
+ sky130_fd_sc_hd__mux2_1 _12807_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[1][8] ),
+    .A1(_07672_),
+    .S(_07765_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07767_));
- sky130_fd_sc_hd__mux2_1 _12809_ (.A0(_07767_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][8] ),
+ sky130_fd_sc_hd__clkbuf_1 _12808_ (.A(_07767_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00589_));
+ sky130_fd_sc_hd__mux2_1 _12809_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[1][9] ),
+    .A1(_07690_),
     .S(_07765_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -186708,9 +186205,9 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00589_));
- sky130_fd_sc_hd__mux2_1 _12811_ (.A0(_07691_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][9] ),
+    .X(_00590_));
+ sky130_fd_sc_hd__mux2_1 _12811_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[1][10] ),
+    .A1(_07692_),
     .S(_07765_),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -186722,30 +186219,30 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00590_));
- sky130_fd_sc_hd__mux2_1 _12813_ (.A0(_07693_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][10] ),
-    .S(_07765_),
+    .X(_00591_));
+ sky130_fd_sc_hd__clkbuf_2 _12813_ (.A(_07759_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07770_));
- sky130_fd_sc_hd__clkbuf_1 _12814_ (.A(_07770_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00591_));
- sky130_fd_sc_hd__clkbuf_2 _12815_ (.A(_07764_),
+ sky130_fd_sc_hd__mux2_1 _12814_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[1][11] ),
+    .A1(_07694_),
+    .S(_07770_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07771_));
- sky130_fd_sc_hd__mux2_1 _12816_ (.A0(_07695_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][11] ),
-    .S(_07771_),
+ sky130_fd_sc_hd__clkbuf_1 _12815_ (.A(_07771_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00592_));
+ sky130_fd_sc_hd__mux2_1 _12816_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[1][12] ),
+    .A1(_07503_),
+    .S(_07770_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -186756,10 +186253,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00592_));
- sky130_fd_sc_hd__mux2_1 _12818_ (.A0(_07500_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][12] ),
-    .S(_07771_),
+    .X(_00593_));
+ sky130_fd_sc_hd__mux2_1 _12818_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[1][13] ),
+    .A1(_07506_),
+    .S(_07770_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -186770,10 +186267,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00593_));
- sky130_fd_sc_hd__mux2_1 _12820_ (.A0(_07503_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][13] ),
-    .S(_07771_),
+    .X(_00594_));
+ sky130_fd_sc_hd__mux2_1 _12820_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[1][14] ),
+    .A1(_07508_),
+    .S(_07770_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -186784,30 +186281,30 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00594_));
- sky130_fd_sc_hd__mux2_1 _12822_ (.A0(_07505_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][14] ),
-    .S(_07771_),
+    .X(_00595_));
+ sky130_fd_sc_hd__clkbuf_2 _12822_ (.A(_07759_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07775_));
- sky130_fd_sc_hd__clkbuf_1 _12823_ (.A(_07775_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00595_));
- sky130_fd_sc_hd__clkbuf_2 _12824_ (.A(_07764_),
+ sky130_fd_sc_hd__mux2_1 _12823_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[1][15] ),
+    .A1(_07510_),
+    .S(_07775_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07776_));
- sky130_fd_sc_hd__mux2_1 _12825_ (.A0(_07507_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][15] ),
-    .S(_07776_),
+ sky130_fd_sc_hd__clkbuf_1 _12824_ (.A(_07776_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00596_));
+ sky130_fd_sc_hd__mux2_1 _12825_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[1][16] ),
+    .A1(_07512_),
+    .S(_07775_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -186818,10 +186315,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00596_));
- sky130_fd_sc_hd__mux2_1 _12827_ (.A0(_07509_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][16] ),
-    .S(_07776_),
+    .X(_00597_));
+ sky130_fd_sc_hd__mux2_1 _12827_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[1][17] ),
+    .A1(_07515_),
+    .S(_07775_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -186832,10 +186329,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00597_));
- sky130_fd_sc_hd__mux2_1 _12829_ (.A0(_07512_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][17] ),
-    .S(_07776_),
+    .X(_00598_));
+ sky130_fd_sc_hd__mux2_1 _12829_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[1][18] ),
+    .A1(_07517_),
+    .S(_07775_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -186846,98 +186343,98 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00598_));
- sky130_fd_sc_hd__mux2_1 _12831_ (.A0(_07514_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][18] ),
-    .S(_07776_),
+    .X(_00599_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12831_ (.A(_07758_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07780_));
- sky130_fd_sc_hd__clkbuf_1 _12832_ (.A(_07780_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00599_));
- sky130_fd_sc_hd__clkbuf_2 _12833_ (.A(_07764_),
+ sky130_fd_sc_hd__buf_2 _12832_ (.A(_07780_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07781_));
- sky130_fd_sc_hd__mux2_1 _12834_ (.A0(_07516_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][19] ),
+ sky130_fd_sc_hd__mux2_1 _12833_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[1][19] ),
+    .A1(_07519_),
     .S(_07781_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07782_));
- sky130_fd_sc_hd__clkbuf_1 _12835_ (.A(_07782_),
+ sky130_fd_sc_hd__clkbuf_1 _12834_ (.A(_07782_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00600_));
- sky130_fd_sc_hd__mux2_1 _12836_ (.A0(_07518_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][20] ),
+ sky130_fd_sc_hd__mux2_1 _12835_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[1][20] ),
+    .A1(_07521_),
     .S(_07781_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07783_));
- sky130_fd_sc_hd__clkbuf_1 _12837_ (.A(_07783_),
+ sky130_fd_sc_hd__clkbuf_1 _12836_ (.A(_07783_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00601_));
- sky130_fd_sc_hd__mux2_1 _12838_ (.A0(_07521_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][21] ),
+ sky130_fd_sc_hd__mux2_1 _12837_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[1][21] ),
+    .A1(_07524_),
     .S(_07781_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07784_));
- sky130_fd_sc_hd__clkbuf_1 _12839_ (.A(_07784_),
+ sky130_fd_sc_hd__clkbuf_1 _12838_ (.A(_07784_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00602_));
- sky130_fd_sc_hd__mux2_1 _12840_ (.A0(_07523_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][22] ),
+ sky130_fd_sc_hd__mux2_1 _12839_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[1][22] ),
+    .A1(_07526_),
     .S(_07781_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07785_));
- sky130_fd_sc_hd__clkbuf_1 _12841_ (.A(_07785_),
+ sky130_fd_sc_hd__clkbuf_1 _12840_ (.A(_07785_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00603_));
- sky130_fd_sc_hd__clkbuf_2 _12842_ (.A(_07759_),
+ sky130_fd_sc_hd__clkbuf_2 _12841_ (.A(_07780_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07786_));
- sky130_fd_sc_hd__clkbuf_2 _12843_ (.A(_07786_),
+ sky130_fd_sc_hd__mux2_1 _12842_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[1][23] ),
+    .A1(_07528_),
+    .S(_07786_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07787_));
- sky130_fd_sc_hd__mux2_1 _12844_ (.A0(_07525_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][23] ),
-    .S(_07787_),
+ sky130_fd_sc_hd__clkbuf_1 _12843_ (.A(_07787_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00604_));
+ sky130_fd_sc_hd__mux2_1 _12844_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[1][24] ),
+    .A1(_07530_),
+    .S(_07786_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -186948,10 +186445,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00604_));
- sky130_fd_sc_hd__mux2_1 _12846_ (.A0(_07527_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][24] ),
-    .S(_07787_),
+    .X(_00605_));
+ sky130_fd_sc_hd__mux2_1 _12846_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[1][25] ),
+    .A1(_07533_),
+    .S(_07786_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -186962,10 +186459,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00605_));
- sky130_fd_sc_hd__mux2_1 _12848_ (.A0(_07530_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][25] ),
-    .S(_07787_),
+    .X(_00606_));
+ sky130_fd_sc_hd__mux2_1 _12848_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[1][26] ),
+    .A1(_07535_),
+    .S(_07786_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -186976,30 +186473,30 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00606_));
- sky130_fd_sc_hd__mux2_1 _12850_ (.A0(_07532_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][26] ),
-    .S(_07787_),
+    .X(_00607_));
+ sky130_fd_sc_hd__clkbuf_2 _12850_ (.A(_07780_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07791_));
- sky130_fd_sc_hd__clkbuf_1 _12851_ (.A(_07791_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00607_));
- sky130_fd_sc_hd__clkbuf_2 _12852_ (.A(_07786_),
+ sky130_fd_sc_hd__mux2_1 _12851_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[1][27] ),
+    .A1(_07537_),
+    .S(_07791_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07792_));
- sky130_fd_sc_hd__mux2_1 _12853_ (.A0(_07534_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][27] ),
-    .S(_07792_),
+ sky130_fd_sc_hd__clkbuf_1 _12852_ (.A(_07792_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00608_));
+ sky130_fd_sc_hd__mux2_1 _12853_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[1][32] ),
+    .A1(_07539_),
+    .S(_07791_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -187010,10 +186507,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00608_));
- sky130_fd_sc_hd__mux2_1 _12855_ (.A0(_07536_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][32] ),
-    .S(_07792_),
+    .X(_00609_));
+ sky130_fd_sc_hd__mux2_1 _12855_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[1][33] ),
+    .A1(_07542_),
+    .S(_07791_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -187024,10 +186521,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00609_));
- sky130_fd_sc_hd__mux2_1 _12857_ (.A0(_07539_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][33] ),
-    .S(_07792_),
+    .X(_00610_));
+ sky130_fd_sc_hd__mux2_1 _12857_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[1][34] ),
+    .A1(_07544_),
+    .S(_07791_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -187038,30 +186535,30 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00610_));
- sky130_fd_sc_hd__mux2_1 _12859_ (.A0(_07541_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][34] ),
-    .S(_07792_),
+    .X(_00611_));
+ sky130_fd_sc_hd__clkbuf_2 _12859_ (.A(_07780_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07796_));
- sky130_fd_sc_hd__clkbuf_1 _12860_ (.A(_07796_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00611_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12861_ (.A(_07786_),
+ sky130_fd_sc_hd__mux2_1 _12860_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[1][35] ),
+    .A1(_07546_),
+    .S(_07796_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07797_));
- sky130_fd_sc_hd__mux2_1 _12862_ (.A0(_07543_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][35] ),
-    .S(_07797_),
+ sky130_fd_sc_hd__clkbuf_1 _12861_ (.A(_07797_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00612_));
+ sky130_fd_sc_hd__mux2_1 _12862_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[1][36] ),
+    .A1(_07548_),
+    .S(_07796_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -187072,10 +186569,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00612_));
- sky130_fd_sc_hd__mux2_1 _12864_ (.A0(_07547_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][36] ),
-    .S(_07797_),
+    .X(_00613_));
+ sky130_fd_sc_hd__mux2_1 _12864_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[1][37] ),
+    .A1(net2),
+    .S(_07796_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -187086,10 +186583,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00613_));
- sky130_fd_sc_hd__mux2_1 _12866_ (.A0(net2),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][37] ),
-    .S(_07797_),
+    .X(_00614_));
+ sky130_fd_sc_hd__mux2_1 _12866_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[1][38] ),
+    .A1(net3),
+    .S(_07796_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -187100,30 +186597,30 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00614_));
- sky130_fd_sc_hd__mux2_1 _12868_ (.A0(net3),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][38] ),
-    .S(_07797_),
+    .X(_00615_));
+ sky130_fd_sc_hd__clkbuf_2 _12868_ (.A(_07758_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07801_));
- sky130_fd_sc_hd__clkbuf_1 _12869_ (.A(_07801_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00615_));
- sky130_fd_sc_hd__clkbuf_2 _12870_ (.A(_07786_),
+ sky130_fd_sc_hd__mux2_1 _12869_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[1][39] ),
+    .A1(_07553_),
+    .S(_07801_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07802_));
- sky130_fd_sc_hd__mux2_1 _12871_ (.A0(_07552_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][39] ),
-    .S(_07802_),
+ sky130_fd_sc_hd__clkbuf_1 _12870_ (.A(_07802_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00616_));
+ sky130_fd_sc_hd__mux2_1 _12871_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[1][40] ),
+    .A1(_07555_),
+    .S(_07801_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -187134,10 +186631,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00616_));
- sky130_fd_sc_hd__mux2_1 _12873_ (.A0(_07554_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][40] ),
-    .S(_07802_),
+    .X(_00617_));
+ sky130_fd_sc_hd__mux2_1 _12873_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[1][41] ),
+    .A1(_07557_),
+    .S(_07801_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -187148,10 +186645,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00617_));
- sky130_fd_sc_hd__mux2_1 _12875_ (.A0(_07556_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][41] ),
-    .S(_07802_),
+    .X(_00618_));
+ sky130_fd_sc_hd__mux2_1 _12875_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[1][42] ),
+    .A1(_07559_),
+    .S(_07801_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -187162,10 +186659,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00618_));
- sky130_fd_sc_hd__mux2_1 _12877_ (.A0(_07558_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][42] ),
-    .S(_07802_),
+    .X(_00619_));
+ sky130_fd_sc_hd__mux2_1 _12877_ (.A0(\u_uart_core.u_txfifo.mem[15][0] ),
+    .A1(_07707_),
+    .S(_06434_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -187176,10 +186673,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00619_));
- sky130_fd_sc_hd__mux2_1 _12879_ (.A0(\u_uart_core.u_txfifo.mem[15][0] ),
-    .A1(_07627_),
-    .S(_06429_),
+    .X(_00620_));
+ sky130_fd_sc_hd__mux2_1 _12879_ (.A0(\u_uart_core.u_txfifo.mem[15][1] ),
+    .A1(_07712_),
+    .S(_06434_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -187190,30 +186687,30 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00620_));
- sky130_fd_sc_hd__mux2_1 _12881_ (.A0(\u_uart_core.u_txfifo.mem[15][1] ),
-    .A1(_07631_),
-    .S(_06429_),
+    .X(_00621_));
+ sky130_fd_sc_hd__clkbuf_2 _12881_ (.A(_06433_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07808_));
- sky130_fd_sc_hd__clkbuf_1 _12882_ (.A(_07808_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00621_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12883_ (.A(_06428_),
+ sky130_fd_sc_hd__mux2_1 _12882_ (.A0(\u_uart_core.u_txfifo.mem[15][2] ),
+    .A1(_07714_),
+    .S(_07808_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07809_));
- sky130_fd_sc_hd__mux2_1 _12884_ (.A0(\u_uart_core.u_txfifo.mem[15][2] ),
-    .A1(_07633_),
-    .S(_07809_),
+ sky130_fd_sc_hd__clkbuf_1 _12883_ (.A(_07809_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00622_));
+ sky130_fd_sc_hd__mux2_1 _12884_ (.A0(\u_uart_core.u_txfifo.mem[15][3] ),
+    .A1(_07764_),
+    .S(_07808_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -187224,44 +186721,44 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00622_));
- sky130_fd_sc_hd__mux2_1 _12886_ (.A0(\u_uart_core.u_txfifo.mem[15][3] ),
-    .A1(_07670_),
-    .S(_07809_),
+    .X(_00623_));
+ sky130_fd_sc_hd__clkbuf_2 _12886_ (.A(_06458_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07811_));
- sky130_fd_sc_hd__clkbuf_1 _12887_ (.A(_07811_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00623_));
- sky130_fd_sc_hd__mux2_1 _12888_ (.A0(\u_uart_core.u_txfifo.mem[15][4] ),
-    .A1(_07672_),
-    .S(_07809_),
+ sky130_fd_sc_hd__mux2_1 _12887_ (.A0(\u_uart_core.u_txfifo.mem[15][4] ),
+    .A1(_07811_),
+    .S(_07808_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07812_));
- sky130_fd_sc_hd__clkbuf_1 _12889_ (.A(_07812_),
+ sky130_fd_sc_hd__clkbuf_1 _12888_ (.A(_07812_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00624_));
- sky130_fd_sc_hd__buf_2 _12890_ (.A(_06955_),
+ sky130_fd_sc_hd__mux2_1 _12889_ (.A0(\u_uart_core.u_txfifo.mem[15][5] ),
+    .A1(_07690_),
+    .S(_07808_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07813_));
- sky130_fd_sc_hd__mux2_1 _12891_ (.A0(\u_uart_core.u_txfifo.mem[15][5] ),
-    .A1(_07813_),
-    .S(_07809_),
+ sky130_fd_sc_hd__clkbuf_1 _12890_ (.A(_07813_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00625_));
+ sky130_fd_sc_hd__mux2_1 _12891_ (.A0(\u_uart_core.u_txfifo.mem[15][6] ),
+    .A1(_07692_),
+    .S(_06433_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -187272,36 +186769,38 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00625_));
- sky130_fd_sc_hd__buf_2 _12893_ (.A(_06958_),
+    .X(_00626_));
+ sky130_fd_sc_hd__mux2_1 _12893_ (.A0(\u_uart_core.u_txfifo.mem[15][7] ),
+    .A1(_07694_),
+    .S(_06433_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07815_));
- sky130_fd_sc_hd__mux2_1 _12894_ (.A0(\u_uart_core.u_txfifo.mem[15][6] ),
-    .A1(_07815_),
-    .S(_06428_),
+ sky130_fd_sc_hd__clkbuf_1 _12894_ (.A(_07815_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00627_));
+ sky130_fd_sc_hd__or3_1 _12895_ (.A(_06437_),
+    .B(_06417_),
+    .C(_06448_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07816_));
- sky130_fd_sc_hd__clkbuf_1 _12895_ (.A(_07816_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00626_));
- sky130_fd_sc_hd__buf_2 _12896_ (.A(_06961_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12896_ (.A(_07816_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07817_));
- sky130_fd_sc_hd__mux2_1 _12897_ (.A0(\u_uart_core.u_txfifo.mem[15][7] ),
-    .A1(_07817_),
-    .S(_06428_),
+ sky130_fd_sc_hd__mux2_1 _12897_ (.A0(_06447_),
+    .A1(\u_uart_core.u_txfifo.mem[1][0] ),
+    .S(_07817_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -187312,459 +186811,456 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00627_));
- sky130_fd_sc_hd__or3_2 _12899_ (.A(_06410_),
-    .B(_06408_),
-    .C(_06442_),
+    .X(_00628_));
+ sky130_fd_sc_hd__mux2_1 _12899_ (.A0(_06452_),
+    .A1(\u_uart_core.u_txfifo.mem[1][1] ),
+    .S(_07817_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07819_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12900_ (.A(_07819_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07820_));
- sky130_fd_sc_hd__mux2_1 _12901_ (.A0(_07708_),
-    .A1(\u_uart_core.u_txfifo.mem[1][0] ),
-    .S(_07820_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07821_));
- sky130_fd_sc_hd__clkbuf_1 _12902_ (.A(_07821_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00628_));
- sky130_fd_sc_hd__mux2_1 _12903_ (.A0(_07713_),
-    .A1(\u_uart_core.u_txfifo.mem[1][1] ),
-    .S(_07820_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07822_));
- sky130_fd_sc_hd__clkbuf_1 _12904_ (.A(_07822_),
+ sky130_fd_sc_hd__clkbuf_1 _12900_ (.A(_07819_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00629_));
- sky130_fd_sc_hd__mux2_1 _12905_ (.A0(_07715_),
+ sky130_fd_sc_hd__mux2_1 _12901_ (.A0(_06454_),
     .A1(\u_uart_core.u_txfifo.mem[1][2] ),
-    .S(_07820_),
+    .S(_07817_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07823_));
- sky130_fd_sc_hd__clkbuf_1 _12906_ (.A(_07823_),
+    .X(_07820_));
+ sky130_fd_sc_hd__clkbuf_1 _12902_ (.A(_07820_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00630_));
- sky130_fd_sc_hd__mux2_1 _12907_ (.A0(_06450_),
+ sky130_fd_sc_hd__mux2_1 _12903_ (.A0(_07035_),
     .A1(\u_uart_core.u_txfifo.mem[1][3] ),
-    .S(_07820_),
+    .S(_07817_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07824_));
- sky130_fd_sc_hd__clkbuf_1 _12908_ (.A(_07824_),
+    .X(_07821_));
+ sky130_fd_sc_hd__clkbuf_1 _12904_ (.A(_07821_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00631_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _12909_ (.A(_07819_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12905_ (.A(_07816_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07825_));
- sky130_fd_sc_hd__mux2_1 _12910_ (.A0(_07767_),
+    .X(_07822_));
+ sky130_fd_sc_hd__mux2_1 _12906_ (.A0(_06459_),
     .A1(\u_uart_core.u_txfifo.mem[1][4] ),
-    .S(_07825_),
+    .S(_07822_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07826_));
- sky130_fd_sc_hd__clkbuf_1 _12911_ (.A(_07826_),
+    .X(_07823_));
+ sky130_fd_sc_hd__clkbuf_1 _12907_ (.A(_07823_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00632_));
- sky130_fd_sc_hd__mux2_1 _12912_ (.A0(_06456_),
+ sky130_fd_sc_hd__mux2_1 _12908_ (.A0(_07040_),
     .A1(\u_uart_core.u_txfifo.mem[1][5] ),
-    .S(_07825_),
+    .S(_07822_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07827_));
- sky130_fd_sc_hd__clkbuf_1 _12913_ (.A(_07827_),
+    .X(_07824_));
+ sky130_fd_sc_hd__clkbuf_1 _12909_ (.A(_07824_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00633_));
- sky130_fd_sc_hd__mux2_1 _12914_ (.A0(_06458_),
+ sky130_fd_sc_hd__mux2_1 _12910_ (.A0(_07042_),
     .A1(\u_uart_core.u_txfifo.mem[1][6] ),
-    .S(_07825_),
+    .S(_07822_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07828_));
- sky130_fd_sc_hd__clkbuf_1 _12915_ (.A(_07828_),
+    .X(_07825_));
+ sky130_fd_sc_hd__clkbuf_1 _12911_ (.A(_07825_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00634_));
- sky130_fd_sc_hd__mux2_1 _12916_ (.A0(_06460_),
+ sky130_fd_sc_hd__mux2_1 _12912_ (.A0(_07044_),
     .A1(\u_uart_core.u_txfifo.mem[1][7] ),
-    .S(_07825_),
+    .S(_07822_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07829_));
- sky130_fd_sc_hd__clkbuf_1 _12917_ (.A(_07829_),
+    .X(_07826_));
+ sky130_fd_sc_hd__clkbuf_1 _12913_ (.A(_07826_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00635_));
- sky130_fd_sc_hd__a21o_1 _12918_ (.A1(\u_usb_host.u_phy.rxd1_q ),
-    .A2(net307),
+ sky130_fd_sc_hd__a21o_1 _12914_ (.A1(\u_usb_host.u_phy.rxd1_q ),
+    .A2(\u_usb_host.u_phy.rxd0_q ),
     .B1(\u_usb_host.u_phy.rxd_q ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07830_));
- sky130_fd_sc_hd__o21a_1 _12919_ (.A1(\u_usb_host.u_phy.rxd1_q ),
-    .A2(net307),
-    .B1(_07830_),
+    .X(_07827_));
+ sky130_fd_sc_hd__o21a_1 _12915_ (.A1(\u_usb_host.u_phy.rxd1_q ),
+    .A2(\u_usb_host.u_phy.rxd0_q ),
+    .B1(_07827_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00636_));
- sky130_fd_sc_hd__nand2_1 _12920_ (.A(_06462_),
-    .B(_06283_),
+ sky130_fd_sc_hd__nand2_1 _12916_ (.A(_06468_),
+    .B(_06300_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07831_));
- sky130_fd_sc_hd__buf_2 _12921_ (.A(_07831_),
+    .Y(_07828_));
+ sky130_fd_sc_hd__buf_2 _12917_ (.A(_07828_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07832_));
- sky130_fd_sc_hd__mux2_1 _12922_ (.A0(_07403_),
+    .X(_07829_));
+ sky130_fd_sc_hd__mux2_1 _12918_ (.A0(_07413_),
     .A1(\u_uart_core.u_rxfifo.mem[9][0] ),
-    .S(_07832_),
+    .S(_07829_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07833_));
- sky130_fd_sc_hd__clkbuf_1 _12923_ (.A(_07833_),
+    .X(_07830_));
+ sky130_fd_sc_hd__clkbuf_1 _12919_ (.A(_07830_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00637_));
- sky130_fd_sc_hd__mux2_1 _12924_ (.A0(_07405_),
+ sky130_fd_sc_hd__mux2_1 _12920_ (.A0(_07415_),
     .A1(\u_uart_core.u_rxfifo.mem[9][1] ),
-    .S(_07832_),
+    .S(_07829_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07834_));
- sky130_fd_sc_hd__clkbuf_1 _12925_ (.A(_07834_),
+    .X(_07831_));
+ sky130_fd_sc_hd__clkbuf_1 _12921_ (.A(_07831_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00638_));
- sky130_fd_sc_hd__mux2_1 _12926_ (.A0(_07407_),
+ sky130_fd_sc_hd__mux2_1 _12922_ (.A0(_07417_),
     .A1(\u_uart_core.u_rxfifo.mem[9][2] ),
-    .S(_07832_),
+    .S(_07829_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07835_));
- sky130_fd_sc_hd__clkbuf_1 _12927_ (.A(_07835_),
+    .X(_07832_));
+ sky130_fd_sc_hd__clkbuf_1 _12923_ (.A(_07832_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00639_));
- sky130_fd_sc_hd__mux2_1 _12928_ (.A0(_06915_),
+ sky130_fd_sc_hd__mux2_1 _12924_ (.A0(_06925_),
     .A1(\u_uart_core.u_rxfifo.mem[9][3] ),
-    .S(_07832_),
+    .S(_07829_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07836_));
- sky130_fd_sc_hd__clkbuf_1 _12929_ (.A(_07836_),
+    .X(_07833_));
+ sky130_fd_sc_hd__clkbuf_1 _12925_ (.A(_07833_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00640_));
- sky130_fd_sc_hd__clkbuf_4 _12930_ (.A(_07831_),
+ sky130_fd_sc_hd__clkbuf_2 _12926_ (.A(_07828_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07837_));
- sky130_fd_sc_hd__mux2_1 _12931_ (.A0(_07411_),
+    .X(_07834_));
+ sky130_fd_sc_hd__mux2_1 _12927_ (.A0(_07421_),
     .A1(\u_uart_core.u_rxfifo.mem[9][4] ),
-    .S(_07837_),
+    .S(_07834_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07838_));
- sky130_fd_sc_hd__clkbuf_1 _12932_ (.A(_07838_),
+    .X(_07835_));
+ sky130_fd_sc_hd__clkbuf_1 _12928_ (.A(_07835_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00641_));
- sky130_fd_sc_hd__mux2_1 _12933_ (.A0(_07413_),
+ sky130_fd_sc_hd__mux2_1 _12929_ (.A0(_07423_),
     .A1(\u_uart_core.u_rxfifo.mem[9][5] ),
-    .S(_07837_),
+    .S(_07834_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07839_));
- sky130_fd_sc_hd__clkbuf_1 _12934_ (.A(_07839_),
+    .X(_07836_));
+ sky130_fd_sc_hd__clkbuf_1 _12930_ (.A(_07836_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00642_));
- sky130_fd_sc_hd__mux2_1 _12935_ (.A0(_07415_),
+ sky130_fd_sc_hd__mux2_1 _12931_ (.A0(_07425_),
     .A1(\u_uart_core.u_rxfifo.mem[9][6] ),
-    .S(_07837_),
+    .S(_07834_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07840_));
- sky130_fd_sc_hd__clkbuf_1 _12936_ (.A(_07840_),
+    .X(_07837_));
+ sky130_fd_sc_hd__clkbuf_1 _12932_ (.A(_07837_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00643_));
- sky130_fd_sc_hd__mux2_1 _12937_ (.A0(_06927_),
+ sky130_fd_sc_hd__mux2_1 _12933_ (.A0(_06937_),
     .A1(\u_uart_core.u_rxfifo.mem[9][7] ),
-    .S(_07837_),
+    .S(_07834_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07841_));
- sky130_fd_sc_hd__clkbuf_1 _12938_ (.A(_07841_),
+    .X(_07838_));
+ sky130_fd_sc_hd__clkbuf_1 _12934_ (.A(_07838_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00644_));
- sky130_fd_sc_hd__buf_2 _12939_ (.A(_07399_),
+ sky130_fd_sc_hd__or2b_2 _12935_ (.A(_06429_),
+    .B_N(_06422_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07839_));
+ sky130_fd_sc_hd__nor2_1 _12936_ (.A(_06426_),
+    .B(_07839_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_07840_));
+ sky130_fd_sc_hd__clkbuf_2 _12937_ (.A(_07840_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07841_));
+ sky130_fd_sc_hd__mux2_1 _12938_ (.A0(\u_uart_core.u_txfifo.mem[7][0] ),
+    .A1(_07707_),
+    .S(_07841_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07842_));
- sky130_fd_sc_hd__and3_1 _12940_ (.A(_07574_),
-    .B(\u_i2cm.wb_ack_o ),
-    .C(_05964_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07843_));
- sky130_fd_sc_hd__clkbuf_2 _12941_ (.A(_07843_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07844_));
- sky130_fd_sc_hd__mux2_1 _12942_ (.A0(\u_i2cm.prer[8] ),
-    .A1(_07842_),
-    .S(_07844_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07845_));
- sky130_fd_sc_hd__clkbuf_1 _12943_ (.A(_07845_),
+ sky130_fd_sc_hd__clkbuf_1 _12939_ (.A(_07842_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00645_));
- sky130_fd_sc_hd__buf_2 _12944_ (.A(_07396_),
+ sky130_fd_sc_hd__mux2_1 _12940_ (.A0(\u_uart_core.u_txfifo.mem[7][1] ),
+    .A1(_07712_),
+    .S(_07841_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07846_));
- sky130_fd_sc_hd__mux2_1 _12945_ (.A0(\u_i2cm.prer[9] ),
-    .A1(_07846_),
-    .S(_07844_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07847_));
- sky130_fd_sc_hd__clkbuf_1 _12946_ (.A(_07847_),
+    .X(_07843_));
+ sky130_fd_sc_hd__clkbuf_1 _12941_ (.A(_07843_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00646_));
- sky130_fd_sc_hd__buf_2 _12947_ (.A(_07393_),
+ sky130_fd_sc_hd__mux2_1 _12942_ (.A0(\u_uart_core.u_txfifo.mem[7][2] ),
+    .A1(_07714_),
+    .S(_07841_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07848_));
- sky130_fd_sc_hd__mux2_1 _12948_ (.A0(\u_i2cm.prer[10] ),
-    .A1(_07848_),
-    .S(_07844_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07849_));
- sky130_fd_sc_hd__clkbuf_1 _12949_ (.A(_07849_),
+    .X(_07844_));
+ sky130_fd_sc_hd__clkbuf_1 _12943_ (.A(_07844_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00647_));
- sky130_fd_sc_hd__mux2_1 _12950_ (.A0(\u_i2cm.prer[11] ),
-    .A1(_07670_),
-    .S(_07844_),
+ sky130_fd_sc_hd__mux2_1 _12944_ (.A0(\u_uart_core.u_txfifo.mem[7][3] ),
+    .A1(_07764_),
+    .S(_07841_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07850_));
- sky130_fd_sc_hd__clkbuf_1 _12951_ (.A(_07850_),
+    .X(_07845_));
+ sky130_fd_sc_hd__clkbuf_1 _12945_ (.A(_07845_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00648_));
- sky130_fd_sc_hd__clkbuf_2 _12952_ (.A(_07843_),
+ sky130_fd_sc_hd__clkbuf_2 _12946_ (.A(_07840_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07851_));
- sky130_fd_sc_hd__mux2_1 _12953_ (.A0(\u_i2cm.prer[12] ),
-    .A1(_07672_),
-    .S(_07851_),
+    .X(_07846_));
+ sky130_fd_sc_hd__mux2_1 _12947_ (.A0(\u_uart_core.u_txfifo.mem[7][4] ),
+    .A1(_07811_),
+    .S(_07846_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07852_));
- sky130_fd_sc_hd__clkbuf_1 _12954_ (.A(_07852_),
+    .X(_07847_));
+ sky130_fd_sc_hd__clkbuf_1 _12948_ (.A(_07847_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00649_));
- sky130_fd_sc_hd__mux2_1 _12955_ (.A0(\u_i2cm.prer[13] ),
-    .A1(_07813_),
-    .S(_07851_),
+ sky130_fd_sc_hd__clkbuf_4 _12949_ (.A(_07397_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07853_));
- sky130_fd_sc_hd__clkbuf_1 _12956_ (.A(_07853_),
+    .X(_07848_));
+ sky130_fd_sc_hd__mux2_1 _12950_ (.A0(\u_uart_core.u_txfifo.mem[7][5] ),
+    .A1(_07848_),
+    .S(_07846_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07849_));
+ sky130_fd_sc_hd__clkbuf_1 _12951_ (.A(_07849_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00650_));
- sky130_fd_sc_hd__mux2_1 _12957_ (.A0(\u_i2cm.prer[14] ),
-    .A1(_07815_),
-    .S(_07851_),
+ sky130_fd_sc_hd__clkbuf_4 _12952_ (.A(_07392_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07854_));
- sky130_fd_sc_hd__clkbuf_1 _12958_ (.A(_07854_),
+    .X(_07850_));
+ sky130_fd_sc_hd__mux2_1 _12953_ (.A0(\u_uart_core.u_txfifo.mem[7][6] ),
+    .A1(_07850_),
+    .S(_07846_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07851_));
+ sky130_fd_sc_hd__clkbuf_1 _12954_ (.A(_07851_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00651_));
- sky130_fd_sc_hd__mux2_1 _12959_ (.A0(\u_i2cm.prer[15] ),
-    .A1(_07817_),
-    .S(_07851_),
+ sky130_fd_sc_hd__clkbuf_4 _12955_ (.A(_07410_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07855_));
- sky130_fd_sc_hd__clkbuf_1 _12960_ (.A(_07855_),
+    .X(_07852_));
+ sky130_fd_sc_hd__mux2_1 _12956_ (.A0(\u_uart_core.u_txfifo.mem[7][7] ),
+    .A1(_07852_),
+    .S(_07846_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07853_));
+ sky130_fd_sc_hd__clkbuf_1 _12957_ (.A(_07853_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00652_));
- sky130_fd_sc_hd__or2b_1 _12961_ (.A(_06425_),
-    .B_N(_06416_),
+ sky130_fd_sc_hd__clkbuf_4 _12958_ (.A(_07483_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07854_));
+ sky130_fd_sc_hd__nor2_1 _12959_ (.A(_06959_),
+    .B(_07839_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_07855_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12960_ (.A(_07855_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07856_));
- sky130_fd_sc_hd__nor2_1 _12962_ (.A(_06419_),
-    .B(_07856_),
+ sky130_fd_sc_hd__mux2_1 _12961_ (.A0(\u_uart_core.u_txfifo.mem[6][0] ),
+    .A1(_07854_),
+    .S(_07856_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07857_));
- sky130_fd_sc_hd__clkbuf_2 _12963_ (.A(_07857_),
+    .X(_07857_));
+ sky130_fd_sc_hd__clkbuf_1 _12962_ (.A(_07857_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00653_));
+ sky130_fd_sc_hd__clkbuf_4 _12963_ (.A(_07489_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07858_));
- sky130_fd_sc_hd__mux2_1 _12964_ (.A0(\u_uart_core.u_txfifo.mem[7][0] ),
-    .A1(_07842_),
-    .S(_07858_),
+ sky130_fd_sc_hd__mux2_1 _12964_ (.A0(\u_uart_core.u_txfifo.mem[6][1] ),
+    .A1(_07858_),
+    .S(_07856_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -187775,64 +187271,64 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00653_));
- sky130_fd_sc_hd__mux2_1 _12966_ (.A0(\u_uart_core.u_txfifo.mem[7][1] ),
-    .A1(_07846_),
-    .S(_07858_),
+    .X(_00654_));
+ sky130_fd_sc_hd__clkbuf_4 _12966_ (.A(_07492_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07860_));
- sky130_fd_sc_hd__clkbuf_1 _12967_ (.A(_07860_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00654_));
- sky130_fd_sc_hd__mux2_1 _12968_ (.A0(\u_uart_core.u_txfifo.mem[7][2] ),
-    .A1(_07848_),
-    .S(_07858_),
+ sky130_fd_sc_hd__mux2_1 _12967_ (.A0(\u_uart_core.u_txfifo.mem[6][2] ),
+    .A1(_07860_),
+    .S(_07856_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07861_));
- sky130_fd_sc_hd__clkbuf_1 _12969_ (.A(_07861_),
+ sky130_fd_sc_hd__clkbuf_1 _12968_ (.A(_07861_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00655_));
- sky130_fd_sc_hd__buf_2 _12970_ (.A(_06950_),
+ sky130_fd_sc_hd__mux2_1 _12969_ (.A0(\u_uart_core.u_txfifo.mem[6][3] ),
+    .A1(_07764_),
+    .S(_07856_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07862_));
- sky130_fd_sc_hd__mux2_1 _12971_ (.A0(\u_uart_core.u_txfifo.mem[7][3] ),
-    .A1(_07862_),
-    .S(_07858_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07863_));
- sky130_fd_sc_hd__clkbuf_1 _12972_ (.A(_07863_),
+ sky130_fd_sc_hd__clkbuf_1 _12970_ (.A(_07862_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00656_));
- sky130_fd_sc_hd__clkbuf_2 _12973_ (.A(_07857_),
+ sky130_fd_sc_hd__clkbuf_2 _12971_ (.A(_07855_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07863_));
+ sky130_fd_sc_hd__mux2_1 _12972_ (.A0(\u_uart_core.u_txfifo.mem[6][4] ),
+    .A1(_07811_),
+    .S(_07863_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07864_));
- sky130_fd_sc_hd__mux2_1 _12974_ (.A0(\u_uart_core.u_txfifo.mem[7][4] ),
-    .A1(_07672_),
-    .S(_07864_),
+ sky130_fd_sc_hd__clkbuf_1 _12973_ (.A(_07864_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00657_));
+ sky130_fd_sc_hd__mux2_1 _12974_ (.A0(\u_uart_core.u_txfifo.mem[6][5] ),
+    .A1(_07848_),
+    .S(_07863_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -187843,10 +187339,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00657_));
- sky130_fd_sc_hd__mux2_1 _12976_ (.A0(\u_uart_core.u_txfifo.mem[7][5] ),
-    .A1(_07813_),
-    .S(_07864_),
+    .X(_00658_));
+ sky130_fd_sc_hd__mux2_1 _12976_ (.A0(\u_uart_core.u_txfifo.mem[6][6] ),
+    .A1(_07850_),
+    .S(_07863_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -187857,10 +187353,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00658_));
- sky130_fd_sc_hd__mux2_1 _12978_ (.A0(\u_uart_core.u_txfifo.mem[7][6] ),
-    .A1(_07815_),
-    .S(_07864_),
+    .X(_00659_));
+ sky130_fd_sc_hd__mux2_1 _12978_ (.A0(\u_uart_core.u_txfifo.mem[6][7] ),
+    .A1(_07852_),
+    .S(_07863_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -187871,37 +187367,38 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00659_));
- sky130_fd_sc_hd__mux2_1 _12980_ (.A0(\u_uart_core.u_txfifo.mem[7][7] ),
-    .A1(_07817_),
-    .S(_07864_),
+    .X(_00660_));
+ sky130_fd_sc_hd__or3_2 _12980_ (.A(_06437_),
+    .B(_06417_),
+    .C(_07839_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07868_));
- sky130_fd_sc_hd__clkbuf_1 _12981_ (.A(_07868_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _12981_ (.A(_07868_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00660_));
- sky130_fd_sc_hd__nor2_1 _12982_ (.A(_06944_),
-    .B(_07856_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_07869_));
- sky130_fd_sc_hd__clkbuf_2 _12983_ (.A(_07869_),
+    .X(_07869_));
+ sky130_fd_sc_hd__mux2_1 _12982_ (.A0(_06447_),
+    .A1(\u_uart_core.u_txfifo.mem[5][0] ),
+    .S(_07869_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07870_));
- sky130_fd_sc_hd__mux2_1 _12984_ (.A0(\u_uart_core.u_txfifo.mem[6][0] ),
-    .A1(_07842_),
-    .S(_07870_),
+ sky130_fd_sc_hd__clkbuf_1 _12983_ (.A(_07870_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00661_));
+ sky130_fd_sc_hd__mux2_1 _12984_ (.A0(_06452_),
+    .A1(\u_uart_core.u_txfifo.mem[5][1] ),
+    .S(_07869_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -187912,10 +187409,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00661_));
- sky130_fd_sc_hd__mux2_1 _12986_ (.A0(\u_uart_core.u_txfifo.mem[6][1] ),
-    .A1(_07846_),
-    .S(_07870_),
+    .X(_00662_));
+ sky130_fd_sc_hd__mux2_1 _12986_ (.A0(_06454_),
+    .A1(\u_uart_core.u_txfifo.mem[5][2] ),
+    .S(_07869_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -187926,10 +187423,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00662_));
- sky130_fd_sc_hd__mux2_1 _12988_ (.A0(\u_uart_core.u_txfifo.mem[6][2] ),
-    .A1(_07848_),
-    .S(_07870_),
+    .X(_00663_));
+ sky130_fd_sc_hd__mux2_1 _12988_ (.A0(_06456_),
+    .A1(\u_uart_core.u_txfifo.mem[5][3] ),
+    .S(_07869_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -187940,5237 +187437,5262 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00663_));
- sky130_fd_sc_hd__mux2_1 _12990_ (.A0(\u_uart_core.u_txfifo.mem[6][3] ),
-    .A1(_07862_),
-    .S(_07870_),
+    .X(_00664_));
+ sky130_fd_sc_hd__clkbuf_2 _12990_ (.A(_07868_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07874_));
- sky130_fd_sc_hd__clkbuf_1 _12991_ (.A(_07874_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00664_));
- sky130_fd_sc_hd__clkbuf_2 _12992_ (.A(_07026_),
+ sky130_fd_sc_hd__mux2_1 _12991_ (.A0(_06459_),
+    .A1(\u_uart_core.u_txfifo.mem[5][4] ),
+    .S(_07874_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07875_));
- sky130_fd_sc_hd__clkbuf_2 _12993_ (.A(_07869_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07876_));
- sky130_fd_sc_hd__mux2_1 _12994_ (.A0(\u_uart_core.u_txfifo.mem[6][4] ),
-    .A1(_07875_),
-    .S(_07876_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07877_));
- sky130_fd_sc_hd__clkbuf_1 _12995_ (.A(_07877_),
+ sky130_fd_sc_hd__clkbuf_1 _12992_ (.A(_07875_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00665_));
- sky130_fd_sc_hd__mux2_1 _12996_ (.A0(\u_uart_core.u_txfifo.mem[6][5] ),
-    .A1(_07813_),
-    .S(_07876_),
+ sky130_fd_sc_hd__mux2_1 _12993_ (.A0(_06462_),
+    .A1(\u_uart_core.u_txfifo.mem[5][5] ),
+    .S(_07874_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07878_));
- sky130_fd_sc_hd__clkbuf_1 _12997_ (.A(_07878_),
+    .X(_07876_));
+ sky130_fd_sc_hd__clkbuf_1 _12994_ (.A(_07876_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00666_));
- sky130_fd_sc_hd__mux2_1 _12998_ (.A0(\u_uart_core.u_txfifo.mem[6][6] ),
-    .A1(_07815_),
-    .S(_07876_),
+ sky130_fd_sc_hd__mux2_1 _12995_ (.A0(_06464_),
+    .A1(\u_uart_core.u_txfifo.mem[5][6] ),
+    .S(_07874_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07879_));
- sky130_fd_sc_hd__clkbuf_1 _12999_ (.A(_07879_),
+    .X(_07877_));
+ sky130_fd_sc_hd__clkbuf_1 _12996_ (.A(_07877_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00667_));
- sky130_fd_sc_hd__mux2_1 _13000_ (.A0(\u_uart_core.u_txfifo.mem[6][7] ),
-    .A1(_07817_),
-    .S(_07876_),
+ sky130_fd_sc_hd__mux2_1 _12997_ (.A0(_06466_),
+    .A1(\u_uart_core.u_txfifo.mem[5][7] ),
+    .S(_07874_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07880_));
- sky130_fd_sc_hd__clkbuf_1 _13001_ (.A(_07880_),
+    .X(_07878_));
+ sky130_fd_sc_hd__clkbuf_1 _12998_ (.A(_07878_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00668_));
- sky130_fd_sc_hd__and2b_1 _13002_ (.A_N(_06425_),
-    .B(_06426_),
+ sky130_fd_sc_hd__a2bb2o_1 _12999_ (.A1_N(_06486_),
+    .A2_N(_07100_),
+    .B1(_05601_),
+    .B2(_05952_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07879_));
+ sky130_fd_sc_hd__a31o_1 _13000_ (.A1(_05607_),
+    .A2(_05605_),
+    .A3(_06492_),
+    .B1(_07879_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07880_));
+ sky130_fd_sc_hd__or2_1 _13001_ (.A(_06490_),
+    .B(_07880_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07881_));
- sky130_fd_sc_hd__nand2_2 _13003_ (.A(_06413_),
+ sky130_fd_sc_hd__nor2_2 _13002_ (.A(_06497_),
     .B(_07881_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_07882_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13004_ (.A(_07882_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13003_ (.A(_07882_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07883_));
- sky130_fd_sc_hd__mux2_1 _13005_ (.A0(_07708_),
-    .A1(\u_uart_core.u_txfifo.mem[5][0] ),
+ sky130_fd_sc_hd__mux2_1 _13004_ (.A0(\u_sspim.cfg_dataout[24] ),
+    .A1(_06481_),
     .S(_07883_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07884_));
- sky130_fd_sc_hd__clkbuf_1 _13006_ (.A(_07884_),
+ sky130_fd_sc_hd__clkbuf_1 _13005_ (.A(_07884_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00669_));
- sky130_fd_sc_hd__mux2_1 _13007_ (.A0(_07713_),
-    .A1(\u_uart_core.u_txfifo.mem[5][1] ),
+ sky130_fd_sc_hd__mux2_1 _13006_ (.A0(\u_sspim.cfg_dataout[25] ),
+    .A1(_06505_),
     .S(_07883_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07885_));
- sky130_fd_sc_hd__clkbuf_1 _13008_ (.A(_07885_),
+ sky130_fd_sc_hd__clkbuf_1 _13007_ (.A(_07885_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00670_));
- sky130_fd_sc_hd__mux2_1 _13009_ (.A0(_07715_),
-    .A1(\u_uart_core.u_txfifo.mem[5][2] ),
+ sky130_fd_sc_hd__mux2_1 _13008_ (.A0(\u_sspim.cfg_dataout[26] ),
+    .A1(_06509_),
     .S(_07883_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07886_));
- sky130_fd_sc_hd__clkbuf_1 _13010_ (.A(_07886_),
+ sky130_fd_sc_hd__clkbuf_1 _13009_ (.A(_07886_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00671_));
- sky130_fd_sc_hd__mux2_1 _13011_ (.A0(_06450_),
-    .A1(\u_uart_core.u_txfifo.mem[5][3] ),
+ sky130_fd_sc_hd__mux2_1 _13010_ (.A0(\u_sspim.cfg_dataout[27] ),
+    .A1(_06511_),
     .S(_07883_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07887_));
- sky130_fd_sc_hd__clkbuf_1 _13012_ (.A(_07887_),
+ sky130_fd_sc_hd__clkbuf_1 _13011_ (.A(_07887_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00672_));
- sky130_fd_sc_hd__clkbuf_2 _13013_ (.A(_07882_),
+ sky130_fd_sc_hd__clkbuf_2 _13012_ (.A(_07882_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07888_));
- sky130_fd_sc_hd__mux2_1 _13014_ (.A0(_07767_),
-    .A1(\u_uart_core.u_txfifo.mem[5][4] ),
+ sky130_fd_sc_hd__mux2_1 _13013_ (.A0(\u_sspim.cfg_dataout[28] ),
+    .A1(_06513_),
     .S(_07888_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07889_));
- sky130_fd_sc_hd__clkbuf_1 _13015_ (.A(_07889_),
+ sky130_fd_sc_hd__clkbuf_1 _13014_ (.A(_07889_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00673_));
- sky130_fd_sc_hd__mux2_1 _13016_ (.A0(_06456_),
-    .A1(\u_uart_core.u_txfifo.mem[5][5] ),
+ sky130_fd_sc_hd__mux2_1 _13015_ (.A0(\u_sspim.cfg_dataout[29] ),
+    .A1(_06516_),
     .S(_07888_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07890_));
- sky130_fd_sc_hd__clkbuf_1 _13017_ (.A(_07890_),
+ sky130_fd_sc_hd__clkbuf_1 _13016_ (.A(_07890_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00674_));
- sky130_fd_sc_hd__mux2_1 _13018_ (.A0(_06458_),
-    .A1(\u_uart_core.u_txfifo.mem[5][6] ),
+ sky130_fd_sc_hd__mux2_1 _13017_ (.A0(\u_sspim.cfg_dataout[30] ),
+    .A1(_06518_),
     .S(_07888_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07891_));
- sky130_fd_sc_hd__clkbuf_1 _13019_ (.A(_07891_),
+ sky130_fd_sc_hd__clkbuf_1 _13018_ (.A(_07891_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00675_));
- sky130_fd_sc_hd__mux2_1 _13020_ (.A0(_06460_),
-    .A1(\u_uart_core.u_txfifo.mem[5][7] ),
+ sky130_fd_sc_hd__mux2_1 _13019_ (.A0(\u_sspim.cfg_dataout[31] ),
+    .A1(_06520_),
     .S(_07888_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07892_));
- sky130_fd_sc_hd__clkbuf_1 _13021_ (.A(_07892_),
+ sky130_fd_sc_hd__clkbuf_1 _13020_ (.A(_07892_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00676_));
- sky130_fd_sc_hd__a2bb2o_1 _13022_ (.A1_N(_06481_),
-    .A2_N(_07096_),
-    .B1(_05619_),
-    .B2(_05912_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13021_ (.A(\u_usb_host.u_core.sof_transfer_q ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07893_));
- sky130_fd_sc_hd__a31o_1 _13023_ (.A1(_05625_),
-    .A2(_05623_),
-    .A3(_06487_),
-    .B1(_07893_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13022_ (.A(_07893_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07894_));
- sky130_fd_sc_hd__or2_1 _13024_ (.A(_06485_),
-    .B(_07894_),
+ sky130_fd_sc_hd__mux2_1 _13023_ (.A0(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[3] ),
+    .A1(\u_usb_host.u_core.sof_value_q[10] ),
+    .S(_07894_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07895_));
- sky130_fd_sc_hd__nor2_2 _13025_ (.A(_06492_),
-    .B(_07895_),
+ sky130_fd_sc_hd__buf_2 _13024_ (.A(_06232_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07896_));
- sky130_fd_sc_hd__clkbuf_2 _13026_ (.A(_07896_),
+    .X(_07896_));
+ sky130_fd_sc_hd__mux2_1 _13025_ (.A0(\u_usb_host.u_core.u_sie.token_q[5] ),
+    .A1(_07895_),
+    .S(_07896_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07897_));
- sky130_fd_sc_hd__mux2_1 _13027_ (.A0(\u_sspim.cfg_dataout[24] ),
-    .A1(_06476_),
-    .S(_07897_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07898_));
- sky130_fd_sc_hd__clkbuf_1 _13028_ (.A(_07898_),
+ sky130_fd_sc_hd__clkbuf_1 _13026_ (.A(_07897_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00677_));
- sky130_fd_sc_hd__mux2_1 _13029_ (.A0(\u_sspim.cfg_dataout[25] ),
-    .A1(_06500_),
-    .S(_07897_),
+ sky130_fd_sc_hd__mux2_1 _13027_ (.A0(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[2] ),
+    .A1(\u_usb_host.u_core.sof_value_q[9] ),
+    .S(_07894_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07898_));
+ sky130_fd_sc_hd__mux2_1 _13028_ (.A0(\u_usb_host.u_core.u_sie.token_q[6] ),
+    .A1(_07898_),
+    .S(_07896_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07899_));
- sky130_fd_sc_hd__clkbuf_1 _13030_ (.A(_07899_),
+ sky130_fd_sc_hd__clkbuf_1 _13029_ (.A(_07899_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00678_));
- sky130_fd_sc_hd__mux2_1 _13031_ (.A0(\u_sspim.cfg_dataout[26] ),
-    .A1(_06504_),
-    .S(_07897_),
+ sky130_fd_sc_hd__mux2_1 _13030_ (.A0(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[1] ),
+    .A1(\u_usb_host.u_core.sof_value_q[8] ),
+    .S(_07894_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07900_));
- sky130_fd_sc_hd__clkbuf_1 _13032_ (.A(_07900_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00679_));
- sky130_fd_sc_hd__mux2_1 _13033_ (.A0(\u_sspim.cfg_dataout[27] ),
-    .A1(_06506_),
-    .S(_07897_),
+ sky130_fd_sc_hd__mux2_1 _13031_ (.A0(_06244_),
+    .A1(_07900_),
+    .S(_07896_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07901_));
- sky130_fd_sc_hd__clkbuf_1 _13034_ (.A(_07901_),
+ sky130_fd_sc_hd__clkbuf_1 _13032_ (.A(_07901_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00680_));
- sky130_fd_sc_hd__clkbuf_2 _13035_ (.A(_07896_),
+    .X(_00679_));
+ sky130_fd_sc_hd__clkbuf_2 _13033_ (.A(_07893_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07902_));
- sky130_fd_sc_hd__mux2_1 _13036_ (.A0(\u_sspim.cfg_dataout[28] ),
-    .A1(_06508_),
-    .S(_07902_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13034_ (.A(_07902_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07903_));
- sky130_fd_sc_hd__clkbuf_1 _13037_ (.A(_07903_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00681_));
- sky130_fd_sc_hd__mux2_1 _13038_ (.A0(\u_sspim.cfg_dataout[29] ),
-    .A1(_06511_),
-    .S(_07902_),
+ sky130_fd_sc_hd__mux2_1 _13035_ (.A0(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[0] ),
+    .A1(\u_usb_host.u_core.sof_value_q[7] ),
+    .S(_07903_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07904_));
- sky130_fd_sc_hd__clkbuf_1 _13039_ (.A(_07904_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00682_));
- sky130_fd_sc_hd__mux2_1 _13040_ (.A0(\u_sspim.cfg_dataout[30] ),
-    .A1(_06513_),
-    .S(_07902_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13036_ (.A(_06232_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07905_));
- sky130_fd_sc_hd__clkbuf_1 _13041_ (.A(_07905_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00683_));
- sky130_fd_sc_hd__mux2_1 _13042_ (.A0(\u_sspim.cfg_dataout[31] ),
-    .A1(_06515_),
-    .S(_07902_),
+ sky130_fd_sc_hd__mux2_1 _13037_ (.A0(\u_usb_host.u_core.u_sie.token_q[8] ),
+    .A1(_07904_),
+    .S(_07905_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07906_));
- sky130_fd_sc_hd__clkbuf_1 _13043_ (.A(_07906_),
+ sky130_fd_sc_hd__clkbuf_1 _13038_ (.A(_07906_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00684_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13044_ (.A(\u_usb_host.u_core.sof_transfer_q ),
+    .X(_00680_));
+ sky130_fd_sc_hd__mux2_1 _13039_ (.A0(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[6] ),
+    .A1(\u_usb_host.u_core.sof_value_q[6] ),
+    .S(_07903_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07907_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13045_ (.A(_07907_),
+ sky130_fd_sc_hd__mux2_1 _13040_ (.A0(\u_usb_host.u_core.u_sie.token_q[9] ),
+    .A1(_07907_),
+    .S(_07905_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07908_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13046_ (.A(_07908_),
+ sky130_fd_sc_hd__clkbuf_1 _13041_ (.A(_07908_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00681_));
+ sky130_fd_sc_hd__mux2_1 _13042_ (.A0(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[5] ),
+    .A1(\u_usb_host.u_core.sof_value_q[5] ),
+    .S(_07903_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07909_));
- sky130_fd_sc_hd__mux2_1 _13047_ (.A0(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[3] ),
-    .A1(\u_usb_host.u_core.sof_value_q[10] ),
-    .S(_07909_),
+ sky130_fd_sc_hd__mux2_1 _13043_ (.A0(\u_usb_host.u_core.u_sie.token_q[10] ),
+    .A1(_07909_),
+    .S(_07905_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07910_));
- sky130_fd_sc_hd__clkbuf_4 _13048_ (.A(_06216_),
+ sky130_fd_sc_hd__clkbuf_1 _13044_ (.A(_07910_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00682_));
+ sky130_fd_sc_hd__mux2_1 _13045_ (.A0(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[4] ),
+    .A1(\u_usb_host.u_core.sof_value_q[4] ),
+    .S(_07903_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07911_));
- sky130_fd_sc_hd__mux2_1 _13049_ (.A0(_06221_),
-    .A1(_07910_),
-    .S(_07911_),
+ sky130_fd_sc_hd__mux2_1 _13046_ (.A0(\u_usb_host.u_core.u_sie.token_q[11] ),
+    .A1(_07911_),
+    .S(_07905_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07912_));
- sky130_fd_sc_hd__clkbuf_1 _13050_ (.A(_07912_),
+ sky130_fd_sc_hd__clkbuf_1 _13047_ (.A(_07912_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00685_));
- sky130_fd_sc_hd__mux2_1 _13051_ (.A0(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[2] ),
-    .A1(\u_usb_host.u_core.sof_value_q[9] ),
-    .S(_07909_),
+    .X(_00683_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13048_ (.A(_07902_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07913_));
- sky130_fd_sc_hd__mux2_1 _13052_ (.A0(\u_usb_host.u_core.u_sie.token_q[6] ),
-    .A1(_07913_),
-    .S(_07911_),
+ sky130_fd_sc_hd__mux2_1 _13049_ (.A0(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[3] ),
+    .A1(\u_usb_host.u_core.sof_value_q[3] ),
+    .S(_07913_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07914_));
- sky130_fd_sc_hd__clkbuf_1 _13053_ (.A(_07914_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00686_));
- sky130_fd_sc_hd__mux2_1 _13054_ (.A0(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[1] ),
-    .A1(\u_usb_host.u_core.sof_value_q[8] ),
-    .S(_07909_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13050_ (.A(_06232_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07915_));
- sky130_fd_sc_hd__mux2_1 _13055_ (.A0(\u_usb_host.u_core.u_sie.token_q[7] ),
-    .A1(_07915_),
-    .S(_07911_),
+ sky130_fd_sc_hd__mux2_1 _13051_ (.A0(\u_usb_host.u_core.u_sie.token_q[12] ),
+    .A1(_07914_),
+    .S(_07915_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07916_));
- sky130_fd_sc_hd__clkbuf_1 _13056_ (.A(_07916_),
+ sky130_fd_sc_hd__clkbuf_1 _13052_ (.A(_07916_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00687_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13057_ (.A(_07908_),
+    .X(_00684_));
+ sky130_fd_sc_hd__mux2_1 _13053_ (.A0(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[2] ),
+    .A1(\u_usb_host.u_core.sof_value_q[2] ),
+    .S(_07913_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07917_));
- sky130_fd_sc_hd__mux2_1 _13058_ (.A0(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[0] ),
-    .A1(\u_usb_host.u_core.sof_value_q[7] ),
-    .S(_07917_),
+ sky130_fd_sc_hd__mux2_1 _13054_ (.A0(\u_usb_host.u_core.u_sie.token_q[13] ),
+    .A1(_07917_),
+    .S(_07915_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07918_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13059_ (.A(_06216_),
+ sky130_fd_sc_hd__clkbuf_1 _13055_ (.A(_07918_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00685_));
+ sky130_fd_sc_hd__mux2_1 _13056_ (.A0(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[1] ),
+    .A1(\u_usb_host.u_core.sof_value_q[1] ),
+    .S(_07913_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07919_));
- sky130_fd_sc_hd__mux2_1 _13060_ (.A0(\u_usb_host.u_core.u_sie.token_q[8] ),
-    .A1(_07918_),
-    .S(_07919_),
+ sky130_fd_sc_hd__mux2_1 _13057_ (.A0(\u_usb_host.u_core.u_sie.token_q[14] ),
+    .A1(_07919_),
+    .S(_07915_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07920_));
- sky130_fd_sc_hd__clkbuf_1 _13061_ (.A(_07920_),
+ sky130_fd_sc_hd__clkbuf_1 _13058_ (.A(_07920_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00688_));
- sky130_fd_sc_hd__mux2_1 _13062_ (.A0(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[6] ),
-    .A1(\u_usb_host.u_core.sof_value_q[6] ),
-    .S(_07917_),
+    .X(_00686_));
+ sky130_fd_sc_hd__mux2_1 _13059_ (.A0(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[0] ),
+    .A1(\u_usb_host.u_core.sof_value_q[0] ),
+    .S(_07913_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07921_));
- sky130_fd_sc_hd__mux2_1 _13063_ (.A0(_06226_),
+ sky130_fd_sc_hd__mux2_1 _13060_ (.A0(\u_usb_host.u_core.u_sie.token_q[15] ),
     .A1(_07921_),
-    .S(_07919_),
+    .S(_07915_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07922_));
- sky130_fd_sc_hd__clkbuf_1 _13064_ (.A(_07922_),
+ sky130_fd_sc_hd__clkbuf_1 _13061_ (.A(_07922_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00689_));
- sky130_fd_sc_hd__mux2_1 _13065_ (.A0(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[5] ),
-    .A1(\u_usb_host.u_core.sof_value_q[5] ),
-    .S(_07917_),
+    .X(_00687_));
+ sky130_fd_sc_hd__nand2_1 _13062_ (.A(\u_usb_host.u_phy.state_q[3] ),
+    .B(_05519_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07923_));
- sky130_fd_sc_hd__mux2_1 _13066_ (.A0(\u_usb_host.u_core.u_sie.token_q[10] ),
-    .A1(_07923_),
-    .S(_07919_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07924_));
- sky130_fd_sc_hd__clkbuf_1 _13067_ (.A(_07924_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00690_));
- sky130_fd_sc_hd__mux2_1 _13068_ (.A0(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[4] ),
-    .A1(\u_usb_host.u_core.sof_value_q[4] ),
-    .S(_07917_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07925_));
- sky130_fd_sc_hd__mux2_1 _13069_ (.A0(\u_usb_host.u_core.u_sie.token_q[11] ),
-    .A1(_07925_),
-    .S(_07919_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07926_));
- sky130_fd_sc_hd__clkbuf_1 _13070_ (.A(_07926_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00691_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13071_ (.A(_07908_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07927_));
- sky130_fd_sc_hd__mux2_1 _13072_ (.A0(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[3] ),
-    .A1(\u_usb_host.u_core.sof_value_q[3] ),
-    .S(_07927_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07928_));
- sky130_fd_sc_hd__clkbuf_2 _13073_ (.A(_06216_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07929_));
- sky130_fd_sc_hd__mux2_1 _13074_ (.A0(\u_usb_host.u_core.u_sie.token_q[12] ),
-    .A1(_07928_),
-    .S(_07929_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07930_));
- sky130_fd_sc_hd__clkbuf_1 _13075_ (.A(_07930_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00692_));
- sky130_fd_sc_hd__mux2_1 _13076_ (.A0(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[2] ),
-    .A1(\u_usb_host.u_core.sof_value_q[2] ),
-    .S(_07927_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07931_));
- sky130_fd_sc_hd__mux2_1 _13077_ (.A0(\u_usb_host.u_core.u_sie.token_q[13] ),
-    .A1(_07931_),
-    .S(_07929_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07932_));
- sky130_fd_sc_hd__clkbuf_1 _13078_ (.A(_07932_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00693_));
- sky130_fd_sc_hd__mux2_1 _13079_ (.A0(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[1] ),
-    .A1(\u_usb_host.u_core.sof_value_q[1] ),
-    .S(_07927_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07933_));
- sky130_fd_sc_hd__mux2_1 _13080_ (.A0(\u_usb_host.u_core.u_sie.token_q[14] ),
-    .A1(_07933_),
-    .S(_07929_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07934_));
- sky130_fd_sc_hd__clkbuf_1 _13081_ (.A(_07934_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00694_));
- sky130_fd_sc_hd__mux2_1 _13082_ (.A0(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[0] ),
-    .A1(\u_usb_host.u_core.sof_value_q[0] ),
-    .S(_07927_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07935_));
- sky130_fd_sc_hd__mux2_1 _13083_ (.A0(\u_usb_host.u_core.u_sie.token_q[15] ),
-    .A1(_07935_),
-    .S(_07929_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07936_));
- sky130_fd_sc_hd__clkbuf_1 _13084_ (.A(_07936_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00695_));
- sky130_fd_sc_hd__or4_1 _13085_ (.A(\u_usb_host.u_phy.state_q[8] ),
-    .B(\u_usb_host.u_phy.state_q[1] ),
+    .Y(_07923_));
+ sky130_fd_sc_hd__or4_1 _13063_ (.A(\u_usb_host.u_phy.state_q[8] ),
+    .B(_05257_),
     .C(\u_usb_host.u_phy.state_q[12] ),
     .D(\u_usb_host.u_phy.state_q[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07937_));
- sky130_fd_sc_hd__or4b_1 _13086_ (.A(_05538_),
-    .B(_07937_),
-    .C(_05539_),
-    .D_N(\u_usb_host.u_phy.state_q[3] ),
+    .X(_07924_));
+ sky130_fd_sc_hd__nor3_1 _13064_ (.A(_05556_),
+    .B(_07923_),
+    .C(_07924_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07938_));
- sky130_fd_sc_hd__nor2_1 _13087_ (.A(_05577_),
-    .B(_07938_),
+    .Y(_07925_));
+ sky130_fd_sc_hd__o21ai_1 _13065_ (.A1(_05583_),
+    .A2(_05535_),
+    .B1(_05578_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07939_));
- sky130_fd_sc_hd__o21ai_1 _13088_ (.A1(_05603_),
-    .A2(_05556_),
-    .B1(_05598_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_07940_));
- sky130_fd_sc_hd__o31a_1 _13089_ (.A1(_05599_),
+    .Y(_07926_));
+ sky130_fd_sc_hd__o31a_1 _13066_ (.A1(_05579_),
     .A2(net101),
-    .A3(_07939_),
-    .B1(_07940_),
+    .A3(_07925_),
+    .B1(_07926_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00696_));
- sky130_fd_sc_hd__buf_2 _13090_ (.A(\u_usb_host.u_core.u_sie.utmi_data_i[0] ),
+    .X(_00688_));
+ sky130_fd_sc_hd__buf_2 _13067_ (.A(\u_usb_host.u_core.u_sie.utmi_data_i[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07941_));
- sky130_fd_sc_hd__a21o_1 _13091_ (.A1(_05592_),
-    .A2(_05586_),
-    .B1(_05551_),
+    .X(_07927_));
+ sky130_fd_sc_hd__a21o_1 _13068_ (.A1(_05572_),
+    .A2(_05565_),
+    .B1(_05530_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07942_));
- sky130_fd_sc_hd__o21a_1 _13092_ (.A1(\u_usb_host.u_phy.out_dp_q ),
-    .A2(_07942_),
-    .B1(_07938_),
+    .X(_07928_));
+ sky130_fd_sc_hd__o22a_1 _13069_ (.A1(_07923_),
+    .A2(_07924_),
+    .B1(_07928_),
+    .B2(\u_usb_host.u_phy.out_dp_q ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07943_));
- sky130_fd_sc_hd__a21oi_1 _13093_ (.A1(_05576_),
-    .A2(_05578_),
-    .B1(_07943_),
+    .X(_07929_));
+ sky130_fd_sc_hd__a21oi_1 _13070_ (.A1(_05555_),
+    .A2(_05557_),
+    .B1(_07929_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07944_));
- sky130_fd_sc_hd__a311o_1 _13094_ (.A1(_05576_),
-    .A2(_07941_),
-    .A3(_05560_),
-    .B1(_07944_),
-    .C1(_05597_),
+    .Y(_07930_));
+ sky130_fd_sc_hd__a311o_1 _13071_ (.A1(_05555_),
+    .A2(_07927_),
+    .A3(_05539_),
+    .B1(_07930_),
+    .C1(_05577_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07945_));
- sky130_fd_sc_hd__o21a_1 _13095_ (.A1(\u_usb_host.u_phy.state_q[12] ),
-    .A2(\u_usb_host.u_phy.state_q[5] ),
-    .B1(_05540_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07946_));
- sky130_fd_sc_hd__a211o_1 _13096_ (.A1(\u_usb_host.u_phy.state_q[3] ),
-    .A2(_05541_),
-    .B1(_07946_),
-    .C1(\u_usb_host.u_phy.state_q[10] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07947_));
- sky130_fd_sc_hd__a21o_1 _13097_ (.A1(\u_usb_host.u_phy.state_q[13] ),
-    .A2(_05544_),
-    .B1(\u_usb_host.u_phy.state_q[0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_07948_));
- sky130_fd_sc_hd__nand3b_1 _13098_ (.A_N(\u_usb_host.u_phy.ones_count_q[0] ),
+    .X(_07931_));
+ sky130_fd_sc_hd__nand3b_1 _13072_ (.A_N(\u_usb_host.u_phy.ones_count_q[0] ),
     .B(\u_usb_host.u_phy.ones_count_q[1] ),
     .C(\u_usb_host.u_phy.ones_count_q[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07949_));
- sky130_fd_sc_hd__nand2_1 _13099_ (.A(\u_usb_host.u_core.u_sie.utmi_data_i[0] ),
+    .Y(_07932_));
+ sky130_fd_sc_hd__nand2_1 _13073_ (.A(\u_usb_host.u_core.u_sie.utmi_data_i[0] ),
+    .B(_07932_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_07933_));
+ sky130_fd_sc_hd__or2_1 _13074_ (.A(_07933_),
+    .B(_07928_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07934_));
+ sky130_fd_sc_hd__inv_2 _13075_ (.A(_07923_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_07935_));
+ sky130_fd_sc_hd__a211o_1 _13076_ (.A1(_05520_),
+    .A2(_07924_),
+    .B1(_07935_),
+    .C1(\u_usb_host.u_phy.state_q[10] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07936_));
+ sky130_fd_sc_hd__a21o_1 _13077_ (.A1(\u_usb_host.u_phy.state_q[13] ),
+    .A2(_05523_),
+    .B1(\u_usb_host.u_phy.state_q[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07937_));
+ sky130_fd_sc_hd__a21o_1 _13078_ (.A1(_07934_),
+    .A2(_07936_),
+    .B1(_07937_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07938_));
+ sky130_fd_sc_hd__mux2_1 _13079_ (.A0(\u_usb_host.u_phy.out_dp_q ),
+    .A1(_07931_),
+    .S(_07938_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07939_));
+ sky130_fd_sc_hd__clkbuf_1 _13080_ (.A(_07939_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00689_));
+ sky130_fd_sc_hd__o2bb2a_1 _13081_ (.A1_N(_05555_),
+    .A2_N(_05557_),
+    .B1(_07928_),
+    .B2(\u_usb_host.u_phy.out_dn_q ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07940_));
+ sky130_fd_sc_hd__buf_2 _13082_ (.A(_05537_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07941_));
+ sky130_fd_sc_hd__a311oi_2 _13083_ (.A1(_05556_),
+    .A2(_07927_),
+    .A3(_05558_),
+    .B1(_07940_),
+    .C1(_07941_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_07942_));
+ sky130_fd_sc_hd__mux2_1 _13084_ (.A0(\u_usb_host.u_phy.out_dn_q ),
+    .A1(_07942_),
+    .S(_07938_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07943_));
+ sky130_fd_sc_hd__clkbuf_1 _13085_ (.A(_07943_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00690_));
+ sky130_fd_sc_hd__inv_2 _13086__1 (.A(clknet_leaf_136_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(net117));
+ sky130_fd_sc_hd__and2_1 _13087_ (.A(_05338_),
+    .B(_05320_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07944_));
+ sky130_fd_sc_hd__clkbuf_1 _13088_ (.A(_07944_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07945_));
+ sky130_fd_sc_hd__clkbuf_1 _13089_ (.A(_05359_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07946_));
+ sky130_fd_sc_hd__or2_2 _13090_ (.A(_05246_),
+    .B(_05314_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07947_));
+ sky130_fd_sc_hd__clkbuf_1 _13091_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07948_));
+ sky130_fd_sc_hd__clkbuf_1 _13092_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_07949_));
+ sky130_fd_sc_hd__nor2_1 _13093_ (.A(_07948_),
     .B(_07949_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_07950_));
- sky130_fd_sc_hd__and2b_1 _13100_ (.A_N(_07942_),
-    .B(_07950_),
+ sky130_fd_sc_hd__clkbuf_1 _13094_ (.A(_07950_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07951_));
- sky130_fd_sc_hd__a211o_1 _13101_ (.A1(_07942_),
-    .A2(_07947_),
-    .B1(_07948_),
-    .C1(_07951_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13095_ (.A(_07951_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07952_));
- sky130_fd_sc_hd__mux2_1 _13102_ (.A0(\u_usb_host.u_phy.out_dp_q ),
-    .A1(_07945_),
-    .S(_07952_),
+ sky130_fd_sc_hd__clkbuf_1 _13096_ (.A(_07952_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07953_));
- sky130_fd_sc_hd__clkbuf_1 _13103_ (.A(_07953_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00697_));
- sky130_fd_sc_hd__o2bb2a_1 _13104_ (.A1_N(_05576_),
-    .A2_N(_05578_),
-    .B1(_07942_),
-    .B2(\u_usb_host.u_phy.out_dn_q ),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13097_ (.A(_07953_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07954_));
- sky130_fd_sc_hd__clkbuf_2 _13105_ (.A(_05558_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13098_ (.A(_07954_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07955_));
- sky130_fd_sc_hd__a311oi_1 _13106_ (.A1(_05577_),
-    .A2(_07941_),
-    .A3(_05579_),
-    .B1(_07954_),
-    .C1(_07955_),
+ sky130_fd_sc_hd__clkbuf_2 _13099_ (.A(_07955_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07956_));
- sky130_fd_sc_hd__mux2_1 _13107_ (.A0(\u_usb_host.u_phy.out_dn_q ),
-    .A1(_07956_),
-    .S(_07952_),
+    .X(_07956_));
+ sky130_fd_sc_hd__clkbuf_1 _13100_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07957_));
- sky130_fd_sc_hd__clkbuf_1 _13108_ (.A(_07957_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00698_));
- sky130_fd_sc_hd__inv_2 _13109__1 (.A(clknet_leaf_140_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(net117));
- sky130_fd_sc_hd__and2_1 _13110_ (.A(_05359_),
-    .B(_05343_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13101_ (.A(_07957_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07958_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13111_ (.A(_07958_),
+ sky130_fd_sc_hd__clkbuf_1 _13102_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07959_));
- sky130_fd_sc_hd__clkbuf_1 _13112_ (.A(_07959_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13103_ (.A(_07959_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07960_));
- sky130_fd_sc_hd__clkbuf_1 _13113_ (.A(_05381_),
+ sky130_fd_sc_hd__clkbuf_2 _13104_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07961_));
- sky130_fd_sc_hd__or2_2 _13114_ (.A(_05265_),
-    .B(_05328_),
+ sky130_fd_sc_hd__clkbuf_1 _13105_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07962_));
- sky130_fd_sc_hd__clkbuf_1 _13115_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[4] ),
+ sky130_fd_sc_hd__buf_2 _13106_ (.A(_07962_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07963_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13116_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[5] ),
+ sky130_fd_sc_hd__nor4_4 _13107_ (.A(_07958_),
+    .B(_07960_),
+    .C(_07961_),
+    .D(_07963_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07964_));
- sky130_fd_sc_hd__nor2_2 _13117_ (.A(_07963_),
-    .B(_07964_),
+    .Y(_07964_));
+ sky130_fd_sc_hd__clkbuf_2 _13108_ (.A(_07964_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07965_));
- sky130_fd_sc_hd__clkbuf_1 _13118_ (.A(_07965_),
+    .X(_07965_));
+ sky130_fd_sc_hd__clkbuf_2 _13109_ (.A(_07965_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07966_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13119_ (.A(_07966_),
+ sky130_fd_sc_hd__clkbuf_2 _13110_ (.A(_07966_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07967_));
- sky130_fd_sc_hd__clkbuf_2 _13120_ (.A(_07967_),
+ sky130_fd_sc_hd__nand2_1 _13111_ (.A(_07956_),
+    .B(_07967_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07968_));
- sky130_fd_sc_hd__clkbuf_2 _13121_ (.A(_07968_),
+    .Y(_07968_));
+ sky130_fd_sc_hd__buf_2 _13112_ (.A(_07968_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07969_));
- sky130_fd_sc_hd__clkbuf_2 _13122_ (.A(_07969_),
+ sky130_fd_sc_hd__clkbuf_1 _13113_ (.A(_07951_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07970_));
- sky130_fd_sc_hd__clkbuf_1 _13123_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[1] ),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13114_ (.A(_07970_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07971_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13124_ (.A(_07971_),
+ sky130_fd_sc_hd__buf_2 _13115_ (.A(_07971_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07972_));
- sky130_fd_sc_hd__clkbuf_1 _13125_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[0] ),
+ sky130_fd_sc_hd__clkbuf_1 _13116_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07973_));
- sky130_fd_sc_hd__clkbuf_2 _13126_ (.A(_07973_),
+ sky130_fd_sc_hd__clkbuf_1 _13117_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07974_));
- sky130_fd_sc_hd__clkbuf_2 _13127_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[2] ),
+ sky130_fd_sc_hd__and4b_1 _13118_ (.A_N(_07973_),
+    .B(_07974_),
+    .C(_07959_),
+    .D(_07957_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07975_));
- sky130_fd_sc_hd__clkbuf_1 _13128_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[3] ),
+ sky130_fd_sc_hd__clkbuf_1 _13119_ (.A(_07975_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07976_));
- sky130_fd_sc_hd__clkbuf_2 _13129_ (.A(_07976_),
+ sky130_fd_sc_hd__clkbuf_2 _13120_ (.A(_07976_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07977_));
- sky130_fd_sc_hd__nor4_2 _13130_ (.A(_07972_),
-    .B(_07974_),
-    .C(_07975_),
-    .D(_07977_),
+ sky130_fd_sc_hd__buf_2 _13121_ (.A(_07977_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07978_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13131_ (.A(_07978_),
+    .X(_07978_));
+ sky130_fd_sc_hd__and2b_2 _13122_ (.A_N(\u_usb_host.u_core.u_fifo_tx.rd_ptr[4] ),
+    .B(_07949_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07979_));
- sky130_fd_sc_hd__buf_2 _13132_ (.A(_07979_),
+ sky130_fd_sc_hd__clkbuf_1 _13123_ (.A(_07979_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07980_));
- sky130_fd_sc_hd__clkbuf_2 _13133_ (.A(_07980_),
+ sky130_fd_sc_hd__clkbuf_1 _13124_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07981_));
- sky130_fd_sc_hd__nand2_1 _13134_ (.A(_07970_),
-    .B(_07981_),
+ sky130_fd_sc_hd__clkbuf_1 _13125_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_07982_));
- sky130_fd_sc_hd__buf_2 _13135_ (.A(_07982_),
+    .X(_07982_));
+ sky130_fd_sc_hd__clkbuf_1 _13126_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07983_));
- sky130_fd_sc_hd__and2_2 _13136_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[4] ),
-    .B(_07964_),
+ sky130_fd_sc_hd__clkbuf_1 _13127_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07984_));
- sky130_fd_sc_hd__clkbuf_1 _13137_ (.A(_07984_),
+ sky130_fd_sc_hd__and4bb_2 _13128_ (.A_N(_07981_),
+    .B_N(_07982_),
+    .C(_07983_),
+    .D(_07984_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07985_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13138_ (.A(_07985_),
+ sky130_fd_sc_hd__and2_1 _13129_ (.A(_07980_),
+    .B(_07985_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07986_));
- sky130_fd_sc_hd__buf_2 _13139_ (.A(_07986_),
+ sky130_fd_sc_hd__clkbuf_2 _13130_ (.A(_07986_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07987_));
- sky130_fd_sc_hd__clkbuf_1 _13140_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[3] ),
+ sky130_fd_sc_hd__a32o_1 _13131_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[7][0] ),
+    .A2(_07972_),
+    .A3(_07978_),
+    .B1(_07987_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[38][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07988_));
- sky130_fd_sc_hd__clkbuf_1 _13141_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[2] ),
+ sky130_fd_sc_hd__and2b_1 _13132_ (.A_N(\u_usb_host.u_core.u_fifo_tx.rd_ptr[5] ),
+    .B(\u_usb_host.u_core.u_fifo_tx.rd_ptr[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07989_));
- sky130_fd_sc_hd__and4b_1 _13142_ (.A_N(_07988_),
-    .B(_07989_),
-    .C(_07973_),
-    .D(_07971_),
+ sky130_fd_sc_hd__clkbuf_1 _13133_ (.A(_07989_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07990_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13143_ (.A(_07990_),
+ sky130_fd_sc_hd__clkbuf_1 _13134_ (.A(_07990_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07991_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13144_ (.A(_07991_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13135_ (.A(_07991_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07992_));
- sky130_fd_sc_hd__buf_2 _13145_ (.A(_07992_),
+ sky130_fd_sc_hd__clkbuf_2 _13136_ (.A(_07992_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07993_));
- sky130_fd_sc_hd__clkbuf_1 _13146_ (.A(_07984_),
+ sky130_fd_sc_hd__clkbuf_1 _13137_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07994_));
- sky130_fd_sc_hd__clkbuf_2 _13147_ (.A(_07994_),
+ sky130_fd_sc_hd__clkbuf_1 _13138_ (.A(_07962_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07995_));
- sky130_fd_sc_hd__clkbuf_1 _13148_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[2] ),
+ sky130_fd_sc_hd__nor4b_1 _13139_ (.A(_07994_),
+    .B(_07981_),
+    .C(_07995_),
+    .D_N(_07983_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_07996_));
- sky130_fd_sc_hd__clkbuf_1 _13149_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[1] ),
+    .Y(_07996_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13140_ (.A(_07996_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07997_));
- sky130_fd_sc_hd__clkbuf_1 _13150_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[0] ),
+ sky130_fd_sc_hd__clkbuf_2 _13141_ (.A(_07997_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07998_));
- sky130_fd_sc_hd__and4bb_1 _13151_ (.A_N(_07996_),
-    .B_N(_07988_),
-    .C(_07997_),
-    .D(_07998_),
+ sky130_fd_sc_hd__clkbuf_2 _13142_ (.A(_07998_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_07999_));
- sky130_fd_sc_hd__clkbuf_2 _13152_ (.A(_07999_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08000_));
- sky130_fd_sc_hd__and2_2 _13153_ (.A(_07995_),
-    .B(_08000_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08001_));
- sky130_fd_sc_hd__a32o_1 _13154_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[55][0] ),
-    .A2(_07987_),
-    .A3(_07993_),
-    .B1(_08001_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[51][0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08002_));
- sky130_fd_sc_hd__and2b_1 _13155_ (.A_N(\u_usb_host.u_core.u_fifo_tx.rd_ptr[4] ),
+ sky130_fd_sc_hd__and2_1 _13143_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[4] ),
     .B(\u_usb_host.u_core.u_fifo_tx.rd_ptr[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .X(_08000_));
+ sky130_fd_sc_hd__clkbuf_2 _13144_ (.A(_08000_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08001_));
+ sky130_fd_sc_hd__and2_1 _13145_ (.A(_07985_),
+    .B(_08001_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08002_));
+ sky130_fd_sc_hd__clkbuf_4 _13146_ (.A(_08002_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .X(_08003_));
- sky130_fd_sc_hd__clkbuf_2 _13156_ (.A(_08003_),
+ sky130_fd_sc_hd__a32o_1 _13147_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[20][0] ),
+    .A2(_07993_),
+    .A3(_07999_),
+    .B1(_08003_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[54][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08004_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13157_ (.A(_08004_),
+ sky130_fd_sc_hd__clkbuf_1 _13148_ (.A(_08000_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08005_));
- sky130_fd_sc_hd__and4bb_1 _13158_ (.A_N(_07971_),
-    .B_N(_07976_),
-    .C(_07989_),
-    .D(_07973_),
+ sky130_fd_sc_hd__and2_1 _13149_ (.A(_07975_),
+    .B(_08005_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08006_));
- sky130_fd_sc_hd__clkbuf_2 _13159_ (.A(_08006_),
+ sky130_fd_sc_hd__buf_2 _13150_ (.A(_08006_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08007_));
- sky130_fd_sc_hd__and2_4 _13160_ (.A(_08005_),
-    .B(_08007_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13151_ (.A(_08000_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08008_));
- sky130_fd_sc_hd__clkbuf_1 _13161_ (.A(_08004_),
+ sky130_fd_sc_hd__clkbuf_2 _13152_ (.A(_08008_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08009_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13162_ (.A(_07976_),
+ sky130_fd_sc_hd__clkbuf_1 _13153_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08010_));
- sky130_fd_sc_hd__nor4b_4 _13163_ (.A(_07974_),
-    .B(_07975_),
-    .C(_08010_),
-    .D_N(_07997_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_08011_));
- sky130_fd_sc_hd__and2_1 _13164_ (.A(_08009_),
-    .B(_08011_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08012_));
- sky130_fd_sc_hd__buf_2 _13165_ (.A(_08012_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08013_));
- sky130_fd_sc_hd__a22o_1 _13166_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[37][0] ),
-    .A2(_08008_),
-    .B1(_08013_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[34][0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08014_));
- sky130_fd_sc_hd__clkbuf_2 _13167_ (.A(_07971_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08015_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13168_ (.A(_07973_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08016_));
- sky130_fd_sc_hd__and4bb_2 _13169_ (.A_N(_08015_),
-    .B_N(_08016_),
-    .C(_07975_),
+ sky130_fd_sc_hd__and4bb_2 _13154_ (.A_N(_07994_),
+    .B_N(_07982_),
+    .C(_07983_),
     .D(_08010_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .X(_08011_));
+ sky130_fd_sc_hd__buf_2 _13155_ (.A(_08011_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08012_));
+ sky130_fd_sc_hd__and3_1 _13156_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[53][0] ),
+    .B(_08009_),
+    .C(_08012_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08013_));
+ sky130_fd_sc_hd__clkbuf_1 _13157_ (.A(_07950_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08014_));
+ sky130_fd_sc_hd__buf_2 _13158_ (.A(_08014_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08015_));
+ sky130_fd_sc_hd__and4bb_2 _13159_ (.A_N(_07961_),
+    .B_N(_07995_),
+    .C(_07958_),
+    .D(_07960_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08016_));
+ sky130_fd_sc_hd__buf_2 _13160_ (.A(_08016_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .X(_08017_));
- sky130_fd_sc_hd__and2_2 _13170_ (.A(_07994_),
-    .B(_08017_),
+ sky130_fd_sc_hd__and3_1 _13161_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[3][0] ),
+    .B(_08015_),
+    .C(_08017_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08018_));
- sky130_fd_sc_hd__clkbuf_2 _13171_ (.A(_08018_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13162_ (.A(_08001_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08019_));
- sky130_fd_sc_hd__clkbuf_1 _13172_ (.A(_07984_),
+ sky130_fd_sc_hd__clkbuf_1 _13163_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08020_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13173_ (.A(_08020_),
+ sky130_fd_sc_hd__and4bb_2 _13164_ (.A_N(_07981_),
+    .B_N(_08020_),
+    .C(_07982_),
+    .D(_07994_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08021_));
- sky130_fd_sc_hd__clkbuf_1 _13174_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[1] ),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13165_ (.A(_08021_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08022_));
- sky130_fd_sc_hd__clkbuf_1 _13175_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[0] ),
+ sky130_fd_sc_hd__and3_1 _13166_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[58][0] ),
+    .B(_08019_),
+    .C(_08022_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08023_));
- sky130_fd_sc_hd__clkbuf_1 _13176_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[3] ),
+ sky130_fd_sc_hd__a2111o_1 _13167_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[55][0] ),
+    .A2(_08007_),
+    .B1(_08013_),
+    .C1(_08018_),
+    .D1(_08023_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08024_));
- sky130_fd_sc_hd__nor4b_2 _13177_ (.A(_08022_),
-    .B(_08023_),
+ sky130_fd_sc_hd__or3_1 _13168_ (.A(_07988_),
+    .B(_08004_),
     .C(_08024_),
-    .D_N(_07996_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_08025_));
- sky130_fd_sc_hd__clkbuf_1 _13178_ (.A(_08025_),
+    .X(_08025_));
+ sky130_fd_sc_hd__clkbuf_1 _13169_ (.A(_08005_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08026_));
- sky130_fd_sc_hd__clkbuf_2 _13179_ (.A(_08026_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13170_ (.A(_07957_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08027_));
- sky130_fd_sc_hd__and3_1 _13180_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[52][0] ),
-    .B(_08021_),
-    .C(_08027_),
+ sky130_fd_sc_hd__clkbuf_2 _13171_ (.A(_07959_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08028_));
- sky130_fd_sc_hd__clkbuf_1 _13181_ (.A(_07965_),
+ sky130_fd_sc_hd__and4b_2 _13172_ (.A_N(_08027_),
+    .B(_08028_),
+    .C(_07961_),
+    .D(_07995_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08029_));
- sky130_fd_sc_hd__clkbuf_2 _13182_ (.A(_08029_),
+ sky130_fd_sc_hd__and2_2 _13173_ (.A(_08026_),
+    .B(_08029_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08030_));
- sky130_fd_sc_hd__clkbuf_2 _13183_ (.A(_07991_),
+ sky130_fd_sc_hd__clkbuf_2 _13174_ (.A(_07990_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08031_));
- sky130_fd_sc_hd__and3_1 _13184_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[7][0] ),
-    .B(_08030_),
+ sky130_fd_sc_hd__and3_1 _13175_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[16][0] ),
+    .B(_07965_),
     .C(_08031_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08032_));
- sky130_fd_sc_hd__clkbuf_2 _13185_ (.A(_08026_),
+ sky130_fd_sc_hd__clkbuf_1 _13176_ (.A(_08014_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08033_));
- sky130_fd_sc_hd__and2b_1 _13186_ (.A_N(\u_usb_host.u_core.u_fifo_tx.rd_ptr[5] ),
-    .B(\u_usb_host.u_core.u_fifo_tx.rd_ptr[4] ),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13177_ (.A(_07996_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08034_));
- sky130_fd_sc_hd__clkbuf_1 _13187_ (.A(_08034_),
+ sky130_fd_sc_hd__and3_1 _13178_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[4][0] ),
+    .B(_08033_),
+    .C(_08034_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08035_));
- sky130_fd_sc_hd__clkbuf_1 _13188_ (.A(_08035_),
+ sky130_fd_sc_hd__clkbuf_1 _13179_ (.A(_07950_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08036_));
- sky130_fd_sc_hd__buf_2 _13189_ (.A(_08036_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13180_ (.A(_08036_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08037_));
- sky130_fd_sc_hd__and3_1 _13190_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[20][0] ),
-    .B(_08033_),
-    .C(_08037_),
+ sky130_fd_sc_hd__clkbuf_2 _13181_ (.A(_08029_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08038_));
- sky130_fd_sc_hd__a2111o_1 _13191_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[60][0] ),
-    .A2(_08019_),
-    .B1(_08028_),
-    .C1(_08032_),
-    .D1(_08038_),
+ sky130_fd_sc_hd__and3_1 _13182_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[13][0] ),
+    .B(_08037_),
+    .C(_08038_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08039_));
- sky130_fd_sc_hd__or3_1 _13192_ (.A(_08002_),
-    .B(_08014_),
-    .C(_08039_),
+ sky130_fd_sc_hd__a2111o_1 _13183_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[61][0] ),
+    .A2(_08030_),
+    .B1(_08032_),
+    .C1(_08035_),
+    .D1(_08039_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08040_));
- sky130_fd_sc_hd__clkbuf_1 _13193_ (.A(_08004_),
+ sky130_fd_sc_hd__clkbuf_1 _13184_ (.A(_08011_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08041_));
- sky130_fd_sc_hd__and2_2 _13194_ (.A(_07979_),
-    .B(_08041_),
+ sky130_fd_sc_hd__clkbuf_2 _13185_ (.A(_08041_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08042_));
- sky130_fd_sc_hd__clkbuf_2 _13195_ (.A(_08029_),
+ sky130_fd_sc_hd__buf_2 _13186_ (.A(_08042_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08043_));
- sky130_fd_sc_hd__clkbuf_2 _13196_ (.A(_08006_),
+ sky130_fd_sc_hd__and4bb_2 _13187_ (.A_N(_07958_),
+    .B_N(_07960_),
+    .C(_08020_),
+    .D(_07982_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08044_));
- sky130_fd_sc_hd__clkbuf_2 _13197_ (.A(_08044_),
+ sky130_fd_sc_hd__and2_1 _13188_ (.A(_08026_),
+    .B(_08044_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08045_));
- sky130_fd_sc_hd__and3_1 _13198_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[5][0] ),
-    .B(_08043_),
-    .C(_08045_),
+ sky130_fd_sc_hd__clkbuf_2 _13189_ (.A(_08045_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08046_));
- sky130_fd_sc_hd__clkbuf_1 _13199_ (.A(_07999_),
+ sky130_fd_sc_hd__a32o_1 _13190_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[5][0] ),
+    .A2(_07972_),
+    .A3(_08043_),
+    .B1(_08046_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[60][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08047_));
- sky130_fd_sc_hd__clkbuf_2 _13200_ (.A(_08047_),
+ sky130_fd_sc_hd__and4bb_1 _13191_ (.A_N(_07984_),
+    .B_N(_07974_),
+    .C(_07962_),
+    .D(_07959_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08048_));
- sky130_fd_sc_hd__clkbuf_4 _13201_ (.A(_08036_),
+ sky130_fd_sc_hd__clkbuf_2 _13192_ (.A(_08048_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08049_));
- sky130_fd_sc_hd__and3_1 _13202_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[19][0] ),
-    .B(_08048_),
-    .C(_08049_),
+ sky130_fd_sc_hd__and2_1 _13193_ (.A(_08014_),
+    .B(_08049_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08050_));
- sky130_fd_sc_hd__clkbuf_2 _13203_ (.A(_08041_),
+ sky130_fd_sc_hd__clkbuf_2 _13194_ (.A(_08050_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08051_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13204_ (.A(_08026_),
+ sky130_fd_sc_hd__clkbuf_1 _13195_ (.A(_07989_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08052_));
- sky130_fd_sc_hd__and3_1 _13205_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[36][0] ),
-    .B(_08051_),
-    .C(_08052_),
+ sky130_fd_sc_hd__and2_1 _13196_ (.A(_08052_),
+    .B(_08048_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08053_));
- sky130_fd_sc_hd__a2111o_1 _13206_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[32][0] ),
-    .A2(_08042_),
-    .B1(_08046_),
-    .C1(_08050_),
-    .D1(_08053_),
+ sky130_fd_sc_hd__buf_4 _13197_ (.A(_08053_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08054_));
- sky130_fd_sc_hd__buf_2 _13207_ (.A(_08044_),
+ sky130_fd_sc_hd__a22o_1 _13198_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[9][0] ),
+    .A2(_08051_),
+    .B1(_08054_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[25][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08055_));
- sky130_fd_sc_hd__clkbuf_2 _13208_ (.A(_08055_),
+ sky130_fd_sc_hd__or3_1 _13199_ (.A(_08040_),
+    .B(_08047_),
+    .C(_08055_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08056_));
- sky130_fd_sc_hd__buf_2 _13209_ (.A(_08035_),
+ sky130_fd_sc_hd__clkbuf_1 _13200_ (.A(_08005_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08057_));
- sky130_fd_sc_hd__clkbuf_1 _13210_ (.A(_08057_),
+ sky130_fd_sc_hd__clkbuf_2 _13201_ (.A(_08057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08058_));
- sky130_fd_sc_hd__buf_2 _13211_ (.A(_08058_),
+ sky130_fd_sc_hd__and4b_1 _13202_ (.A_N(_08020_),
+    .B(_07973_),
+    .C(_07984_),
+    .D(_08010_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08059_));
- sky130_fd_sc_hd__clkbuf_2 _13212_ (.A(_07994_),
+ sky130_fd_sc_hd__clkbuf_2 _13203_ (.A(_08059_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08060_));
- sky130_fd_sc_hd__and4b_1 _13213_ (.A_N(_07997_),
-    .B(_07998_),
-    .C(_07989_),
-    .D(_07976_),
+ sky130_fd_sc_hd__clkbuf_1 _13204_ (.A(_08060_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08061_));
- sky130_fd_sc_hd__clkbuf_2 _13214_ (.A(_08061_),
+ sky130_fd_sc_hd__clkbuf_1 _13205_ (.A(_07979_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08062_));
- sky130_fd_sc_hd__and2_2 _13215_ (.A(_08060_),
-    .B(_08062_),
+ sky130_fd_sc_hd__and2_1 _13206_ (.A(_08062_),
+    .B(_08049_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08063_));
- sky130_fd_sc_hd__a32o_1 _13216_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[21][0] ),
-    .A2(_08056_),
-    .A3(_08059_),
-    .B1(_08063_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[61][0] ),
+ sky130_fd_sc_hd__buf_2 _13207_ (.A(_08063_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08064_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13217_ (.A(_08004_),
+ sky130_fd_sc_hd__a32o_1 _13208_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[59][0] ),
+    .A2(_08058_),
+    .A3(_08061_),
+    .B1(_08064_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[41][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08065_));
- sky130_fd_sc_hd__clkbuf_2 _13218_ (.A(_08065_),
+ sky130_fd_sc_hd__clkbuf_2 _13209_ (.A(_07976_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08066_));
- sky130_fd_sc_hd__buf_2 _13219_ (.A(_08066_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13210_ (.A(_08052_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08067_));
- sky130_fd_sc_hd__clkbuf_1 _13220_ (.A(\u_usb_host.u_core.u_fifo_tx.rd_ptr[2] ),
+ sky130_fd_sc_hd__clkbuf_2 _13211_ (.A(_08067_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08068_));
- sky130_fd_sc_hd__and4bb_1 _13221_ (.A_N(_08023_),
-    .B_N(_08068_),
-    .C(_07988_),
-    .D(_08022_),
+ sky130_fd_sc_hd__clkbuf_1 _13212_ (.A(_07990_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08069_));
- sky130_fd_sc_hd__clkbuf_2 _13222_ (.A(_08069_),
+ sky130_fd_sc_hd__and2_1 _13213_ (.A(_08069_),
+    .B(_08029_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08070_));
- sky130_fd_sc_hd__clkbuf_2 _13223_ (.A(_08070_),
+ sky130_fd_sc_hd__a32o_1 _13214_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[23][0] ),
+    .A2(_08066_),
+    .A3(_08068_),
+    .B1(_08070_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[29][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08071_));
- sky130_fd_sc_hd__buf_2 _13224_ (.A(_08071_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13215_ (.A(_07990_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08072_));
- sky130_fd_sc_hd__and4b_1 _13225_ (.A_N(_08068_),
-    .B(_08024_),
-    .C(_08022_),
-    .D(_08023_),
+ sky130_fd_sc_hd__and4_1 _13216_ (.A(_07984_),
+    .B(_08010_),
+    .C(_07983_),
+    .D(_07973_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08073_));
- sky130_fd_sc_hd__buf_2 _13226_ (.A(_08073_),
+ sky130_fd_sc_hd__clkbuf_1 _13217_ (.A(_08073_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08074_));
- sky130_fd_sc_hd__and2_1 _13227_ (.A(_08005_),
+ sky130_fd_sc_hd__and2_1 _13218_ (.A(_08072_),
     .B(_08074_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08075_));
- sky130_fd_sc_hd__a32o_1 _13228_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[42][0] ),
-    .A2(_08067_),
-    .A3(_08072_),
-    .B1(_08075_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[43][0] ),
+ sky130_fd_sc_hd__and2_1 _13219_ (.A(_08036_),
+    .B(_07964_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08076_));
- sky130_fd_sc_hd__or3_1 _13229_ (.A(_08054_),
-    .B(_08064_),
-    .C(_08076_),
+ sky130_fd_sc_hd__clkbuf_2 _13220_ (.A(_08076_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08077_));
- sky130_fd_sc_hd__clkbuf_1 _13230_ (.A(_07966_),
+ sky130_fd_sc_hd__a21o_1 _13221_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[31][0] ),
+    .A2(_08075_),
+    .B1(_08077_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08078_));
- sky130_fd_sc_hd__clkbuf_2 _13231_ (.A(_08078_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13222_ (.A(_08069_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08079_));
- sky130_fd_sc_hd__clkbuf_2 _13232_ (.A(_08079_),
+ sky130_fd_sc_hd__clkbuf_2 _13223_ (.A(_08079_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08080_));
- sky130_fd_sc_hd__nor4b_1 _13233_ (.A(_07997_),
-    .B(_07998_),
-    .C(_07996_),
-    .D_N(_07988_),
+ sky130_fd_sc_hd__nor4b_1 _13224_ (.A(_07994_),
+    .B(_07960_),
+    .C(_08020_),
+    .D_N(_07973_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_08081_));
- sky130_fd_sc_hd__clkbuf_1 _13234_ (.A(_08081_),
+ sky130_fd_sc_hd__clkbuf_1 _13225_ (.A(_08081_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08082_));
- sky130_fd_sc_hd__clkbuf_2 _13235_ (.A(_08082_),
+ sky130_fd_sc_hd__clkbuf_4 _13226_ (.A(_08082_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08083_));
- sky130_fd_sc_hd__clkbuf_4 _13236_ (.A(_08083_),
+ sky130_fd_sc_hd__clkbuf_1 _13227_ (.A(_07979_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08084_));
- sky130_fd_sc_hd__clkbuf_2 _13237_ (.A(_08020_),
+ sky130_fd_sc_hd__and2_1 _13228_ (.A(_08084_),
+    .B(_08016_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08085_));
- sky130_fd_sc_hd__and4b_1 _13238_ (.A_N(_08023_),
-    .B(_08068_),
-    .C(_08024_),
-    .D(_08022_),
+ sky130_fd_sc_hd__clkbuf_2 _13229_ (.A(_08085_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08086_));
- sky130_fd_sc_hd__clkbuf_2 _13239_ (.A(_08086_),
+ sky130_fd_sc_hd__a32o_1 _13230_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[24][0] ),
+    .A2(_08080_),
+    .A3(_08083_),
+    .B1(_08086_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[35][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08087_));
- sky130_fd_sc_hd__and3_1 _13240_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[62][0] ),
-    .B(_08085_),
-    .C(_08087_),
+ sky130_fd_sc_hd__or4_1 _13231_ (.A(_08065_),
+    .B(_08071_),
+    .C(_08078_),
+    .D(_08087_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08088_));
- sky130_fd_sc_hd__a31o_1 _13241_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[8][0] ),
-    .A2(_08080_),
-    .A3(_08084_),
-    .B1(_08088_),
+ sky130_fd_sc_hd__buf_2 _13232_ (.A(_07966_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08089_));
- sky130_fd_sc_hd__buf_2 _13242_ (.A(_08009_),
+ sky130_fd_sc_hd__clkbuf_2 _13233_ (.A(_07979_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08090_));
- sky130_fd_sc_hd__clkbuf_2 _13243_ (.A(_08090_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13234_ (.A(_08090_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08091_));
- sky130_fd_sc_hd__clkbuf_1 _13244_ (.A(_08003_),
+ sky130_fd_sc_hd__clkbuf_2 _13235_ (.A(_08091_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08092_));
- sky130_fd_sc_hd__and2_1 _13245_ (.A(_07991_),
-    .B(_08092_),
+ sky130_fd_sc_hd__nor4b_1 _13236_ (.A(_07958_),
+    .B(_07961_),
+    .C(_07995_),
+    .D_N(_07981_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08093_));
- sky130_fd_sc_hd__buf_2 _13246_ (.A(_08093_),
+    .Y(_08093_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13237_ (.A(_08093_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08094_));
- sky130_fd_sc_hd__a32o_1 _13247_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[40][0] ),
-    .A2(_08091_),
-    .A3(_08084_),
-    .B1(_08094_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[39][0] ),
+ sky130_fd_sc_hd__and2_2 _13238_ (.A(_08072_),
+    .B(_08094_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08095_));
- sky130_fd_sc_hd__buf_2 _13248_ (.A(_08070_),
+ sky130_fd_sc_hd__buf_2 _13239_ (.A(_08095_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08096_));
- sky130_fd_sc_hd__and2_1 _13249_ (.A(_08078_),
-    .B(_07978_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08097_));
- sky130_fd_sc_hd__clkbuf_2 _13250_ (.A(_08097_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08098_));
- sky130_fd_sc_hd__a31o_1 _13251_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[10][0] ),
-    .A2(_08080_),
-    .A3(_08096_),
-    .B1(_08098_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08099_));
- sky130_fd_sc_hd__and4_2 _13252_ (.A(_07972_),
-    .B(_07998_),
-    .C(_07996_),
-    .D(_08024_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08100_));
- sky130_fd_sc_hd__buf_2 _13253_ (.A(_08100_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08101_));
- sky130_fd_sc_hd__clkbuf_2 _13254_ (.A(_07984_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08102_));
- sky130_fd_sc_hd__and2_1 _13255_ (.A(_07979_),
-    .B(_08102_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08103_));
- sky130_fd_sc_hd__a32o_1 _13256_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[63][0] ),
-    .A2(_07987_),
-    .A3(_08101_),
-    .B1(_08103_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[48][0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08104_));
- sky130_fd_sc_hd__or4_1 _13257_ (.A(_08089_),
-    .B(_08095_),
-    .C(_08099_),
-    .D(_08104_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08105_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13258_ (.A(_08017_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08106_));
- sky130_fd_sc_hd__buf_2 _13259_ (.A(_08106_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08107_));
- sky130_fd_sc_hd__clkbuf_1 _13260_ (.A(_08035_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08108_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13261_ (.A(_08108_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08109_));
- sky130_fd_sc_hd__clkbuf_2 _13262_ (.A(_08109_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08110_));
- sky130_fd_sc_hd__clkbuf_2 _13263_ (.A(_08061_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08111_));
- sky130_fd_sc_hd__and2_2 _13264_ (.A(_08057_),
-    .B(_08111_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08112_));
- sky130_fd_sc_hd__buf_2 _13265_ (.A(_08112_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08113_));
- sky130_fd_sc_hd__a32o_1 _13266_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[28][0] ),
-    .A2(_08107_),
-    .A3(_08110_),
-    .B1(_08113_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[29][0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08114_));
- sky130_fd_sc_hd__clkbuf_2 _13267_ (.A(_08060_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08115_));
- sky130_fd_sc_hd__clkbuf_2 _13268_ (.A(_08115_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08116_));
- sky130_fd_sc_hd__clkbuf_2 _13269_ (.A(_08073_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08117_));
- sky130_fd_sc_hd__buf_2 _13270_ (.A(_08117_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08118_));
- sky130_fd_sc_hd__clkbuf_2 _13271_ (.A(_07989_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08119_));
- sky130_fd_sc_hd__nor4b_4 _13272_ (.A(_08015_),
-    .B(_08119_),
-    .C(_07977_),
-    .D_N(_08016_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_08120_));
- sky130_fd_sc_hd__and2_2 _13273_ (.A(_08060_),
-    .B(_08120_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08121_));
- sky130_fd_sc_hd__buf_2 _13274_ (.A(_08121_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08122_));
- sky130_fd_sc_hd__a32o_1 _13275_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[59][0] ),
-    .A2(_08116_),
-    .A3(_08118_),
-    .B1(_08122_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[49][0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08123_));
- sky130_fd_sc_hd__and4bb_2 _13276_ (.A_N(_07972_),
-    .B_N(_07975_),
-    .C(_08010_),
-    .D(_07974_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08124_));
- sky130_fd_sc_hd__clkbuf_2 _13277_ (.A(_08124_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08125_));
- sky130_fd_sc_hd__and2_1 _13278_ (.A(_07995_),
-    .B(_08125_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08126_));
- sky130_fd_sc_hd__clkbuf_2 _13279_ (.A(_08041_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08127_));
- sky130_fd_sc_hd__and3_1 _13280_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[44][0] ),
-    .B(_08127_),
-    .C(_08106_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08128_));
- sky130_fd_sc_hd__buf_2 _13281_ (.A(_08124_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08129_));
- sky130_fd_sc_hd__and3_1 _13282_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[41][0] ),
-    .B(_08066_),
-    .C(_08129_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08130_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13283_ (.A(_07985_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08131_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13284_ (.A(_08011_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08132_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13285_ (.A(_08132_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08133_));
- sky130_fd_sc_hd__and3_1 _13286_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[50][0] ),
-    .B(_08131_),
-    .C(_08133_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08134_));
- sky130_fd_sc_hd__a2111o_1 _13287_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[57][0] ),
-    .A2(_08126_),
-    .B1(_08128_),
-    .C1(_08130_),
-    .D1(_08134_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08135_));
- sky130_fd_sc_hd__or3_1 _13288_ (.A(_08114_),
-    .B(_08123_),
-    .C(_08135_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08136_));
- sky130_fd_sc_hd__or4_2 _13289_ (.A(_08040_),
-    .B(_08077_),
-    .C(_08105_),
-    .D(_08136_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08137_));
- sky130_fd_sc_hd__and2_2 _13290_ (.A(_07967_),
-    .B(_08124_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08138_));
- sky130_fd_sc_hd__a32o_1 _13291_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[24][0] ),
-    .A2(_08059_),
-    .A3(_08084_),
-    .B1(_08138_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[9][0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08139_));
- sky130_fd_sc_hd__and4bb_4 _13292_ (.A_N(_07974_),
-    .B_N(_08010_),
-    .C(_08068_),
-    .D(_07972_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08140_));
- sky130_fd_sc_hd__clkbuf_2 _13293_ (.A(_08140_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08141_));
- sky130_fd_sc_hd__clkbuf_2 _13294_ (.A(_08141_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08142_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13295_ (.A(_08035_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08143_));
- sky130_fd_sc_hd__and2_1 _13296_ (.A(_08143_),
-    .B(_08086_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08144_));
- sky130_fd_sc_hd__clkbuf_4 _13297_ (.A(_08144_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08145_));
- sky130_fd_sc_hd__a32o_1 _13298_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[22][0] ),
-    .A2(_08059_),
-    .A3(_08142_),
-    .B1(_08145_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[30][0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08146_));
- sky130_fd_sc_hd__and2_1 _13299_ (.A(_08065_),
-    .B(_08140_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08147_));
- sky130_fd_sc_hd__buf_2 _13300_ (.A(_08029_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08148_));
- sky130_fd_sc_hd__and3_1 _13301_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[14][0] ),
-    .B(_08148_),
-    .C(_08087_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08149_));
- sky130_fd_sc_hd__clkbuf_2 _13302_ (.A(_07991_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08150_));
- sky130_fd_sc_hd__and3_1 _13303_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[23][0] ),
-    .B(_08150_),
-    .C(_08037_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08151_));
- sky130_fd_sc_hd__clkbuf_2 _13304_ (.A(_08100_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08152_));
- sky130_fd_sc_hd__and3_1 _13305_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[15][0] ),
-    .B(_08079_),
-    .C(_08152_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08153_));
- sky130_fd_sc_hd__a2111o_1 _13306_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[38][0] ),
-    .A2(_08147_),
-    .B1(_08149_),
-    .C1(_08151_),
-    .D1(_08153_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08154_));
- sky130_fd_sc_hd__or3_1 _13307_ (.A(_08139_),
-    .B(_08146_),
-    .C(_08154_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08155_));
- sky130_fd_sc_hd__clkbuf_1 _13308_ (.A(_08034_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08156_));
- sky130_fd_sc_hd__and2_1 _13309_ (.A(_08011_),
-    .B(_08156_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08157_));
- sky130_fd_sc_hd__clkbuf_2 _13310_ (.A(_08157_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08158_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13311_ (.A(_07978_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08159_));
- sky130_fd_sc_hd__clkbuf_1 _13312_ (.A(_08143_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08160_));
- sky130_fd_sc_hd__and3_1 _13313_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[16][0] ),
-    .B(_08159_),
-    .C(_08160_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08161_));
- sky130_fd_sc_hd__and3_1 _13314_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[3][0] ),
-    .B(_08030_),
-    .C(_08048_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08162_));
- sky130_fd_sc_hd__and3_1 _13315_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[53][0] ),
-    .B(_07986_),
-    .C(_08055_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08163_));
- sky130_fd_sc_hd__a2111o_1 _13316_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[18][0] ),
-    .A2(_08158_),
-    .B1(_08161_),
-    .C1(_08162_),
-    .D1(_08163_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08164_));
- sky130_fd_sc_hd__and2_2 _13317_ (.A(_08047_),
-    .B(_08041_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08165_));
- sky130_fd_sc_hd__buf_2 _13318_ (.A(_08165_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08166_));
- sky130_fd_sc_hd__and2_1 _13319_ (.A(_08143_),
-    .B(_08120_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08167_));
- sky130_fd_sc_hd__clkbuf_4 _13320_ (.A(_08167_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08168_));
- sky130_fd_sc_hd__a22o_1 _13321_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[35][0] ),
-    .A2(_08166_),
-    .B1(_08168_),
+ sky130_fd_sc_hd__a32o_1 _13240_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[32][0] ),
+    .A2(_08089_),
+    .A3(_08092_),
+    .B1(_08096_),
     .B2(\u_usb_host.u_core.u_fifo_tx.ram[17][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08169_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13322_ (.A(_08005_),
+    .X(_08097_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13241_ (.A(_07970_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08170_));
- sky130_fd_sc_hd__clkbuf_2 _13323_ (.A(_08120_),
+    .X(_08098_));
+ sky130_fd_sc_hd__clkbuf_1 _13242_ (.A(_08098_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08171_));
- sky130_fd_sc_hd__and3_1 _13324_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[33][0] ),
-    .B(_08170_),
-    .C(_08171_),
+    .X(_08099_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13243_ (.A(_08081_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08172_));
- sky130_fd_sc_hd__a31o_1 _13325_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[27][0] ),
-    .A2(_08110_),
-    .A3(_08118_),
-    .B1(_08172_),
+    .X(_08100_));
+ sky130_fd_sc_hd__clkbuf_2 _13244_ (.A(_08100_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08173_));
- sky130_fd_sc_hd__or3_1 _13326_ (.A(_08164_),
-    .B(_08169_),
-    .C(_08173_),
+    .X(_08101_));
+ sky130_fd_sc_hd__clkbuf_2 _13245_ (.A(_08101_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08174_));
- sky130_fd_sc_hd__and2_1 _13327_ (.A(_08156_),
-    .B(_08069_),
+    .X(_08102_));
+ sky130_fd_sc_hd__clkbuf_2 _13246_ (.A(_08094_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08175_));
- sky130_fd_sc_hd__buf_2 _13328_ (.A(_08175_),
+    .X(_08103_));
+ sky130_fd_sc_hd__and3_1 _13247_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[33][0] ),
+    .B(_08091_),
+    .C(_08103_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08176_));
- sky130_fd_sc_hd__clkbuf_2 _13329_ (.A(_08100_),
+    .X(_08104_));
+ sky130_fd_sc_hd__a31o_1 _13248_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[8][0] ),
+    .A2(_08099_),
+    .A3(_08102_),
+    .B1(_08104_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08177_));
- sky130_fd_sc_hd__and2_1 _13330_ (.A(_08108_),
-    .B(_08177_),
+    .X(_08105_));
+ sky130_fd_sc_hd__clkbuf_1 _13249_ (.A(_07951_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08178_));
- sky130_fd_sc_hd__clkbuf_2 _13331_ (.A(_08178_),
+    .X(_08106_));
+ sky130_fd_sc_hd__buf_2 _13250_ (.A(_07985_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08179_));
- sky130_fd_sc_hd__a22o_1 _13332_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[26][0] ),
-    .A2(_08176_),
-    .B1(_08179_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[31][0] ),
+    .X(_08107_));
+ sky130_fd_sc_hd__and3_1 _13251_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[6][0] ),
+    .B(_08106_),
+    .C(_08107_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08180_));
- sky130_fd_sc_hd__buf_2 _13333_ (.A(_07966_),
+    .X(_08108_));
+ sky130_fd_sc_hd__clkbuf_2 _13252_ (.A(_08044_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08181_));
- sky130_fd_sc_hd__clkbuf_2 _13334_ (.A(_08120_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08182_));
- sky130_fd_sc_hd__and2_2 _13335_ (.A(_08181_),
-    .B(_08182_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08183_));
- sky130_fd_sc_hd__and2_1 _13336_ (.A(_08036_),
-    .B(_08124_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08184_));
- sky130_fd_sc_hd__clkbuf_2 _13337_ (.A(_08184_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08185_));
- sky130_fd_sc_hd__a22o_1 _13338_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[1][0] ),
-    .A2(_08183_),
-    .B1(_08185_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[25][0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08186_));
- sky130_fd_sc_hd__clkbuf_1 _13339_ (.A(_07965_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08187_));
- sky130_fd_sc_hd__clkbuf_2 _13340_ (.A(_08187_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08188_));
- sky130_fd_sc_hd__and2_2 _13341_ (.A(_08188_),
-    .B(_08132_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08189_));
- sky130_fd_sc_hd__clkbuf_2 _13342_ (.A(_08078_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08190_));
- sky130_fd_sc_hd__and3_1 _13343_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[11][0] ),
-    .B(_08190_),
-    .C(_08117_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08191_));
- sky130_fd_sc_hd__and3_1 _13344_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[6][0] ),
-    .B(_08190_),
-    .C(_08141_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08192_));
- sky130_fd_sc_hd__clkbuf_4 _13345_ (.A(_08065_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08193_));
- sky130_fd_sc_hd__clkbuf_2 _13346_ (.A(_08111_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08194_));
- sky130_fd_sc_hd__and3_1 _13347_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[45][0] ),
-    .B(_08193_),
-    .C(_08194_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08195_));
- sky130_fd_sc_hd__a2111o_1 _13348_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[2][0] ),
-    .A2(_08189_),
-    .B1(_08191_),
-    .C1(_08192_),
-    .D1(_08195_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08196_));
- sky130_fd_sc_hd__or3_1 _13349_ (.A(_08180_),
-    .B(_08186_),
-    .C(_08196_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08197_));
- sky130_fd_sc_hd__buf_2 _13350_ (.A(_08092_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08198_));
- sky130_fd_sc_hd__clkbuf_1 _13351_ (.A(_08198_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08199_));
- sky130_fd_sc_hd__clkbuf_2 _13352_ (.A(_08199_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08200_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13353_ (.A(_08086_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08201_));
- sky130_fd_sc_hd__clkbuf_2 _13354_ (.A(_08201_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08202_));
- sky130_fd_sc_hd__clkbuf_4 _13355_ (.A(_08202_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08203_));
- sky130_fd_sc_hd__clkbuf_2 _13356_ (.A(_08181_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08204_));
- sky130_fd_sc_hd__clkbuf_2 _13357_ (.A(_08026_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08205_));
- sky130_fd_sc_hd__and3_1 _13358_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[4][0] ),
-    .B(_08204_),
-    .C(_08205_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08206_));
- sky130_fd_sc_hd__a31o_1 _13359_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[46][0] ),
-    .A2(_08200_),
-    .A3(_08203_),
-    .B1(_08206_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08207_));
- sky130_fd_sc_hd__clkbuf_2 _13360_ (.A(_08181_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08208_));
- sky130_fd_sc_hd__buf_2 _13361_ (.A(_08208_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08209_));
- sky130_fd_sc_hd__clkbuf_4 _13362_ (.A(_08194_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08210_));
- sky130_fd_sc_hd__and2_1 _13363_ (.A(_07985_),
-    .B(_08140_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08211_));
- sky130_fd_sc_hd__clkbuf_2 _13364_ (.A(_08211_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08212_));
- sky130_fd_sc_hd__a32o_1 _13365_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[13][0] ),
-    .A2(_08209_),
-    .A3(_08210_),
-    .B1(_08212_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[54][0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08213_));
- sky130_fd_sc_hd__clkbuf_2 _13366_ (.A(_08020_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08214_));
- sky130_fd_sc_hd__clkbuf_2 _13367_ (.A(_08082_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08215_));
- sky130_fd_sc_hd__and3_1 _13368_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[56][0] ),
-    .B(_08214_),
-    .C(_08215_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08216_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13369_ (.A(_08187_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08217_));
- sky130_fd_sc_hd__clkbuf_2 _13370_ (.A(_08017_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08218_));
- sky130_fd_sc_hd__and3_1 _13371_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[12][0] ),
-    .B(_08217_),
-    .C(_08218_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08219_));
- sky130_fd_sc_hd__clkbuf_2 _13372_ (.A(_08009_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08220_));
- sky130_fd_sc_hd__buf_2 _13373_ (.A(_08100_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08221_));
- sky130_fd_sc_hd__and3_1 _13374_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[47][0] ),
-    .B(_08220_),
-    .C(_08221_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08222_));
- sky130_fd_sc_hd__clkbuf_2 _13375_ (.A(_08069_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08223_));
- sky130_fd_sc_hd__and3_1 _13376_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[58][0] ),
-    .B(_08085_),
-    .C(_08223_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08224_));
- sky130_fd_sc_hd__or4_1 _13377_ (.A(_08216_),
-    .B(_08219_),
-    .C(_08222_),
-    .D(_08224_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08225_));
- sky130_fd_sc_hd__or3_1 _13378_ (.A(_08207_),
-    .B(_08213_),
-    .C(_08225_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08226_));
- sky130_fd_sc_hd__or4_2 _13379_ (.A(_08155_),
-    .B(_08174_),
-    .C(_08197_),
-    .D(_08226_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08227_));
- sky130_fd_sc_hd__o22a_4 _13380_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[0][0] ),
-    .A2(_07983_),
-    .B1(_08137_),
-    .B2(_08227_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08228_));
- sky130_fd_sc_hd__clkbuf_2 _13381_ (.A(_05374_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08229_));
- sky130_fd_sc_hd__nand2_1 _13382_ (.A(_05271_),
-    .B(_08229_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_08230_));
- sky130_fd_sc_hd__inv_2 _13383_ (.A(_08230_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_08231_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13384_ (.A(_08231_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08232_));
- sky130_fd_sc_hd__nor2_1 _13385_ (.A(_05313_),
-    .B(_05274_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_08233_));
- sky130_fd_sc_hd__a211o_1 _13386_ (.A1(\u_usb_host.u_core.u_sie.token_q[7] ),
-    .A2(_05314_),
-    .B1(_05347_),
-    .C1(_08233_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08234_));
- sky130_fd_sc_hd__a21o_1 _13387_ (.A1(_08228_),
-    .A2(_08232_),
-    .B1(_08234_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08235_));
- sky130_fd_sc_hd__o211a_1 _13388_ (.A1(\u_usb_host.u_core.u_sie.token_q[15] ),
-    .A2(_07962_),
-    .B1(_05446_),
-    .C1(_08235_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08236_));
- sky130_fd_sc_hd__o21a_1 _13389_ (.A1(_07907_),
-    .A2(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[0] ),
-    .B1(_05451_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08237_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13390_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[8] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08238_));
- sky130_fd_sc_hd__clkbuf_1 _13391_ (.A(_05381_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08239_));
- sky130_fd_sc_hd__a21oi_1 _13392_ (.A1(_08238_),
-    .A2(_08239_),
-    .B1(_07959_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_08240_));
- sky130_fd_sc_hd__o31a_1 _13393_ (.A1(_07961_),
-    .A2(_08236_),
-    .A3(_08237_),
-    .B1(_08240_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08241_));
- sky130_fd_sc_hd__o21ai_2 _13394_ (.A1(_05570_),
-    .A2(_05601_),
-    .B1(_05571_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_08242_));
- sky130_fd_sc_hd__clkbuf_1 _13395_ (.A(_08242_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08243_));
- sky130_fd_sc_hd__a211o_1 _13396_ (.A1(_05367_),
-    .A2(_07960_),
-    .B1(_08241_),
-    .C1(_08243_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08244_));
- sky130_fd_sc_hd__o21a_1 _13397_ (.A1(_05277_),
-    .A2(\u_usb_host.u_core.u_sie.utmi_rxactive_i ),
-    .B1(_07949_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08245_));
- sky130_fd_sc_hd__a21oi_2 _13398_ (.A1(_05578_),
-    .A2(_08245_),
-    .B1(_07948_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_08246_));
- sky130_fd_sc_hd__nor2_1 _13399_ (.A(_07955_),
-    .B(_08246_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_08247_));
- sky130_fd_sc_hd__o21a_1 _13400_ (.A1(_05570_),
-    .A2(_05601_),
-    .B1(_05571_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08248_));
- sky130_fd_sc_hd__or2_1 _13401_ (.A(\u_usb_host.u_core.u_sie.utmi_data_i[1] ),
-    .B(_08248_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08249_));
- sky130_fd_sc_hd__clkbuf_1 _13402_ (.A(_08246_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08250_));
- sky130_fd_sc_hd__a32o_1 _13403_ (.A1(_08244_),
-    .A2(_08247_),
-    .A3(_08249_),
-    .B1(_08250_),
-    .B2(_07941_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00700_));
- sky130_fd_sc_hd__clkbuf_1 _13404_ (.A(_08242_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08251_));
- sky130_fd_sc_hd__or2_1 _13405_ (.A(_05597_),
-    .B(_08246_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08252_));
- sky130_fd_sc_hd__a21oi_1 _13406_ (.A1(\u_usb_host.u_core.u_sie.utmi_data_i[2] ),
-    .A2(_08251_),
-    .B1(_08252_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_08253_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13407_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08254_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13408_ (.A(_05354_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08255_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13409_ (.A(_05451_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08256_));
- sky130_fd_sc_hd__or2_2 _13410_ (.A(_05298_),
-    .B(_05269_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08257_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13411_ (.A(_08233_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08258_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13412_ (.A(_07966_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08259_));
- sky130_fd_sc_hd__buf_2 _13413_ (.A(_08259_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08260_));
- sky130_fd_sc_hd__clkbuf_2 _13414_ (.A(_08047_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08261_));
- sky130_fd_sc_hd__and3_1 _13415_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[3][1] ),
-    .B(_08260_),
-    .C(_08261_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08262_));
- sky130_fd_sc_hd__a31o_1 _13416_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[7][1] ),
-    .A2(_07969_),
-    .A3(_07993_),
-    .B1(_08262_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08263_));
- sky130_fd_sc_hd__clkbuf_2 _13417_ (.A(_08078_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08264_));
- sky130_fd_sc_hd__buf_2 _13418_ (.A(_08264_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08265_));
- sky130_fd_sc_hd__buf_2 _13419_ (.A(_08167_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08266_));
- sky130_fd_sc_hd__a32o_1 _13420_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[6][1] ),
-    .A2(_08265_),
-    .A3(_08142_),
-    .B1(_08266_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[17][1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08267_));
- sky130_fd_sc_hd__and3_1 _13421_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[56][1] ),
-    .B(_08085_),
-    .C(_08215_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08268_));
- sky130_fd_sc_hd__clkbuf_2 _13422_ (.A(_08036_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08269_));
- sky130_fd_sc_hd__and3_1 _13423_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[16][1] ),
-    .B(_07980_),
-    .C(_08269_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08270_));
- sky130_fd_sc_hd__buf_2 _13424_ (.A(_08082_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08271_));
- sky130_fd_sc_hd__and3_1 _13425_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[40][1] ),
-    .B(_08066_),
-    .C(_08271_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08272_));
- sky130_fd_sc_hd__a2111o_1 _13426_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[39][1] ),
-    .A2(_08094_),
-    .B1(_08268_),
-    .C1(_08270_),
-    .D1(_08272_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08273_));
- sky130_fd_sc_hd__or3_1 _13427_ (.A(_08263_),
-    .B(_08267_),
-    .C(_08273_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08274_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13428_ (.A(_08102_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08275_));
- sky130_fd_sc_hd__clkbuf_2 _13429_ (.A(_08275_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08276_));
- sky130_fd_sc_hd__buf_2 _13430_ (.A(_08027_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08277_));
- sky130_fd_sc_hd__buf_2 _13431_ (.A(_08144_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08278_));
- sky130_fd_sc_hd__a32o_1 _13432_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[52][1] ),
-    .A2(_08276_),
-    .A3(_08277_),
-    .B1(_08278_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[30][1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08279_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13433_ (.A(_08007_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08280_));
- sky130_fd_sc_hd__buf_2 _13434_ (.A(_08280_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08281_));
- sky130_fd_sc_hd__buf_2 _13435_ (.A(_08103_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08282_));
- sky130_fd_sc_hd__a32o_1 _13436_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[53][1] ),
-    .A2(_08276_),
-    .A3(_08281_),
-    .B1(_08282_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[48][1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08283_));
- sky130_fd_sc_hd__and3_1 _13437_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[4][1] ),
-    .B(_08030_),
-    .C(_08052_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08284_));
- sky130_fd_sc_hd__and3_1 _13438_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[24][1] ),
-    .B(_08037_),
-    .C(_08083_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08285_));
- sky130_fd_sc_hd__and3_1 _13439_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[61][1] ),
-    .B(_07986_),
-    .C(_08194_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08286_));
- sky130_fd_sc_hd__a2111o_1 _13440_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[18][1] ),
-    .A2(_08158_),
-    .B1(_08284_),
-    .C1(_08285_),
-    .D1(_08286_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08287_));
- sky130_fd_sc_hd__or3_1 _13441_ (.A(_08279_),
-    .B(_08283_),
-    .C(_08287_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08288_));
- sky130_fd_sc_hd__and2_2 _13442_ (.A(_08217_),
-    .B(_08177_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08289_));
- sky130_fd_sc_hd__a22o_1 _13443_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[37][1] ),
-    .A2(_08008_),
-    .B1(_08289_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[15][1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08290_));
- sky130_fd_sc_hd__clkbuf_2 _13444_ (.A(_08187_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08291_));
- sky130_fd_sc_hd__clkbuf_2 _13445_ (.A(_08291_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08292_));
- sky130_fd_sc_hd__buf_2 _13446_ (.A(_08218_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08293_));
- sky130_fd_sc_hd__a32o_1 _13447_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[12][1] ),
-    .A2(_08292_),
-    .A3(_08293_),
-    .B1(_08189_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[2][1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08294_));
- sky130_fd_sc_hd__a21o_1 _13448_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[31][1] ),
-    .A2(_08178_),
-    .B1(_08098_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08295_));
- sky130_fd_sc_hd__and3_1 _13449_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[8][1] ),
-    .B(_08264_),
-    .C(_08271_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08296_));
- sky130_fd_sc_hd__a31o_1 _13450_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[44][1] ),
-    .A2(_08067_),
-    .A3(_08293_),
-    .B1(_08296_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08297_));
- sky130_fd_sc_hd__or4_1 _13451_ (.A(_08290_),
-    .B(_08294_),
-    .C(_08295_),
-    .D(_08297_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08298_));
- sky130_fd_sc_hd__a32o_1 _13452_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[5][1] ),
-    .A2(_07969_),
-    .A3(_08281_),
-    .B1(_08138_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[9][1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08299_));
- sky130_fd_sc_hd__and3_1 _13453_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[20][1] ),
-    .B(_08205_),
+    .X(_08109_));
+ sky130_fd_sc_hd__and3_1 _13253_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[12][0] ),
+    .B(_08106_),
     .C(_08109_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .X(_08110_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13254_ (.A(_08005_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08111_));
+ sky130_fd_sc_hd__and3_1 _13255_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[56][0] ),
+    .B(_08111_),
+    .C(_08082_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08112_));
+ sky130_fd_sc_hd__clkbuf_2 _13256_ (.A(_08062_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08113_));
+ sky130_fd_sc_hd__and3_1 _13257_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[40][0] ),
+    .B(_08113_),
+    .C(_08082_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08114_));
+ sky130_fd_sc_hd__or4_1 _13258_ (.A(_08108_),
+    .B(_08110_),
+    .C(_08112_),
+    .D(_08114_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08115_));
+ sky130_fd_sc_hd__or3_1 _13259_ (.A(_08097_),
+    .B(_08105_),
+    .C(_08115_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08116_));
+ sky130_fd_sc_hd__or4_1 _13260_ (.A(_08025_),
+    .B(_08056_),
+    .C(_08088_),
+    .D(_08116_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08117_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13261_ (.A(_08016_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08118_));
+ sky130_fd_sc_hd__clkbuf_2 _13262_ (.A(_08118_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08119_));
+ sky130_fd_sc_hd__and2_2 _13263_ (.A(_07970_),
+    .B(_08094_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08120_));
+ sky130_fd_sc_hd__buf_2 _13264_ (.A(_08120_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08121_));
+ sky130_fd_sc_hd__a32o_1 _13265_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[19][0] ),
+    .A2(_07993_),
+    .A3(_08119_),
+    .B1(_08121_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[1][0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08122_));
+ sky130_fd_sc_hd__clkbuf_1 _13266_ (.A(_08090_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08123_));
+ sky130_fd_sc_hd__clkbuf_2 _13267_ (.A(_08123_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08124_));
+ sky130_fd_sc_hd__buf_2 _13268_ (.A(_08044_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08125_));
+ sky130_fd_sc_hd__clkbuf_2 _13269_ (.A(_08125_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08126_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13270_ (.A(_07997_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08127_));
+ sky130_fd_sc_hd__clkbuf_1 _13271_ (.A(_08008_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08128_));
+ sky130_fd_sc_hd__and2_1 _13272_ (.A(_08127_),
+    .B(_08128_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08129_));
+ sky130_fd_sc_hd__a32o_1 _13273_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[44][0] ),
+    .A2(_08124_),
+    .A3(_08126_),
+    .B1(_08129_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[52][0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08130_));
+ sky130_fd_sc_hd__and4b_1 _13274_ (.A_N(_08010_),
+    .B(_07974_),
+    .C(_07962_),
+    .D(_07957_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08131_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13275_ (.A(_08131_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08132_));
+ sky130_fd_sc_hd__and2_1 _13276_ (.A(_08008_),
+    .B(_08132_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08133_));
+ sky130_fd_sc_hd__clkbuf_2 _13277_ (.A(_08133_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08134_));
+ sky130_fd_sc_hd__and3_1 _13278_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[10][0] ),
+    .B(_08033_),
+    .C(_08022_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08135_));
+ sky130_fd_sc_hd__clkbuf_1 _13279_ (.A(_07980_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08136_));
+ sky130_fd_sc_hd__and3_1 _13280_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[39][0] ),
+    .B(_07977_),
+    .C(_08136_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08137_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13281_ (.A(_08084_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08138_));
+ sky130_fd_sc_hd__buf_2 _13282_ (.A(_08074_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08139_));
+ sky130_fd_sc_hd__and3_1 _13283_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[47][0] ),
+    .B(_08138_),
+    .C(_08139_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08140_));
+ sky130_fd_sc_hd__a2111o_1 _13284_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[62][0] ),
+    .A2(_08134_),
+    .B1(_08135_),
+    .C1(_08137_),
+    .D1(_08140_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08141_));
+ sky130_fd_sc_hd__or3_1 _13285_ (.A(_08122_),
+    .B(_08130_),
+    .C(_08141_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08142_));
+ sky130_fd_sc_hd__clkbuf_2 _13286_ (.A(_07974_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08143_));
+ sky130_fd_sc_hd__nor4b_4 _13287_ (.A(_08028_),
+    .B(_08143_),
+    .C(_07963_),
+    .D_N(_08027_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_08144_));
+ sky130_fd_sc_hd__and2_1 _13288_ (.A(_08052_),
+    .B(_08144_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08145_));
+ sky130_fd_sc_hd__clkbuf_2 _13289_ (.A(_08145_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08146_));
+ sky130_fd_sc_hd__and2_2 _13290_ (.A(_08026_),
+    .B(_08049_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08147_));
+ sky130_fd_sc_hd__a22o_1 _13291_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[18][0] ),
+    .A2(_08146_),
+    .B1(_08147_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[57][0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08148_));
+ sky130_fd_sc_hd__buf_2 _13292_ (.A(_08038_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08149_));
+ sky130_fd_sc_hd__and2_1 _13293_ (.A(_07991_),
+    .B(_08021_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08150_));
+ sky130_fd_sc_hd__buf_2 _13294_ (.A(_08150_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08151_));
+ sky130_fd_sc_hd__a32o_1 _13295_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[45][0] ),
+    .A2(_08124_),
+    .A3(_08149_),
+    .B1(_08151_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[26][0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08152_));
+ sky130_fd_sc_hd__and2_1 _13296_ (.A(_07965_),
+    .B(_08001_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08153_));
+ sky130_fd_sc_hd__clkbuf_2 _13297_ (.A(_08052_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08154_));
+ sky130_fd_sc_hd__and3_1 _13298_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[28][0] ),
+    .B(_08154_),
+    .C(_08109_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08155_));
+ sky130_fd_sc_hd__buf_2 _13299_ (.A(_08144_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08156_));
+ sky130_fd_sc_hd__and3_1 _13300_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[34][0] ),
+    .B(_08136_),
+    .C(_08156_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08157_));
+ sky130_fd_sc_hd__clkbuf_1 _13301_ (.A(_08084_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08158_));
+ sky130_fd_sc_hd__clkbuf_2 _13302_ (.A(_08059_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08159_));
+ sky130_fd_sc_hd__and3_1 _13303_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[43][0] ),
+    .B(_08158_),
+    .C(_08159_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08160_));
+ sky130_fd_sc_hd__a2111o_1 _13304_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[48][0] ),
+    .A2(_08153_),
+    .B1(_08155_),
+    .C1(_08157_),
+    .D1(_08160_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08161_));
+ sky130_fd_sc_hd__or3_1 _13305_ (.A(_08148_),
+    .B(_08152_),
+    .C(_08161_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08162_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13306_ (.A(_08026_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08163_));
+ sky130_fd_sc_hd__clkbuf_4 _13307_ (.A(_08163_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08164_));
+ sky130_fd_sc_hd__clkbuf_2 _13308_ (.A(_08139_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08165_));
+ sky130_fd_sc_hd__and2_1 _13309_ (.A(_07991_),
+    .B(_08132_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08166_));
+ sky130_fd_sc_hd__buf_2 _13310_ (.A(_08166_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08167_));
+ sky130_fd_sc_hd__a32o_1 _13311_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[63][0] ),
+    .A2(_08164_),
+    .A3(_08165_),
+    .B1(_08167_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[30][0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08168_));
+ sky130_fd_sc_hd__clkbuf_2 _13312_ (.A(_08123_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08169_));
+ sky130_fd_sc_hd__buf_2 _13313_ (.A(_08132_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08170_));
+ sky130_fd_sc_hd__clkbuf_2 _13314_ (.A(_08170_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08171_));
+ sky130_fd_sc_hd__clkbuf_1 _13315_ (.A(_08059_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08172_));
+ sky130_fd_sc_hd__and2_2 _13316_ (.A(_08072_),
+    .B(_08172_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08173_));
+ sky130_fd_sc_hd__clkbuf_4 _13317_ (.A(_08173_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08174_));
+ sky130_fd_sc_hd__a32o_1 _13318_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[46][0] ),
+    .A2(_08169_),
+    .A3(_08171_),
+    .B1(_08174_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[27][0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08175_));
+ sky130_fd_sc_hd__and2_1 _13319_ (.A(_08033_),
+    .B(_08156_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08176_));
+ sky130_fd_sc_hd__clkbuf_2 _13320_ (.A(_07985_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08177_));
+ sky130_fd_sc_hd__clkbuf_2 _13321_ (.A(_08069_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08178_));
+ sky130_fd_sc_hd__and3_1 _13322_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[22][0] ),
+    .B(_08177_),
+    .C(_08178_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08179_));
+ sky130_fd_sc_hd__clkbuf_2 _13323_ (.A(_08016_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08180_));
+ sky130_fd_sc_hd__and3_1 _13324_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[51][0] ),
+    .B(_08019_),
+    .C(_08180_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08181_));
+ sky130_fd_sc_hd__clkbuf_1 _13325_ (.A(_07970_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08182_));
+ sky130_fd_sc_hd__and3_1 _13326_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[14][0] ),
+    .B(_08182_),
+    .C(_08170_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08183_));
+ sky130_fd_sc_hd__a2111o_1 _13327_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[2][0] ),
+    .A2(_08176_),
+    .B1(_08179_),
+    .C1(_08181_),
+    .D1(_08183_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08184_));
+ sky130_fd_sc_hd__or3_1 _13328_ (.A(_08168_),
+    .B(_08175_),
+    .C(_08184_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08185_));
+ sky130_fd_sc_hd__and2_1 _13329_ (.A(_08062_),
+    .B(_08011_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08186_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13330_ (.A(_08186_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08187_));
+ sky130_fd_sc_hd__and2_2 _13331_ (.A(_08111_),
+    .B(_08094_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08188_));
+ sky130_fd_sc_hd__a22o_1 _13332_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[37][0] ),
+    .A2(_08187_),
+    .B1(_08188_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[49][0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08189_));
+ sky130_fd_sc_hd__buf_2 _13333_ (.A(_08159_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08190_));
+ sky130_fd_sc_hd__and2_2 _13334_ (.A(_08111_),
+    .B(_08144_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08191_));
+ sky130_fd_sc_hd__a32o_1 _13335_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[11][0] ),
+    .A2(_08099_),
+    .A3(_08190_),
+    .B1(_08191_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[50][0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08192_));
+ sky130_fd_sc_hd__and2_1 _13336_ (.A(_08036_),
+    .B(_08073_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08193_));
+ sky130_fd_sc_hd__buf_2 _13337_ (.A(_08193_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08194_));
+ sky130_fd_sc_hd__clkbuf_2 _13338_ (.A(_08011_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08195_));
+ sky130_fd_sc_hd__and3_1 _13339_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[21][0] ),
+    .B(_08079_),
+    .C(_08195_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08196_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13340_ (.A(_08021_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08197_));
+ sky130_fd_sc_hd__and3_1 _13341_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[42][0] ),
+    .B(_08158_),
+    .C(_08197_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08198_));
+ sky130_fd_sc_hd__buf_2 _13342_ (.A(_07997_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08199_));
+ sky130_fd_sc_hd__and3_1 _13343_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[36][0] ),
+    .B(_08123_),
+    .C(_08199_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08200_));
+ sky130_fd_sc_hd__a2111o_1 _13344_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[15][0] ),
+    .A2(_08194_),
+    .B1(_08196_),
+    .C1(_08198_),
+    .D1(_08200_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08201_));
+ sky130_fd_sc_hd__or3_1 _13345_ (.A(_08189_),
+    .B(_08192_),
+    .C(_08201_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08202_));
+ sky130_fd_sc_hd__or4_2 _13346_ (.A(_08142_),
+    .B(_08162_),
+    .C(_08185_),
+    .D(_08202_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08203_));
+ sky130_fd_sc_hd__o22a_4 _13347_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[0][0] ),
+    .A2(_07969_),
+    .B1(_08117_),
+    .B2(_08203_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08204_));
+ sky130_fd_sc_hd__nand2_2 _13348_ (.A(_05252_),
+    .B(_05352_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_08205_));
+ sky130_fd_sc_hd__inv_2 _13349_ (.A(_08205_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_08206_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13350_ (.A(_08206_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08207_));
+ sky130_fd_sc_hd__nor2_1 _13351_ (.A(_05281_),
+    .B(_05255_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_08208_));
+ sky130_fd_sc_hd__a211o_1 _13352_ (.A1(\u_usb_host.u_core.u_sie.token_q[7] ),
+    .A2(_05294_),
+    .B1(_05324_),
+    .C1(_08208_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08209_));
+ sky130_fd_sc_hd__a21o_1 _13353_ (.A1(_08204_),
+    .A2(_08207_),
+    .B1(_08209_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08210_));
+ sky130_fd_sc_hd__o211a_1 _13354_ (.A1(\u_usb_host.u_core.u_sie.token_q[15] ),
+    .A2(_07947_),
+    .B1(_05427_),
+    .C1(_08210_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08211_));
+ sky130_fd_sc_hd__o21a_1 _13355_ (.A1(_07893_),
+    .A2(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[0] ),
+    .B1(_05432_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08212_));
+ sky130_fd_sc_hd__a21oi_1 _13356_ (.A1(\u_usb_host.u_core.u_sie.crc_sum_q[8] ),
+    .A2(_07946_),
+    .B1(_07944_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_08213_));
+ sky130_fd_sc_hd__o31a_1 _13357_ (.A1(_07946_),
+    .A2(_08211_),
+    .A3(_08212_),
+    .B1(_08213_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08214_));
+ sky130_fd_sc_hd__o21ai_2 _13358_ (.A1(_05549_),
+    .A2(_05581_),
+    .B1(_05550_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_08215_));
+ sky130_fd_sc_hd__clkbuf_1 _13359_ (.A(_08215_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08216_));
+ sky130_fd_sc_hd__a211o_1 _13360_ (.A1(_05343_),
+    .A2(_07945_),
+    .B1(_08214_),
+    .C1(_08216_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08217_));
+ sky130_fd_sc_hd__o21a_1 _13361_ (.A1(_05257_),
+    .A2(\u_usb_host.u_core.u_sie.utmi_rxactive_i ),
+    .B1(_07932_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08218_));
+ sky130_fd_sc_hd__a21oi_4 _13362_ (.A1(_05557_),
+    .A2(_08218_),
+    .B1(_07937_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_08219_));
+ sky130_fd_sc_hd__nor2_1 _13363_ (.A(_07941_),
+    .B(_08219_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_08220_));
+ sky130_fd_sc_hd__o21a_1 _13364_ (.A1(_05549_),
+    .A2(_05581_),
+    .B1(_05550_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08221_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13365_ (.A(_08221_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08222_));
+ sky130_fd_sc_hd__or2_1 _13366_ (.A(\u_usb_host.u_core.u_sie.utmi_data_i[1] ),
+    .B(_08222_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08223_));
+ sky130_fd_sc_hd__a32o_1 _13367_ (.A1(_08217_),
+    .A2(_08220_),
+    .A3(_08223_),
+    .B1(_08219_),
+    .B2(_07927_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00692_));
+ sky130_fd_sc_hd__clkbuf_1 _13368_ (.A(_08215_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08224_));
+ sky130_fd_sc_hd__or2_1 _13369_ (.A(_05577_),
+    .B(_08219_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08225_));
+ sky130_fd_sc_hd__a21oi_1 _13370_ (.A1(\u_usb_host.u_core.u_sie.utmi_data_i[2] ),
+    .A2(_08224_),
+    .B1(_08225_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_08226_));
+ sky130_fd_sc_hd__buf_2 _13371_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08227_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13372_ (.A(_05432_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08228_));
+ sky130_fd_sc_hd__or2_1 _13373_ (.A(_05281_),
+    .B(_05251_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08229_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13374_ (.A(_08208_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08230_));
+ sky130_fd_sc_hd__and3_1 _13375_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[3][1] ),
+    .B(_07971_),
+    .C(_08118_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08231_));
+ sky130_fd_sc_hd__a31o_1 _13376_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[47][1] ),
+    .A2(_08092_),
+    .A3(_08165_),
+    .B1(_08231_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08232_));
+ sky130_fd_sc_hd__buf_2 _13377_ (.A(_08098_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08233_));
+ sky130_fd_sc_hd__clkbuf_2 _13378_ (.A(_08107_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08234_));
+ sky130_fd_sc_hd__clkbuf_2 _13379_ (.A(_08234_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08235_));
+ sky130_fd_sc_hd__a32o_1 _13380_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[6][1] ),
+    .A2(_08233_),
+    .A3(_08235_),
+    .B1(_08095_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[17][1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08236_));
+ sky130_fd_sc_hd__and3_1 _13381_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[4][1] ),
+    .B(_07952_),
+    .C(_07997_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08237_));
+ sky130_fd_sc_hd__and3_1 _13382_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[28][1] ),
+    .B(_08031_),
+    .C(_08109_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08238_));
+ sky130_fd_sc_hd__and3_1 _13383_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[39][1] ),
+    .B(_07976_),
+    .C(_08090_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08239_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13384_ (.A(_08062_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08240_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13385_ (.A(_08131_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08241_));
+ sky130_fd_sc_hd__and3_1 _13386_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[46][1] ),
+    .B(_08240_),
+    .C(_08241_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08242_));
+ sky130_fd_sc_hd__or4_1 _13387_ (.A(_08237_),
+    .B(_08238_),
+    .C(_08239_),
+    .D(_08242_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08243_));
+ sky130_fd_sc_hd__or3_1 _13388_ (.A(_08232_),
+    .B(_08236_),
+    .C(_08243_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08244_));
+ sky130_fd_sc_hd__and3_1 _13389_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[21][1] ),
+    .B(_08067_),
+    .C(_08012_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08245_));
+ sky130_fd_sc_hd__and3_1 _13390_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[22][1] ),
+    .B(_08107_),
+    .C(_08154_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08246_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13391_ (.A(_07980_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08247_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13392_ (.A(_08144_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08248_));
+ sky130_fd_sc_hd__and3_1 _13393_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[34][1] ),
+    .B(_08247_),
+    .C(_08248_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08249_));
+ sky130_fd_sc_hd__a2111o_1 _13394_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[37][1] ),
+    .A2(_08187_),
+    .B1(_08245_),
+    .C1(_08246_),
+    .D1(_08249_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08250_));
+ sky130_fd_sc_hd__clkbuf_4 _13395_ (.A(_08101_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08251_));
+ sky130_fd_sc_hd__a32o_1 _13396_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[40][1] ),
+    .A2(_08124_),
+    .A3(_08251_),
+    .B1(_08146_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[18][1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08252_));
+ sky130_fd_sc_hd__clkbuf_2 _13397_ (.A(_08044_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08253_));
+ sky130_fd_sc_hd__buf_2 _13398_ (.A(_08253_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08254_));
+ sky130_fd_sc_hd__clkbuf_2 _13399_ (.A(_08070_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08255_));
+ sky130_fd_sc_hd__a32o_1 _13400_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[12][1] ),
+    .A2(_08233_),
+    .A3(_08254_),
+    .B1(_08255_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[29][1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08256_));
+ sky130_fd_sc_hd__or3_1 _13401_ (.A(_08250_),
+    .B(_08252_),
+    .C(_08256_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08257_));
+ sky130_fd_sc_hd__clkbuf_2 _13402_ (.A(_08037_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08258_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13403_ (.A(_08029_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08259_));
+ sky130_fd_sc_hd__buf_2 _13404_ (.A(_08259_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08260_));
+ sky130_fd_sc_hd__and3_1 _13405_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[20][1] ),
+    .B(_08154_),
+    .C(_08127_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08261_));
+ sky130_fd_sc_hd__a31o_1 _13406_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[13][1] ),
+    .A2(_08258_),
+    .A3(_08260_),
+    .B1(_08261_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08262_));
+ sky130_fd_sc_hd__clkbuf_1 _13407_ (.A(_08008_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08263_));
+ sky130_fd_sc_hd__buf_2 _13408_ (.A(_08100_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08264_));
+ sky130_fd_sc_hd__and3_1 _13409_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[56][1] ),
+    .B(_08263_),
+    .C(_08264_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08265_));
+ sky130_fd_sc_hd__a31o_1 _13410_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[7][1] ),
+    .A2(_08258_),
+    .A3(_07978_),
+    .B1(_08265_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08266_));
+ sky130_fd_sc_hd__clkbuf_1 _13411_ (.A(_08001_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08267_));
+ sky130_fd_sc_hd__clkbuf_2 _13412_ (.A(_08267_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08268_));
+ sky130_fd_sc_hd__buf_2 _13413_ (.A(_08074_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08269_));
+ sky130_fd_sc_hd__a31o_1 _13414_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[63][1] ),
+    .A2(_08268_),
+    .A3(_08269_),
+    .B1(_08077_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08270_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13415_ (.A(_08084_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08271_));
+ sky130_fd_sc_hd__clkbuf_2 _13416_ (.A(_08271_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08272_));
+ sky130_fd_sc_hd__and3_1 _13417_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[36][1] ),
+    .B(_08138_),
+    .C(_07998_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08273_));
+ sky130_fd_sc_hd__a31o_1 _13418_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[32][1] ),
+    .A2(_08089_),
+    .A3(_08272_),
+    .B1(_08273_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08274_));
+ sky130_fd_sc_hd__or4_1 _13419_ (.A(_08262_),
+    .B(_08266_),
+    .C(_08270_),
+    .D(_08274_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08275_));
+ sky130_fd_sc_hd__a22o_1 _13420_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[9][1] ),
+    .A2(_08051_),
+    .B1(_08191_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[50][1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08276_));
+ sky130_fd_sc_hd__clkbuf_2 _13421_ (.A(_08263_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08277_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13422_ (.A(_08021_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08278_));
+ sky130_fd_sc_hd__clkbuf_4 _13423_ (.A(_08278_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08279_));
+ sky130_fd_sc_hd__and2_2 _13424_ (.A(_08106_),
+    .B(_08132_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08280_));
+ sky130_fd_sc_hd__a32o_1 _13425_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[58][1] ),
+    .A2(_08277_),
+    .A3(_08279_),
+    .B1(_08280_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[14][1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08281_));
+ sky130_fd_sc_hd__clkbuf_2 _13426_ (.A(_08158_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08282_));
+ sky130_fd_sc_hd__a32o_1 _13427_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[44][1] ),
+    .A2(_08282_),
+    .A3(_08126_),
+    .B1(_08129_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[52][1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08283_));
+ sky130_fd_sc_hd__clkbuf_4 _13428_ (.A(_07992_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08284_));
+ sky130_fd_sc_hd__and3_1 _13429_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[5][1] ),
+    .B(_07971_),
+    .C(_08042_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08285_));
+ sky130_fd_sc_hd__a31o_1 _13430_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[16][1] ),
+    .A2(_08089_),
+    .A3(_08284_),
+    .B1(_08285_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08286_));
+ sky130_fd_sc_hd__or4_1 _13431_ (.A(_08276_),
+    .B(_08281_),
+    .C(_08283_),
+    .D(_08286_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08287_));
+ sky130_fd_sc_hd__or4_1 _13432_ (.A(_08244_),
+    .B(_08257_),
+    .C(_08275_),
+    .D(_08287_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08288_));
+ sky130_fd_sc_hd__a22o_1 _13433_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[61][1] ),
+    .A2(_08030_),
+    .B1(_08147_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[57][1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08289_));
+ sky130_fd_sc_hd__buf_2 _13434_ (.A(_08041_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08290_));
+ sky130_fd_sc_hd__a32o_1 _13435_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[53][1] ),
+    .A2(_08277_),
+    .A3(_08290_),
+    .B1(_08120_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[1][1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08291_));
+ sky130_fd_sc_hd__a32o_1 _13436_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[23][1] ),
+    .A2(_08066_),
+    .A3(_08080_),
+    .B1(_08194_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[15][1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08292_));
+ sky130_fd_sc_hd__a22o_1 _13437_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[54][1] ),
+    .A2(_08002_),
+    .B1(_08173_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[27][1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08293_));
+ sky130_fd_sc_hd__or4_1 _13438_ (.A(_08289_),
+    .B(_08291_),
+    .C(_08292_),
+    .D(_08293_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08294_));
+ sky130_fd_sc_hd__and3_1 _13439_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[59][1] ),
+    .B(_08128_),
+    .C(_08060_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08295_));
+ sky130_fd_sc_hd__and3_1 _13440_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[30][1] ),
+    .B(_08178_),
+    .C(_08241_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08296_));
+ sky130_fd_sc_hd__and3_1 _13441_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[51][1] ),
+    .B(_08267_),
+    .C(_08180_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08297_));
+ sky130_fd_sc_hd__a2111o_1 _13442_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[38][1] ),
+    .A2(_07987_),
+    .B1(_08295_),
+    .C1(_08296_),
+    .D1(_08297_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08298_));
+ sky130_fd_sc_hd__a22o_1 _13443_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[62][1] ),
+    .A2(_08134_),
+    .B1(_08188_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[49][1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08299_));
+ sky130_fd_sc_hd__clkbuf_2 _13444_ (.A(_08098_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .X(_08300_));
- sky130_fd_sc_hd__a31o_1 _13454_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[10][1] ),
-    .A2(_08209_),
-    .A3(_08072_),
-    .B1(_08300_),
+ sky130_fd_sc_hd__a32o_1 _13445_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[11][1] ),
+    .A2(_08300_),
+    .A3(_08190_),
+    .B1(_08176_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[2][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08301_));
- sky130_fd_sc_hd__clkbuf_2 _13455_ (.A(_08009_),
+ sky130_fd_sc_hd__or3_1 _13446_ (.A(_08298_),
+    .B(_08299_),
+    .C(_08301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08302_));
- sky130_fd_sc_hd__and3_1 _13456_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[36][1] ),
-    .B(_08302_),
-    .C(_08027_),
+ sky130_fd_sc_hd__a32o_1 _13447_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[8][1] ),
+    .A2(_08300_),
+    .A3(_08251_),
+    .B1(_08075_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[31][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08303_));
- sky130_fd_sc_hd__buf_2 _13457_ (.A(_08044_),
+ sky130_fd_sc_hd__a22o_1 _13448_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[55][1] ),
+    .A2(_08007_),
+    .B1(_08046_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[60][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08304_));
- sky130_fd_sc_hd__clkbuf_2 _13458_ (.A(_08156_),
+ sky130_fd_sc_hd__and2_2 _13449_ (.A(_08136_),
+    .B(_08060_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08305_));
- sky130_fd_sc_hd__and3_1 _13459_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[21][1] ),
-    .B(_08304_),
-    .C(_08305_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13450_ (.A(_07980_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08306_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13460_ (.A(_08111_),
+ sky130_fd_sc_hd__clkbuf_2 _13451_ (.A(_08093_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08307_));
- sky130_fd_sc_hd__and3_1 _13461_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[45][1] ),
-    .B(_08220_),
+ sky130_fd_sc_hd__and3_1 _13452_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[33][1] ),
+    .B(_08306_),
     .C(_08307_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08308_));
- sky130_fd_sc_hd__buf_2 _13462_ (.A(_08017_),
+ sky130_fd_sc_hd__clkbuf_2 _13453_ (.A(_07964_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08309_));
- sky130_fd_sc_hd__and3_1 _13463_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[28][1] ),
+ sky130_fd_sc_hd__and3_1 _13454_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[48][1] ),
     .B(_08309_),
-    .C(_08160_),
+    .C(_08019_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08310_));
- sky130_fd_sc_hd__or4_1 _13464_ (.A(_08303_),
-    .B(_08306_),
-    .C(_08308_),
-    .D(_08310_),
+ sky130_fd_sc_hd__and3_1 _13455_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[24][1] ),
+    .B(_07992_),
+    .C(_08101_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08311_));
- sky130_fd_sc_hd__or3_1 _13465_ (.A(_08299_),
-    .B(_08301_),
-    .C(_08311_),
+ sky130_fd_sc_hd__a2111o_1 _13456_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[43][1] ),
+    .A2(_08305_),
+    .B1(_08308_),
+    .C1(_08310_),
+    .D1(_08311_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08312_));
- sky130_fd_sc_hd__or4_2 _13466_ (.A(_08274_),
-    .B(_08288_),
-    .C(_08298_),
-    .D(_08312_),
+ sky130_fd_sc_hd__or3_1 _13457_ (.A(_08303_),
+    .B(_08304_),
+    .C(_08312_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08313_));
- sky130_fd_sc_hd__a22o_1 _13467_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[60][1] ),
-    .A2(_08019_),
-    .B1(_08126_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[57][1] ),
+ sky130_fd_sc_hd__a32o_1 _13458_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[45][1] ),
+    .A2(_08282_),
+    .A3(_08149_),
+    .B1(_08151_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[26][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08314_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13468_ (.A(_08086_),
+ sky130_fd_sc_hd__clkbuf_4 _13459_ (.A(_08118_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08315_));
- sky130_fd_sc_hd__clkbuf_2 _13469_ (.A(_08315_),
+ sky130_fd_sc_hd__a32o_1 _13460_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[19][1] ),
+    .A2(_08080_),
+    .A3(_08315_),
+    .B1(_08054_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[25][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08316_));
- sky130_fd_sc_hd__and3_1 _13470_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[58][1] ),
-    .B(_08275_),
-    .C(_08071_),
+ sky130_fd_sc_hd__buf_2 _13461_ (.A(_08197_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08317_));
- sky130_fd_sc_hd__a31o_1 _13471_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[46][1] ),
-    .A2(_08200_),
-    .A3(_08316_),
-    .B1(_08317_),
+ sky130_fd_sc_hd__a32o_1 _13462_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[42][1] ),
+    .A2(_08272_),
+    .A3(_08317_),
+    .B1(_08064_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[41][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08318_));
- sky130_fd_sc_hd__and3_1 _13472_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[47][1] ),
-    .B(_08051_),
-    .C(_08152_),
+ sky130_fd_sc_hd__buf_2 _13463_ (.A(_08098_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08319_));
- sky130_fd_sc_hd__clkbuf_2 _13473_ (.A(_08057_),
+ sky130_fd_sc_hd__clkbuf_4 _13464_ (.A(_08197_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08320_));
- sky130_fd_sc_hd__and3_1 _13474_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[23][1] ),
-    .B(_08150_),
-    .C(_08320_),
+ sky130_fd_sc_hd__a32o_1 _13465_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[10][1] ),
+    .A2(_08319_),
+    .A3(_08320_),
+    .B1(_08086_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[35][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08321_));
- sky130_fd_sc_hd__and3_1 _13475_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[27][1] ),
-    .B(_08320_),
-    .C(_08117_),
+ sky130_fd_sc_hd__or4_2 _13466_ (.A(_08314_),
+    .B(_08316_),
+    .C(_08318_),
+    .D(_08321_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08322_));
- sky130_fd_sc_hd__a2111o_1 _13476_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[38][1] ),
-    .A2(_08147_),
-    .B1(_08319_),
-    .C1(_08321_),
-    .D1(_08322_),
+ sky130_fd_sc_hd__or4_1 _13467_ (.A(_08294_),
+    .B(_08302_),
+    .C(_08313_),
+    .D(_08322_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08323_));
- sky130_fd_sc_hd__or3_1 _13477_ (.A(_08314_),
-    .B(_08318_),
-    .C(_08323_),
+ sky130_fd_sc_hd__o22a_4 _13468_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[0][1] ),
+    .A2(_07969_),
+    .B1(_08288_),
+    .B2(_08323_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08324_));
- sky130_fd_sc_hd__and3_1 _13478_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[33][1] ),
-    .B(_08198_),
-    .C(_08182_),
+ sky130_fd_sc_hd__nor2_1 _13469_ (.A(_05260_),
+    .B(_05313_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08325_));
- sky130_fd_sc_hd__clkbuf_2 _13479_ (.A(_08187_),
+    .Y(_08325_));
+ sky130_fd_sc_hd__a21o_1 _13470_ (.A1(_08206_),
+    .A2(_08324_),
+    .B1(_08325_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08326_));
- sky130_fd_sc_hd__and3_1 _13480_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[13][1] ),
-    .B(_08326_),
-    .C(_08062_),
+ sky130_fd_sc_hd__o22a_1 _13471_ (.A1(\u_usb_host.u_core.u_sie.token_q[6] ),
+    .A2(_08229_),
+    .B1(_08230_),
+    .B2(_08326_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08327_));
- sky130_fd_sc_hd__clkbuf_2 _13481_ (.A(_08156_),
+ sky130_fd_sc_hd__a211oi_1 _13472_ (.A1(\u_usb_host.u_core.u_sie.token_q[14] ),
+    .A2(_05342_),
+    .B1(_08228_),
+    .C1(_08327_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08328_));
- sky130_fd_sc_hd__clkbuf_1 _13482_ (.A(_08140_),
+    .Y(_08328_));
+ sky130_fd_sc_hd__inv_2 _13473_ (.A(\u_usb_host.u_core.sof_transfer_q ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08329_));
- sky130_fd_sc_hd__and3_1 _13483_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[22][1] ),
-    .B(_08328_),
-    .C(_08329_),
+    .Y(_08329_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13474_ (.A(_08329_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08330_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13484_ (.A(_08020_),
+ sky130_fd_sc_hd__a21oi_2 _13475_ (.A1(_08330_),
+    .A2(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[1] ),
+    .B1(_05428_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08331_));
- sky130_fd_sc_hd__clkbuf_2 _13485_ (.A(_08073_),
+    .Y(_08331_));
+ sky130_fd_sc_hd__nand2_1 _13476_ (.A(_05338_),
+    .B(_05320_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08332_));
- sky130_fd_sc_hd__and3_1 _13486_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[59][1] ),
-    .B(_08331_),
-    .C(_08332_),
+    .Y(_08332_));
+ sky130_fd_sc_hd__o221a_1 _13477_ (.A1(\u_usb_host.u_core.u_sie.crc_out_w[1] ),
+    .A2(_05332_),
+    .B1(_08328_),
+    .B2(_08331_),
+    .C1(_08332_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08333_));
- sky130_fd_sc_hd__or4_1 _13487_ (.A(_08325_),
-    .B(_08327_),
-    .C(_08330_),
-    .D(_08333_),
+ sky130_fd_sc_hd__a211o_1 _13478_ (.A1(_08227_),
+    .A2(_07945_),
+    .B1(_08216_),
+    .C1(_08333_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08334_));
- sky130_fd_sc_hd__buf_2 _13488_ (.A(_08042_),
+ sky130_fd_sc_hd__a21o_1 _13479_ (.A1(_05561_),
+    .A2(_08218_),
+    .B1(_07937_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08335_));
- sky130_fd_sc_hd__buf_2 _13489_ (.A(_08075_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08336_));
- sky130_fd_sc_hd__a22o_1 _13490_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[32][1] ),
-    .A2(_08335_),
-    .B1(_08336_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[43][1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08337_));
- sky130_fd_sc_hd__clkbuf_1 _13491_ (.A(_07995_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08338_));
- sky130_fd_sc_hd__buf_2 _13492_ (.A(_08338_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08339_));
- sky130_fd_sc_hd__and3_1 _13493_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[14][1] ),
-    .B(_07968_),
-    .C(_08202_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08340_));
- sky130_fd_sc_hd__a31o_1 _13494_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[62][1] ),
-    .A2(_08339_),
-    .A3(_08316_),
-    .B1(_08340_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08341_));
- sky130_fd_sc_hd__or3_1 _13495_ (.A(_08334_),
-    .B(_08337_),
-    .C(_08341_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08342_));
- sky130_fd_sc_hd__clkbuf_2 _13496_ (.A(_08152_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08343_));
- sky130_fd_sc_hd__and3_1 _13497_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[42][1] ),
-    .B(_08127_),
-    .C(_08223_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08344_));
- sky130_fd_sc_hd__a31o_1 _13498_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[63][1] ),
-    .A2(_07987_),
-    .A3(_08343_),
-    .B1(_08344_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08345_));
- sky130_fd_sc_hd__buf_2 _13499_ (.A(_08125_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08346_));
- sky130_fd_sc_hd__a32o_1 _13500_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[41][1] ),
-    .A2(_08091_),
-    .A3(_08346_),
-    .B1(_08121_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[49][1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08347_));
- sky130_fd_sc_hd__buf_2 _13501_ (.A(_08012_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08348_));
- sky130_fd_sc_hd__a22o_1 _13502_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[51][1] ),
-    .A2(_08001_),
-    .B1(_08348_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[34][1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08349_));
- sky130_fd_sc_hd__clkbuf_2 _13503_ (.A(_08261_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08350_));
- sky130_fd_sc_hd__clkbuf_4 _13504_ (.A(_08058_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08351_));
- sky130_fd_sc_hd__and3_1 _13505_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[50][1] ),
-    .B(_08131_),
-    .C(_08133_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08352_));
- sky130_fd_sc_hd__a31o_1 _13506_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[19][1] ),
-    .A2(_08350_),
-    .A3(_08351_),
-    .B1(_08352_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08353_));
- sky130_fd_sc_hd__or4_1 _13507_ (.A(_08345_),
-    .B(_08347_),
-    .C(_08349_),
-    .D(_08353_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08354_));
- sky130_fd_sc_hd__buf_2 _13508_ (.A(_08183_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08355_));
- sky130_fd_sc_hd__a22o_1 _13509_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[26][1] ),
-    .A2(_08176_),
-    .B1(_08355_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[1][1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08356_));
- sky130_fd_sc_hd__a22o_1 _13510_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[25][1] ),
-    .A2(_08185_),
-    .B1(_08212_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[54][1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08357_));
- sky130_fd_sc_hd__and3_1 _13511_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[55][1] ),
-    .B(_08131_),
-    .C(_07992_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08358_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13512_ (.A(_08073_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08359_));
- sky130_fd_sc_hd__and3_1 _13513_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[11][1] ),
-    .B(_08260_),
-    .C(_08359_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08360_));
- sky130_fd_sc_hd__clkbuf_2 _13514_ (.A(_08062_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08361_));
- sky130_fd_sc_hd__and3_1 _13515_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[29][1] ),
-    .B(_08109_),
-    .C(_08361_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08362_));
- sky130_fd_sc_hd__a2111o_1 _13516_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[35][1] ),
-    .A2(_08165_),
-    .B1(_08358_),
-    .C1(_08360_),
-    .D1(_08362_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08363_));
- sky130_fd_sc_hd__or3_1 _13517_ (.A(_08356_),
-    .B(_08357_),
-    .C(_08363_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08364_));
- sky130_fd_sc_hd__or4_2 _13518_ (.A(_08324_),
-    .B(_08342_),
-    .C(_08354_),
-    .D(_08364_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08365_));
- sky130_fd_sc_hd__o22a_2 _13519_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[0][1] ),
-    .A2(_07983_),
-    .B1(_08313_),
-    .B2(_08365_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08366_));
- sky130_fd_sc_hd__nor2_1 _13520_ (.A(_05302_),
-    .B(_05327_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_08367_));
- sky130_fd_sc_hd__a21o_1 _13521_ (.A1(_08232_),
-    .A2(_08366_),
-    .B1(_08367_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08368_));
- sky130_fd_sc_hd__o22a_1 _13522_ (.A1(\u_usb_host.u_core.u_sie.token_q[6] ),
-    .A2(_08257_),
-    .B1(_08258_),
-    .B2(_08368_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08369_));
- sky130_fd_sc_hd__a211oi_1 _13523_ (.A1(\u_usb_host.u_core.u_sie.token_q[14] ),
-    .A2(_05362_),
-    .B1(_08256_),
-    .C1(_08369_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_08370_));
- sky130_fd_sc_hd__inv_2 _13524_ (.A(_07907_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_08371_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13525_ (.A(_08371_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08372_));
- sky130_fd_sc_hd__a21oi_1 _13526_ (.A1(_08372_),
-    .A2(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[1] ),
-    .B1(_05447_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_08373_));
- sky130_fd_sc_hd__nand2_1 _13527_ (.A(_05359_),
-    .B(_05343_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_08374_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13528_ (.A(_08374_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08375_));
- sky130_fd_sc_hd__o221a_1 _13529_ (.A1(\u_usb_host.u_core.u_sie.crc_out_w[1] ),
-    .A2(_08255_),
-    .B1(_08370_),
-    .B2(_08373_),
-    .C1(_08375_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08376_));
- sky130_fd_sc_hd__a211o_1 _13530_ (.A1(_08254_),
-    .A2(_07960_),
-    .B1(_08243_),
-    .C1(_08376_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08377_));
- sky130_fd_sc_hd__a21o_1 _13531_ (.A1(_05582_),
-    .A2(_08245_),
-    .B1(_07948_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08378_));
- sky130_fd_sc_hd__o2bb2a_1 _13532_ (.A1_N(_08253_),
-    .A2_N(_08377_),
-    .B1(_08378_),
+ sky130_fd_sc_hd__o2bb2a_1 _13480_ (.A1_N(_08226_),
+    .A2_N(_08334_),
+    .B1(_08335_),
     .B2(\u_usb_host.u_core.u_sie.utmi_data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00701_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13533_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[2] ),
+    .X(_00693_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13481_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08379_));
- sky130_fd_sc_hd__inv_2 _13534_ (.A(_08379_),
+    .X(_08336_));
+ sky130_fd_sc_hd__clkbuf_1 _13482_ (.A(_08332_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_08380_));
- sky130_fd_sc_hd__clkbuf_2 _13535_ (.A(_08170_),
+    .X(_08337_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13483_ (.A(_08221_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08381_));
- sky130_fd_sc_hd__clkbuf_4 _13536_ (.A(_08381_),
+    .X(_08338_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13484_ (.A(_05332_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08382_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13537_ (.A(_08218_),
+    .X(_08339_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13485_ (.A(_08091_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08383_));
- sky130_fd_sc_hd__clkbuf_2 _13538_ (.A(_08383_),
+    .X(_08340_));
+ sky130_fd_sc_hd__buf_2 _13486_ (.A(_08340_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08384_));
- sky130_fd_sc_hd__clkbuf_4 _13539_ (.A(_08329_),
+    .X(_08341_));
+ sky130_fd_sc_hd__clkbuf_2 _13487_ (.A(_08254_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08385_));
- sky130_fd_sc_hd__clkbuf_2 _13540_ (.A(_08385_),
+    .X(_08342_));
+ sky130_fd_sc_hd__clkbuf_4 _13488_ (.A(_08234_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08386_));
- sky130_fd_sc_hd__and3_1 _13541_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[22][2] ),
-    .B(_08110_),
-    .C(_08386_),
+    .X(_08343_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13489_ (.A(_08072_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08387_));
- sky130_fd_sc_hd__buf_2 _13542_ (.A(_08093_),
+    .X(_08344_));
+ sky130_fd_sc_hd__buf_2 _13490_ (.A(_08344_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08388_));
- sky130_fd_sc_hd__a22o_1 _13543_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[39][2] ),
-    .A2(_08388_),
-    .B1(_08176_),
+    .X(_08345_));
+ sky130_fd_sc_hd__and3_1 _13491_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[22][2] ),
+    .B(_08343_),
+    .C(_08345_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08346_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13492_ (.A(_07976_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08347_));
+ sky130_fd_sc_hd__buf_2 _13493_ (.A(_08347_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08348_));
+ sky130_fd_sc_hd__a32o_1 _13494_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[39][2] ),
+    .A2(_08348_),
+    .A3(_08340_),
+    .B1(_08151_),
     .B2(\u_usb_host.u_core.u_fifo_tx.ram[26][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08389_));
- sky130_fd_sc_hd__a311o_1 _13544_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[44][2] ),
-    .A2(_08382_),
-    .A3(_08384_),
-    .B1(_08387_),
-    .C1(_08389_),
+    .X(_08349_));
+ sky130_fd_sc_hd__a311o_1 _13495_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[44][2] ),
+    .A2(_08341_),
+    .A3(_08342_),
+    .B1(_08346_),
+    .C1(_08349_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08390_));
- sky130_fd_sc_hd__a32o_1 _13545_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[42][2] ),
-    .A2(_08091_),
-    .A3(_08096_),
-    .B1(_08112_),
+    .X(_08350_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13496_ (.A(_08138_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08351_));
+ sky130_fd_sc_hd__a32o_1 _13497_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[42][2] ),
+    .A2(_08351_),
+    .A3(_08279_),
+    .B1(_08255_),
     .B2(\u_usb_host.u_core.u_fifo_tx.ram[29][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08391_));
- sky130_fd_sc_hd__a32o_1 _13546_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[41][2] ),
-    .A2(_08091_),
-    .A3(_08346_),
-    .B1(_08147_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[38][2] ),
+    .X(_08352_));
+ sky130_fd_sc_hd__and3_1 _13498_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[46][2] ),
+    .B(_08240_),
+    .C(_08241_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08392_));
- sky130_fd_sc_hd__and3_1 _13547_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[5][2] ),
-    .B(_08259_),
-    .C(_08044_),
+    .X(_08353_));
+ sky130_fd_sc_hd__and3_1 _13499_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[56][2] ),
+    .B(_08057_),
+    .C(_08082_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08393_));
- sky130_fd_sc_hd__clkbuf_4 _13548_ (.A(_08081_),
+    .X(_08354_));
+ sky130_fd_sc_hd__clkbuf_1 _13500_ (.A(_08014_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08394_));
- sky130_fd_sc_hd__and3_1 _13549_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[56][2] ),
-    .B(_08102_),
-    .C(_08394_),
+    .X(_08355_));
+ sky130_fd_sc_hd__and3_1 _13501_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[5][2] ),
+    .B(_08355_),
+    .C(_08012_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08395_));
- sky130_fd_sc_hd__and3_1 _13550_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[14][2] ),
-    .B(_07967_),
-    .C(_08201_),
+    .X(_08356_));
+ sky130_fd_sc_hd__a2111o_1 _13502_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[14][2] ),
+    .A2(_08280_),
+    .B1(_08353_),
+    .C1(_08354_),
+    .D1(_08356_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08396_));
- sky130_fd_sc_hd__and3_1 _13551_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[46][2] ),
-    .B(_08005_),
-    .C(_08201_),
+    .X(_08357_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13503_ (.A(_07951_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08397_));
- sky130_fd_sc_hd__or4_1 _13552_ (.A(_08393_),
-    .B(_08395_),
-    .C(_08396_),
-    .D(_08397_),
+    .X(_08358_));
+ sky130_fd_sc_hd__and3_1 _13504_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[10][2] ),
+    .B(_08358_),
+    .C(_08278_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08398_));
- sky130_fd_sc_hd__buf_2 _13553_ (.A(_08025_),
+    .X(_08359_));
+ sky130_fd_sc_hd__and3_1 _13505_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[13][2] ),
+    .B(_08355_),
+    .C(_08259_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08399_));
- sky130_fd_sc_hd__and3_1 _13554_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[4][2] ),
-    .B(_08291_),
-    .C(_08399_),
+    .X(_08360_));
+ sky130_fd_sc_hd__and3_1 _13506_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[4][2] ),
+    .B(_08033_),
+    .C(_08034_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08400_));
- sky130_fd_sc_hd__and3_1 _13555_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[13][2] ),
-    .B(_08043_),
-    .C(_08307_),
+    .X(_08361_));
+ sky130_fd_sc_hd__a2111o_1 _13507_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[9][2] ),
+    .A2(_08050_),
+    .B1(_08359_),
+    .C1(_08360_),
+    .D1(_08361_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08401_));
- sky130_fd_sc_hd__and3_1 _13556_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[10][2] ),
-    .B(_08148_),
-    .C(_08223_),
+    .X(_08362_));
+ sky130_fd_sc_hd__a22o_1 _13508_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[38][2] ),
+    .A2(_07987_),
+    .B1(_08064_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[41][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08402_));
- sky130_fd_sc_hd__a2111o_1 _13557_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[9][2] ),
-    .A2(_08138_),
-    .B1(_08400_),
-    .C1(_08401_),
-    .D1(_08402_),
+    .X(_08363_));
+ sky130_fd_sc_hd__or4_1 _13509_ (.A(_08352_),
+    .B(_08357_),
+    .C(_08362_),
+    .D(_08363_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08403_));
- sky130_fd_sc_hd__or4_1 _13558_ (.A(_08391_),
-    .B(_08392_),
-    .C(_08398_),
-    .D(_08403_),
+    .X(_08364_));
+ sky130_fd_sc_hd__buf_2 _13510_ (.A(_08053_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08404_));
- sky130_fd_sc_hd__a22o_1 _13559_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[25][2] ),
-    .A2(_08185_),
-    .B1(_08189_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[2][2] ),
+    .X(_08365_));
+ sky130_fd_sc_hd__buf_2 _13511_ (.A(_08176_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08405_));
- sky130_fd_sc_hd__clkbuf_2 _13560_ (.A(_08208_),
+    .X(_08366_));
+ sky130_fd_sc_hd__clkbuf_4 _13512_ (.A(_08030_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08406_));
- sky130_fd_sc_hd__buf_2 _13561_ (.A(_08271_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08407_));
- sky130_fd_sc_hd__buf_2 _13562_ (.A(_08063_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08408_));
- sky130_fd_sc_hd__a32o_1 _13563_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[8][2] ),
-    .A2(_08406_),
-    .A3(_08407_),
-    .B1(_08408_),
+    .X(_08367_));
+ sky130_fd_sc_hd__a32o_1 _13513_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[8][2] ),
+    .A2(_08099_),
+    .A3(_08102_),
+    .B1(_08367_),
     .B2(\u_usb_host.u_core.u_fifo_tx.ram[61][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08409_));
- sky130_fd_sc_hd__or2_1 _13564_ (.A(_08405_),
-    .B(_08409_),
+    .X(_08368_));
+ sky130_fd_sc_hd__a221o_1 _13514_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[25][2] ),
+    .A2(_08365_),
+    .B1(_08366_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[2][2] ),
+    .C1(_08368_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08410_));
- sky130_fd_sc_hd__clkbuf_2 _13565_ (.A(_07994_),
+    .X(_08369_));
+ sky130_fd_sc_hd__and3_1 _13515_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[51][2] ),
+    .B(_08009_),
+    .C(_08017_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08411_));
- sky130_fd_sc_hd__and3_1 _13566_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[51][2] ),
-    .B(_08411_),
-    .C(_08000_),
+    .X(_08370_));
+ sky130_fd_sc_hd__and3_1 _13516_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[50][2] ),
+    .B(_08128_),
+    .C(_08156_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08412_));
- sky130_fd_sc_hd__and3_1 _13567_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[50][2] ),
-    .B(_08331_),
-    .C(_08132_),
+    .X(_08371_));
+ sky130_fd_sc_hd__and3_1 _13517_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[53][2] ),
+    .B(_08263_),
+    .C(_08195_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08413_));
- sky130_fd_sc_hd__and3_1 _13568_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[53][2] ),
-    .B(_08021_),
-    .C(_08045_),
+    .X(_08372_));
+ sky130_fd_sc_hd__a2111o_1 _13518_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[18][2] ),
+    .A2(_08146_),
+    .B1(_08370_),
+    .C1(_08371_),
+    .D1(_08372_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08414_));
- sky130_fd_sc_hd__a2111o_1 _13569_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[18][2] ),
-    .A2(_08158_),
-    .B1(_08412_),
-    .C1(_08413_),
-    .D1(_08414_),
+    .X(_08373_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13519_ (.A(_07965_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08415_));
- sky130_fd_sc_hd__buf_2 _13570_ (.A(_08092_),
+    .X(_08374_));
+ sky130_fd_sc_hd__buf_2 _13520_ (.A(_08153_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08416_));
- sky130_fd_sc_hd__and3_1 _13571_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[47][2] ),
-    .B(_08416_),
+    .X(_08375_));
+ sky130_fd_sc_hd__a32o_1 _13521_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[16][2] ),
+    .A2(_08374_),
+    .A3(_07993_),
+    .B1(_08375_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[48][2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08376_));
+ sky130_fd_sc_hd__a32o_1 _13522_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[47][2] ),
+    .A2(_08272_),
+    .A3(_08165_),
+    .B1(_08187_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[37][2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08377_));
+ sky130_fd_sc_hd__and3_1 _13523_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[6][2] ),
+    .B(_08037_),
     .C(_08177_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .X(_08378_));
+ sky130_fd_sc_hd__clkbuf_2 _13524_ (.A(_08069_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08379_));
+ sky130_fd_sc_hd__and3_1 _13525_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[17][2] ),
+    .B(_08379_),
+    .C(_08307_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08380_));
+ sky130_fd_sc_hd__and3_1 _13526_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[12][2] ),
+    .B(_08182_),
+    .C(_08125_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08381_));
+ sky130_fd_sc_hd__a2111o_1 _13527_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[54][2] ),
+    .A2(_08002_),
+    .B1(_08378_),
+    .C1(_08380_),
+    .D1(_08381_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08382_));
+ sky130_fd_sc_hd__or4_1 _13528_ (.A(_08373_),
+    .B(_08376_),
+    .C(_08377_),
+    .D(_08382_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08383_));
+ sky130_fd_sc_hd__or4_1 _13529_ (.A(_08350_),
+    .B(_08364_),
+    .C(_08369_),
+    .D(_08383_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08384_));
+ sky130_fd_sc_hd__buf_2 _13530_ (.A(_08075_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08385_));
+ sky130_fd_sc_hd__buf_2 _13531_ (.A(_08385_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08386_));
+ sky130_fd_sc_hd__clkbuf_4 _13532_ (.A(_08129_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08387_));
+ sky130_fd_sc_hd__clkbuf_2 _13533_ (.A(_08163_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08388_));
+ sky130_fd_sc_hd__clkbuf_2 _13534_ (.A(_08269_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08389_));
+ sky130_fd_sc_hd__and3_1 _13535_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[23][2] ),
+    .B(_08066_),
+    .C(_08344_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08390_));
+ sky130_fd_sc_hd__a31o_1 _13536_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[63][2] ),
+    .A2(_08388_),
+    .A3(_08389_),
+    .B1(_08390_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08391_));
+ sky130_fd_sc_hd__a221o_1 _13537_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[31][2] ),
+    .A2(_08386_),
+    .B1(_08387_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[52][2] ),
+    .C1(_08391_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08392_));
+ sky130_fd_sc_hd__a22o_1 _13538_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[57][2] ),
+    .A2(_08147_),
+    .B1(_08173_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[27][2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08393_));
+ sky130_fd_sc_hd__and3_1 _13539_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[11][2] ),
+    .B(_08358_),
+    .C(_08172_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08394_));
+ sky130_fd_sc_hd__and3_1 _13540_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[59][2] ),
+    .B(_08057_),
+    .C(_08172_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08395_));
+ sky130_fd_sc_hd__and3_1 _13541_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[7][2] ),
+    .B(_08015_),
+    .C(_07977_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08396_));
+ sky130_fd_sc_hd__a2111o_1 _13542_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[1][2] ),
+    .A2(_08120_),
+    .B1(_08394_),
+    .C1(_08395_),
+    .D1(_08396_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08397_));
+ sky130_fd_sc_hd__and3_1 _13543_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[3][2] ),
+    .B(_08355_),
+    .C(_08017_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08398_));
+ sky130_fd_sc_hd__and3_1 _13544_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[58][2] ),
+    .B(_08009_),
+    .C(_08022_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08399_));
+ sky130_fd_sc_hd__and3_1 _13545_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[45][2] ),
+    .B(_08113_),
+    .C(_08259_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08400_));
+ sky130_fd_sc_hd__a2111o_1 _13546_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[49][2] ),
+    .A2(_08188_),
+    .B1(_08398_),
+    .C1(_08399_),
+    .D1(_08400_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08401_));
+ sky130_fd_sc_hd__a22o_1 _13547_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[60][2] ),
+    .A2(_08045_),
+    .B1(_08086_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[35][2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08402_));
+ sky130_fd_sc_hd__or4_1 _13548_ (.A(_08393_),
+    .B(_08397_),
+    .C(_08401_),
+    .D(_08402_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08403_));
+ sky130_fd_sc_hd__clkbuf_2 _13549_ (.A(_08305_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08404_));
+ sky130_fd_sc_hd__clkbuf_2 _13550_ (.A(_08193_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08405_));
+ sky130_fd_sc_hd__buf_2 _13551_ (.A(_08103_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08406_));
+ sky130_fd_sc_hd__buf_2 _13552_ (.A(_08031_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08407_));
+ sky130_fd_sc_hd__buf_2 _13553_ (.A(_08034_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08408_));
+ sky130_fd_sc_hd__and3_1 _13554_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[20][2] ),
+    .B(_08407_),
+    .C(_08408_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08409_));
+ sky130_fd_sc_hd__a31o_1 _13555_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[33][2] ),
+    .A2(_08340_),
+    .A3(_08406_),
+    .B1(_08409_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08410_));
+ sky130_fd_sc_hd__a221o_1 _13556_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[43][2] ),
+    .A2(_08404_),
+    .B1(_08405_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[15][2] ),
+    .C1(_08410_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08411_));
+ sky130_fd_sc_hd__and3_1 _13557_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[36][2] ),
+    .B(_08123_),
+    .C(_07998_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08412_));
+ sky130_fd_sc_hd__a31o_1 _13558_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[32][2] ),
+    .A2(_08089_),
+    .A3(_08092_),
+    .B1(_08412_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08413_));
+ sky130_fd_sc_hd__and3_1 _13559_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[21][2] ),
+    .B(_08067_),
+    .C(_08012_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08414_));
+ sky130_fd_sc_hd__and3_1 _13560_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[28][2] ),
+    .B(_08178_),
+    .C(_08109_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08415_));
+ sky130_fd_sc_hd__and3_1 _13561_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[34][2] ),
+    .B(_08247_),
+    .C(_08248_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08416_));
+ sky130_fd_sc_hd__a2111o_1 _13562_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[55][2] ),
+    .A2(_08007_),
+    .B1(_08414_),
+    .C1(_08415_),
+    .D1(_08416_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .X(_08417_));
- sky130_fd_sc_hd__and3_1 _13572_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[37][2] ),
-    .B(_08302_),
-    .C(_08304_),
+ sky130_fd_sc_hd__a32o_1 _13563_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[19][2] ),
+    .A2(_08284_),
+    .A3(_08119_),
+    .B1(_08134_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[62][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08418_));
- sky130_fd_sc_hd__and3_1 _13573_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[16][2] ),
-    .B(_08159_),
-    .C(_08160_),
+ sky130_fd_sc_hd__and3_1 _13564_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[40][2] ),
+    .B(_08306_),
+    .C(_08264_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08419_));
- sky130_fd_sc_hd__a2111o_1 _13574_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[48][2] ),
-    .A2(_08103_),
-    .B1(_08417_),
-    .C1(_08418_),
-    .D1(_08419_),
+ sky130_fd_sc_hd__clkbuf_2 _13565_ (.A(_08100_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08420_));
- sky130_fd_sc_hd__a32o_1 _13575_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[6][2] ),
-    .A2(_08080_),
-    .A3(_08385_),
-    .B1(_08212_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[54][2] ),
+ sky130_fd_sc_hd__and3_1 _13566_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[24][2] ),
+    .B(_08079_),
+    .C(_08420_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08421_));
- sky130_fd_sc_hd__a32o_1 _13576_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[12][2] ),
-    .A2(_08265_),
-    .A3(_08293_),
-    .B1(_08266_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[17][2] ),
+ sky130_fd_sc_hd__a2111o_1 _13567_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[30][2] ),
+    .A2(_08166_),
+    .B1(_08419_),
+    .C1(_08421_),
+    .D1(_08077_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08422_));
- sky130_fd_sc_hd__or4_1 _13577_ (.A(_08415_),
-    .B(_08420_),
-    .C(_08421_),
+ sky130_fd_sc_hd__or4_1 _13568_ (.A(_08413_),
+    .B(_08417_),
+    .C(_08418_),
     .D(_08422_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08423_));
- sky130_fd_sc_hd__or4_2 _13578_ (.A(_08390_),
-    .B(_08404_),
-    .C(_08410_),
+ sky130_fd_sc_hd__or4_1 _13569_ (.A(_08392_),
+    .B(_08403_),
+    .C(_08411_),
     .D(_08423_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08424_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13579_ (.A(_08411_),
+ sky130_fd_sc_hd__o22a_2 _13570_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[0][2] ),
+    .A2(_07969_),
+    .B1(_08384_),
+    .B2(_08424_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08425_));
- sky130_fd_sc_hd__buf_2 _13580_ (.A(_08425_),
+ sky130_fd_sc_hd__a22o_1 _13571_ (.A1(\u_usb_host.u_core.u_sie.token_q[5] ),
+    .A2(_05296_),
+    .B1(_08207_),
+    .B2(_08425_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08426_));
- sky130_fd_sc_hd__clkbuf_2 _13581_ (.A(_08108_),
+ sky130_fd_sc_hd__o21a_1 _13572_ (.A1(\u_usb_host.u_core.u_sie.token_q[13] ),
+    .A2(_07947_),
+    .B1(_05427_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08427_));
- sky130_fd_sc_hd__and3_1 _13582_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[23][2] ),
-    .B(_07992_),
-    .C(_08427_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08428_));
- sky130_fd_sc_hd__a31o_1 _13583_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[63][2] ),
+ sky130_fd_sc_hd__o21ai_1 _13573_ (.A1(_05342_),
     .A2(_08426_),
-    .A3(_08343_),
-    .B1(_08428_),
+    .B1(_08427_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08429_));
- sky130_fd_sc_hd__clkbuf_1 _13584_ (.A(_08399_),
+    .Y(_08428_));
+ sky130_fd_sc_hd__o21ai_2 _13574_ (.A1(_07902_),
+    .A2(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[2] ),
+    .B1(_05433_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_08429_));
+ sky130_fd_sc_hd__a21o_1 _13575_ (.A1(\u_usb_host.u_core.u_sie.crc_out_w[2] ),
+    .A2(_07946_),
+    .B1(_07944_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08430_));
- sky130_fd_sc_hd__clkbuf_2 _13585_ (.A(_08430_),
+ sky130_fd_sc_hd__a31o_1 _13576_ (.A1(_08339_),
+    .A2(_08428_),
+    .A3(_08429_),
+    .B1(_08430_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08431_));
- sky130_fd_sc_hd__a32o_1 _13586_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[52][2] ),
-    .A2(_08339_),
-    .A3(_08431_),
-    .B1(_08179_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[31][2] ),
+ sky130_fd_sc_hd__o211a_1 _13577_ (.A1(_08336_),
+    .A2(_08337_),
+    .B1(_08338_),
+    .C1(_08431_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08432_));
- sky130_fd_sc_hd__or2_1 _13587_ (.A(_08429_),
-    .B(_08432_),
+ sky130_fd_sc_hd__o21ai_1 _13578_ (.A1(\u_usb_host.u_core.u_sie.utmi_data_i[3] ),
+    .A2(_08338_),
+    .B1(_08220_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08433_));
- sky130_fd_sc_hd__buf_2 _13588_ (.A(_08143_),
+    .Y(_08433_));
+ sky130_fd_sc_hd__clkbuf_1 _13579_ (.A(_08219_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08434_));
- sky130_fd_sc_hd__clkbuf_2 _13589_ (.A(_08434_),
+ sky130_fd_sc_hd__a2bb2o_1 _13580_ (.A1_N(_08432_),
+    .A2_N(_08433_),
+    .B1(\u_usb_host.u_core.u_sie.utmi_data_i[2] ),
+    .B2(_08434_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08435_));
- sky130_fd_sc_hd__a32o_1 _13590_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[27][2] ),
-    .A2(_08435_),
-    .A3(_08118_),
-    .B1(_08126_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[57][2] ),
+    .X(_00694_));
+ sky130_fd_sc_hd__a21oi_1 _13581_ (.A1(\u_usb_host.u_core.u_sie.utmi_data_i[4] ),
+    .A2(_08224_),
+    .B1(_08225_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_08435_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13582_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08436_));
- sky130_fd_sc_hd__and3_1 _13591_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[11][2] ),
-    .B(_08326_),
-    .C(_08332_),
+ sky130_fd_sc_hd__clkbuf_4 _13583_ (.A(_08147_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08437_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13592_ (.A(_07990_),
+ sky130_fd_sc_hd__a22o_1 _13584_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[54][3] ),
+    .A2(_08002_),
+    .B1(_08437_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[57][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08438_));
- sky130_fd_sc_hd__and3_1 _13593_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[7][2] ),
-    .B(_08291_),
-    .C(_08438_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08439_));
- sky130_fd_sc_hd__and3_1 _13594_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[59][2] ),
-    .B(_08021_),
-    .C(_08332_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08440_));
- sky130_fd_sc_hd__a2111o_1 _13595_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[1][2] ),
-    .A2(_08183_),
-    .B1(_08437_),
-    .C1(_08439_),
-    .D1(_08440_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08441_));
- sky130_fd_sc_hd__and3_1 _13596_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[45][2] ),
-    .B(_08416_),
-    .C(_08062_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08442_));
- sky130_fd_sc_hd__buf_2 _13597_ (.A(_08069_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08443_));
- sky130_fd_sc_hd__and3_1 _13598_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[58][2] ),
-    .B(_08214_),
-    .C(_08443_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08444_));
- sky130_fd_sc_hd__clkbuf_4 _13599_ (.A(_08029_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08445_));
- sky130_fd_sc_hd__and3_1 _13600_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[3][2] ),
-    .B(_08445_),
-    .C(_08000_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08446_));
- sky130_fd_sc_hd__a2111o_1 _13601_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[49][2] ),
-    .A2(_08121_),
-    .B1(_08442_),
-    .C1(_08444_),
-    .D1(_08446_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08447_));
- sky130_fd_sc_hd__a22o_1 _13602_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[60][2] ),
-    .A2(_08019_),
-    .B1(_08165_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[35][2] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08448_));
- sky130_fd_sc_hd__or4_2 _13603_ (.A(_08436_),
-    .B(_08441_),
-    .C(_08447_),
-    .D(_08448_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08449_));
- sky130_fd_sc_hd__clkbuf_2 _13604_ (.A(_08336_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08450_));
- sky130_fd_sc_hd__clkbuf_2 _13605_ (.A(_08289_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08451_));
- sky130_fd_sc_hd__buf_2 _13606_ (.A(_08427_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08452_));
- sky130_fd_sc_hd__clkbuf_2 _13607_ (.A(_08182_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08453_));
- sky130_fd_sc_hd__and3_1 _13608_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[33][2] ),
-    .B(_08199_),
-    .C(_08453_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08454_));
- sky130_fd_sc_hd__a31o_1 _13609_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[20][2] ),
-    .A2(_08431_),
-    .A3(_08452_),
-    .B1(_08454_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08455_));
- sky130_fd_sc_hd__a221o_1 _13610_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[43][2] ),
-    .A2(_08450_),
-    .B1(_08451_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[15][2] ),
-    .C1(_08455_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08456_));
- sky130_fd_sc_hd__a32o_1 _13611_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[36][2] ),
-    .A2(_08067_),
-    .A3(_08277_),
-    .B1(_08042_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[32][2] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08457_));
- sky130_fd_sc_hd__and3_1 _13612_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[55][2] ),
-    .B(_08331_),
-    .C(_08031_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08458_));
- sky130_fd_sc_hd__and3_1 _13613_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[28][2] ),
-    .B(_08309_),
-    .C(_08434_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08459_));
- sky130_fd_sc_hd__and3_1 _13614_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[21][2] ),
-    .B(_08045_),
-    .C(_08269_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08460_));
- sky130_fd_sc_hd__a2111o_1 _13615_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[34][2] ),
-    .A2(_08348_),
-    .B1(_08458_),
-    .C1(_08459_),
-    .D1(_08460_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08461_));
- sky130_fd_sc_hd__clkbuf_2 _13616_ (.A(_08047_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08462_));
- sky130_fd_sc_hd__and3_1 _13617_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[62][2] ),
-    .B(_08131_),
-    .C(_08315_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08463_));
- sky130_fd_sc_hd__a31o_1 _13618_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[19][2] ),
-    .A2(_08462_),
-    .A3(_08351_),
-    .B1(_08463_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08464_));
- sky130_fd_sc_hd__and3_1 _13619_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[40][2] ),
-    .B(_08220_),
-    .C(_08215_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08465_));
- sky130_fd_sc_hd__and3_1 _13620_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[24][2] ),
-    .B(_08269_),
-    .C(_08083_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08466_));
- sky130_fd_sc_hd__a2111o_1 _13621_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[30][2] ),
-    .A2(_08278_),
-    .B1(_08465_),
-    .C1(_08466_),
-    .D1(_08098_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08467_));
- sky130_fd_sc_hd__or4_1 _13622_ (.A(_08457_),
-    .B(_08461_),
-    .C(_08464_),
-    .D(_08467_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08468_));
- sky130_fd_sc_hd__or4_2 _13623_ (.A(_08433_),
-    .B(_08449_),
-    .C(_08456_),
-    .D(_08468_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08469_));
- sky130_fd_sc_hd__o22a_4 _13624_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[0][2] ),
-    .A2(_07983_),
-    .B1(_08424_),
-    .B2(_08469_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08470_));
- sky130_fd_sc_hd__a22o_1 _13625_ (.A1(\u_usb_host.u_core.u_sie.token_q[5] ),
-    .A2(_05315_),
-    .B1(_08231_),
-    .B2(_08470_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08471_));
- sky130_fd_sc_hd__or2_1 _13626_ (.A(\u_usb_host.u_core.u_sie.token_q[13] ),
-    .B(_07962_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08472_));
- sky130_fd_sc_hd__o211a_1 _13627_ (.A1(_05348_),
-    .A2(_08471_),
-    .B1(_08472_),
-    .C1(_05446_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08473_));
- sky130_fd_sc_hd__o21a_1 _13628_ (.A1(_07907_),
-    .A2(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[2] ),
-    .B1(_05451_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08474_));
- sky130_fd_sc_hd__a21oi_1 _13629_ (.A1(\u_usb_host.u_core.u_sie.crc_out_w[2] ),
-    .A2(_08239_),
-    .B1(_07959_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_08475_));
- sky130_fd_sc_hd__o31a_1 _13630_ (.A1(_07961_),
-    .A2(_08473_),
-    .A3(_08474_),
-    .B1(_08475_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08476_));
- sky130_fd_sc_hd__a211o_1 _13631_ (.A1(_08380_),
-    .A2(_07959_),
-    .B1(_08242_),
-    .C1(_08476_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08477_));
- sky130_fd_sc_hd__or2_1 _13632_ (.A(\u_usb_host.u_core.u_sie.utmi_data_i[3] ),
-    .B(_08248_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08478_));
- sky130_fd_sc_hd__a32o_1 _13633_ (.A1(_08247_),
-    .A2(_08477_),
-    .A3(_08478_),
-    .B1(_08246_),
-    .B2(\u_usb_host.u_core.u_sie.utmi_data_i[2] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00702_));
- sky130_fd_sc_hd__a21oi_1 _13634_ (.A1(\u_usb_host.u_core.u_sie.utmi_data_i[4] ),
-    .A2(_08251_),
-    .B1(_08252_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_08479_));
- sky130_fd_sc_hd__clkbuf_2 _13635_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[3] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08480_));
- sky130_fd_sc_hd__clkbuf_2 _13636_ (.A(_08359_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08481_));
- sky130_fd_sc_hd__a32o_1 _13637_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[11][3] ),
-    .A2(_08209_),
-    .A3(_08481_),
-    .B1(_08158_),
+ sky130_fd_sc_hd__a22o_1 _13585_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[29][3] ),
+    .A2(_08255_),
+    .B1(_08146_),
     .B2(\u_usb_host.u_core.u_fifo_tx.ram[18][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08482_));
- sky130_fd_sc_hd__clkbuf_2 _13638_ (.A(_08205_),
+    .X(_08439_));
+ sky130_fd_sc_hd__and3_1 _13586_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[53][3] ),
+    .B(_08263_),
+    .C(_08195_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08483_));
- sky130_fd_sc_hd__clkbuf_2 _13639_ (.A(_08427_),
+    .X(_08440_));
+ sky130_fd_sc_hd__and3_1 _13587_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[27][3] ),
+    .B(_08379_),
+    .C(_08060_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08484_));
- sky130_fd_sc_hd__a32o_1 _13640_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[20][3] ),
-    .A2(_08483_),
-    .A3(_08484_),
-    .B1(_08145_),
+    .X(_08441_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13588_ (.A(_07991_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08442_));
+ sky130_fd_sc_hd__and3_1 _13589_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[24][3] ),
+    .B(_08442_),
+    .C(_08420_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08443_));
+ sky130_fd_sc_hd__a2111o_1 _13590_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[17][3] ),
+    .A2(_08095_),
+    .B1(_08440_),
+    .C1(_08441_),
+    .D1(_08443_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08444_));
+ sky130_fd_sc_hd__or3_1 _13591_ (.A(_08438_),
+    .B(_08439_),
+    .C(_08444_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08445_));
+ sky130_fd_sc_hd__and3_1 _13592_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[48][3] ),
+    .B(_08309_),
+    .C(_08128_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08446_));
+ sky130_fd_sc_hd__and3_1 _13593_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[4][3] ),
+    .B(_08015_),
+    .C(_08127_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08447_));
+ sky130_fd_sc_hd__buf_2 _13594_ (.A(_08036_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08448_));
+ sky130_fd_sc_hd__and3_1 _13595_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[10][3] ),
+    .B(_08448_),
+    .C(_08197_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08449_));
+ sky130_fd_sc_hd__a2111o_1 _13596_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[25][3] ),
+    .A2(_08054_),
+    .B1(_08446_),
+    .C1(_08447_),
+    .D1(_08449_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08450_));
+ sky130_fd_sc_hd__and3_1 _13597_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[51][3] ),
+    .B(_08267_),
+    .C(_08118_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08451_));
+ sky130_fd_sc_hd__a31o_1 _13598_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[11][3] ),
+    .A2(_08300_),
+    .A3(_08061_),
+    .B1(_08451_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08452_));
+ sky130_fd_sc_hd__buf_4 _13599_ (.A(_08038_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08453_));
+ sky130_fd_sc_hd__a32o_1 _13600_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[45][3] ),
+    .A2(_08169_),
+    .A3(_08453_),
+    .B1(_08086_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[35][3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08454_));
+ sky130_fd_sc_hd__or3_1 _13601_ (.A(_08450_),
+    .B(_08452_),
+    .C(_08454_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08455_));
+ sky130_fd_sc_hd__clkbuf_2 _13602_ (.A(_08136_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08456_));
+ sky130_fd_sc_hd__a32o_1 _13603_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[47][3] ),
+    .A2(_08456_),
+    .A3(_08269_),
+    .B1(_08194_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[15][3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08457_));
+ sky130_fd_sc_hd__clkbuf_2 _13604_ (.A(_08379_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08458_));
+ sky130_fd_sc_hd__a32o_1 _13605_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[20][3] ),
+    .A2(_08458_),
+    .A3(_08408_),
+    .B1(_08187_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[37][3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08459_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13606_ (.A(_08248_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08460_));
+ sky130_fd_sc_hd__a31o_1 _13607_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[34][3] ),
+    .A2(_08282_),
+    .A3(_08460_),
+    .B1(_08077_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08461_));
+ sky130_fd_sc_hd__a32o_1 _13608_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[42][3] ),
+    .A2(_08282_),
+    .A3(_08317_),
+    .B1(_08166_),
     .B2(\u_usb_host.u_core.u_fifo_tx.ram[30][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08485_));
- sky130_fd_sc_hd__or2_1 _13641_ (.A(_08482_),
-    .B(_08485_),
+    .X(_08462_));
+ sky130_fd_sc_hd__or4_1 _13609_ (.A(_08457_),
+    .B(_08459_),
+    .C(_08461_),
+    .D(_08462_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08486_));
- sky130_fd_sc_hd__buf_2 _13642_ (.A(_08189_),
+    .X(_08463_));
+ sky130_fd_sc_hd__a32o_1 _13610_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[19][3] ),
+    .A2(_08080_),
+    .A3(_08315_),
+    .B1(_08191_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[50][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08487_));
- sky130_fd_sc_hd__and3_1 _13643_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[3][3] ),
-    .B(_08204_),
-    .C(_08462_),
+    .X(_08464_));
+ sky130_fd_sc_hd__and3_1 _13611_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[5][3] ),
+    .B(_08448_),
+    .C(_08195_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08488_));
- sky130_fd_sc_hd__a31o_1 _13644_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[12][3] ),
-    .A2(_08406_),
-    .A3(_08107_),
-    .B1(_08488_),
+    .X(_08465_));
+ sky130_fd_sc_hd__a31o_1 _13612_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[59][3] ),
+    .A2(_08164_),
+    .A3(_08061_),
+    .B1(_08465_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08489_));
- sky130_fd_sc_hd__a221o_1 _13645_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[43][3] ),
-    .A2(_08450_),
-    .B1(_08487_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[2][3] ),
-    .C1(_08489_),
+    .X(_08466_));
+ sky130_fd_sc_hd__and3_1 _13613_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[8][3] ),
+    .B(_08448_),
+    .C(_08420_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08490_));
- sky130_fd_sc_hd__clkbuf_2 _13646_ (.A(_08115_),
+    .X(_08467_));
+ sky130_fd_sc_hd__a31o_1 _13614_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[46][3] ),
+    .A2(_08124_),
+    .A3(_08171_),
+    .B1(_08467_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08491_));
- sky130_fd_sc_hd__a32o_1 _13647_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[58][3] ),
-    .A2(_08491_),
-    .A3(_08072_),
-    .B1(_08122_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[49][3] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08492_));
- sky130_fd_sc_hd__buf_2 _13648_ (.A(_08147_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08493_));
- sky130_fd_sc_hd__a32o_1 _13649_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[62][3] ),
-    .A2(_08491_),
-    .A3(_08203_),
-    .B1(_08493_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[38][3] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08494_));
- sky130_fd_sc_hd__or2_1 _13650_ (.A(_08492_),
-    .B(_08494_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08495_));
- sky130_fd_sc_hd__clkbuf_2 _13651_ (.A(_08351_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08496_));
- sky130_fd_sc_hd__clkbuf_2 _13652_ (.A(_08133_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08497_));
- sky130_fd_sc_hd__and3_1 _13653_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[50][3] ),
-    .B(_08339_),
-    .C(_08497_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08498_));
- sky130_fd_sc_hd__a32o_1 _13654_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[4][3] ),
-    .A2(_08406_),
-    .A3(_08431_),
-    .B1(_08408_),
+    .X(_08468_));
+ sky130_fd_sc_hd__a32o_1 _13615_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[28][3] ),
+    .A2(_08284_),
+    .A3(_08126_),
+    .B1(_08367_),
     .B2(\u_usb_host.u_core.u_fifo_tx.ram[61][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08499_));
- sky130_fd_sc_hd__a311o_1 _13655_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[19][3] ),
-    .A2(_08350_),
-    .A3(_08496_),
-    .B1(_08498_),
-    .C1(_08499_),
+    .X(_08469_));
+ sky130_fd_sc_hd__or4_1 _13616_ (.A(_08464_),
+    .B(_08466_),
+    .C(_08468_),
+    .D(_08469_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08500_));
- sky130_fd_sc_hd__or4_2 _13656_ (.A(_08486_),
-    .B(_08490_),
-    .C(_08495_),
-    .D(_08500_),
+    .X(_08470_));
+ sky130_fd_sc_hd__or4_2 _13617_ (.A(_08445_),
+    .B(_08455_),
+    .C(_08463_),
+    .D(_08470_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08501_));
- sky130_fd_sc_hd__and3_1 _13657_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[46][3] ),
-    .B(_08198_),
-    .C(_08087_),
+    .X(_08471_));
+ sky130_fd_sc_hd__a32o_1 _13618_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[3][3] ),
+    .A2(_08319_),
+    .A3(_08119_),
+    .B1(_08305_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[43][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08502_));
- sky130_fd_sc_hd__buf_2 _13658_ (.A(_08092_),
+    .X(_08472_));
+ sky130_fd_sc_hd__a32o_1 _13619_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[33][3] ),
+    .A2(_08169_),
+    .A3(_08103_),
+    .B1(_07987_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[38][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08503_));
- sky130_fd_sc_hd__and3_1 _13659_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[42][3] ),
-    .B(_08503_),
-    .C(_08070_),
+    .X(_08473_));
+ sky130_fd_sc_hd__and3_1 _13620_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[56][3] ),
+    .B(_08019_),
+    .C(_08264_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08504_));
- sky130_fd_sc_hd__and3_1 _13660_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[41][3] ),
-    .B(_08302_),
+    .X(_08474_));
+ sky130_fd_sc_hd__and3_1 _13621_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[39][3] ),
+    .B(_07977_),
+    .C(_08247_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08475_));
+ sky130_fd_sc_hd__and3_1 _13622_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[32][3] ),
+    .B(_08309_),
+    .C(_08271_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08476_));
+ sky130_fd_sc_hd__a2111o_1 _13623_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[26][3] ),
+    .A2(_08150_),
+    .B1(_08474_),
+    .C1(_08475_),
+    .D1(_08476_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08477_));
+ sky130_fd_sc_hd__or3_1 _13624_ (.A(_08472_),
+    .B(_08473_),
+    .C(_08477_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08478_));
+ sky130_fd_sc_hd__and3_1 _13625_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[22][3] ),
+    .B(_08177_),
+    .C(_08154_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08479_));
+ sky130_fd_sc_hd__and3_1 _13626_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[44][3] ),
+    .B(_08306_),
     .C(_08125_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08505_));
- sky130_fd_sc_hd__a2111o_1 _13661_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[37][3] ),
-    .A2(_08008_),
-    .B1(_08502_),
-    .C1(_08504_),
-    .D1(_08505_),
+    .X(_08480_));
+ sky130_fd_sc_hd__and3_1 _13627_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[7][3] ),
+    .B(_08182_),
+    .C(_08347_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08506_));
- sky130_fd_sc_hd__and3_1 _13662_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[7][3] ),
-    .B(_08259_),
-    .C(_08438_),
+    .X(_08481_));
+ sky130_fd_sc_hd__a2111o_1 _13628_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[55][3] ),
+    .A2(_08007_),
+    .B1(_08479_),
+    .C1(_08480_),
+    .D1(_08481_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08507_));
- sky130_fd_sc_hd__and3_1 _13663_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[23][3] ),
-    .B(_08438_),
-    .C(_08057_),
+    .X(_08482_));
+ sky130_fd_sc_hd__a32o_1 _13629_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[6][3] ),
+    .A2(_08319_),
+    .A3(_08343_),
+    .B1(_08280_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[14][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08508_));
- sky130_fd_sc_hd__and3_1 _13664_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[8][3] ),
-    .B(_08259_),
-    .C(_08394_),
+    .X(_08483_));
+ sky130_fd_sc_hd__a32o_1 _13630_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[36][3] ),
+    .A2(_08092_),
+    .A3(_07999_),
+    .B1(_08129_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[52][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08509_));
- sky130_fd_sc_hd__and3_1 _13665_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[6][3] ),
-    .B(_08181_),
-    .C(_08329_),
+    .X(_08484_));
+ sky130_fd_sc_hd__or3_1 _13631_ (.A(_08482_),
+    .B(_08483_),
+    .C(_08484_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08510_));
- sky130_fd_sc_hd__or4_1 _13666_ (.A(_08507_),
-    .B(_08508_),
-    .C(_08509_),
-    .D(_08510_),
+    .X(_08485_));
+ sky130_fd_sc_hd__buf_2 _13632_ (.A(_08063_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08511_));
- sky130_fd_sc_hd__and3_1 _13667_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[21][3] ),
-    .B(_08304_),
-    .C(_08328_),
+    .X(_08486_));
+ sky130_fd_sc_hd__a32o_1 _13633_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[12][3] ),
+    .A2(_08300_),
+    .A3(_08254_),
+    .B1(_08486_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[41][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08512_));
- sky130_fd_sc_hd__buf_2 _13668_ (.A(_08082_),
+    .X(_08487_));
+ sky130_fd_sc_hd__buf_2 _13634_ (.A(_08133_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08513_));
- sky130_fd_sc_hd__and3_1 _13669_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[24][3] ),
-    .B(_08328_),
-    .C(_08513_),
+    .X(_08488_));
+ sky130_fd_sc_hd__a32o_1 _13635_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[23][3] ),
+    .A2(_07978_),
+    .A3(_08345_),
+    .B1(_08488_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[62][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08514_));
- sky130_fd_sc_hd__and3_1 _13670_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[22][3] ),
-    .B(_08305_),
-    .C(_08329_),
+    .X(_08489_));
+ sky130_fd_sc_hd__and3_1 _13636_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[58][3] ),
+    .B(_08163_),
+    .C(_08279_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08515_));
- sky130_fd_sc_hd__a2111o_1 _13671_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[26][3] ),
-    .A2(_08175_),
-    .B1(_08512_),
-    .C1(_08514_),
-    .D1(_08515_),
+    .X(_08490_));
+ sky130_fd_sc_hd__and3_1 _13637_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[21][3] ),
+    .B(_08344_),
+    .C(_08042_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08516_));
- sky130_fd_sc_hd__and3_1 _13672_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[48][3] ),
-    .B(_07979_),
-    .C(_08214_),
+    .X(_08491_));
+ sky130_fd_sc_hd__clkbuf_2 _13638_ (.A(_08111_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08517_));
- sky130_fd_sc_hd__and3_1 _13673_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[28][3] ),
-    .B(_08218_),
-    .C(_08305_),
+    .X(_08492_));
+ sky130_fd_sc_hd__and3_1 _13639_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[49][3] ),
+    .B(_08492_),
+    .C(_08103_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08518_));
- sky130_fd_sc_hd__and3_1 _13674_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[1][3] ),
-    .B(_08445_),
-    .C(_08171_),
+    .X(_08493_));
+ sky130_fd_sc_hd__a2111oi_1 _13640_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[31][3] ),
+    .A2(_08385_),
+    .B1(_08490_),
+    .C1(_08491_),
+    .D1(_08493_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08519_));
- sky130_fd_sc_hd__a2111o_1 _13675_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[34][3] ),
-    .A2(_08348_),
-    .B1(_08517_),
-    .C1(_08518_),
-    .D1(_08519_),
+    .Y(_08494_));
+ sky130_fd_sc_hd__or3b_1 _13641_ (.A(_08487_),
+    .B(_08489_),
+    .C_N(_08494_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08520_));
- sky130_fd_sc_hd__or4_1 _13676_ (.A(_08506_),
-    .B(_08511_),
-    .C(_08516_),
-    .D(_08520_),
+    .X(_08495_));
+ sky130_fd_sc_hd__and3_1 _13642_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[63][3] ),
+    .B(_08267_),
+    .C(_08139_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08521_));
- sky130_fd_sc_hd__and3_1 _13677_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[59][3] ),
-    .B(_07995_),
-    .C(_08074_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08522_));
- sky130_fd_sc_hd__and3_1 _13678_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[36][3] ),
-    .B(_08503_),
-    .C(_08399_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08523_));
- sky130_fd_sc_hd__and3_1 _13679_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[53][3] ),
-    .B(_08331_),
-    .C(_08304_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08524_));
- sky130_fd_sc_hd__a2111o_1 _13680_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[60][3] ),
-    .A2(_08019_),
-    .B1(_08522_),
-    .C1(_08523_),
-    .D1(_08524_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08525_));
- sky130_fd_sc_hd__and3_1 _13681_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[45][3] ),
-    .B(_08065_),
-    .C(_08111_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08526_));
- sky130_fd_sc_hd__and3_1 _13682_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[56][3] ),
-    .B(_08102_),
-    .C(_08394_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08527_));
- sky130_fd_sc_hd__and3_1 _13683_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[14][3] ),
-    .B(_07967_),
-    .C(_08201_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08528_));
- sky130_fd_sc_hd__and3_1 _13684_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[55][3] ),
-    .B(_08060_),
-    .C(_08438_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08529_));
- sky130_fd_sc_hd__or4_1 _13685_ (.A(_08526_),
-    .B(_08527_),
-    .C(_08528_),
-    .D(_08529_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08530_));
- sky130_fd_sc_hd__and3_1 _13686_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[40][3] ),
-    .B(_08503_),
-    .C(_08513_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08531_));
- sky130_fd_sc_hd__and3_1 _13687_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[33][3] ),
-    .B(_08416_),
-    .C(_08171_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08532_));
- sky130_fd_sc_hd__and3_1 _13688_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[9][3] ),
-    .B(_08043_),
-    .C(_08125_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08533_));
- sky130_fd_sc_hd__a2111o_1 _13689_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[39][3] ),
-    .A2(_08094_),
-    .B1(_08531_),
-    .C1(_08532_),
-    .D1(_08533_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08534_));
- sky130_fd_sc_hd__and3_1 _13690_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[13][3] ),
-    .B(_08291_),
+    .X(_08496_));
+ sky130_fd_sc_hd__and3_1 _13643_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[1][3] ),
+    .B(_08182_),
     .C(_08307_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .X(_08497_));
+ sky130_fd_sc_hd__and3_1 _13644_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[16][3] ),
+    .B(_07966_),
+    .C(_07992_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08498_));
+ sky130_fd_sc_hd__a2111o_1 _13645_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[2][3] ),
+    .A2(_08176_),
+    .B1(_08496_),
+    .C1(_08497_),
+    .D1(_08498_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08499_));
+ sky130_fd_sc_hd__a32o_1 _13646_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[13][3] ),
+    .A2(_08099_),
+    .A3(_08453_),
+    .B1(_08051_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[9][3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08500_));
+ sky130_fd_sc_hd__buf_2 _13647_ (.A(_08091_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08501_));
+ sky130_fd_sc_hd__a32o_1 _13648_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[40][3] ),
+    .A2(_08501_),
+    .A3(_08102_),
+    .B1(_08046_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[60][3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08502_));
+ sky130_fd_sc_hd__or3_1 _13649_ (.A(_08499_),
+    .B(_08500_),
+    .C(_08502_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08503_));
+ sky130_fd_sc_hd__or4_1 _13650_ (.A(_08478_),
+    .B(_08485_),
+    .C(_08495_),
+    .D(_08503_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08504_));
+ sky130_fd_sc_hd__o22a_4 _13651_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[0][3] ),
+    .A2(_07969_),
+    .B1(_08471_),
+    .B2(_08504_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08505_));
+ sky130_fd_sc_hd__a221o_1 _13652_ (.A1(\u_usb_host.u_core.u_sie.send_data1_q ),
+    .A2(_08230_),
+    .B1(_08505_),
+    .B2(_08207_),
+    .C1(_05296_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08506_));
+ sky130_fd_sc_hd__or2_1 _13653_ (.A(\u_usb_host.u_core.u_sie.token_q[4] ),
+    .B(_08229_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08507_));
+ sky130_fd_sc_hd__a221oi_1 _13654_ (.A1(\u_usb_host.u_core.u_sie.token_q[12] ),
+    .A2(_05342_),
+    .B1(_08506_),
+    .B2(_08507_),
+    .C1(_05433_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_08508_));
+ sky130_fd_sc_hd__a21oi_2 _13655_ (.A1(_08330_),
+    .A2(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[3] ),
+    .B1(_05428_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_08509_));
+ sky130_fd_sc_hd__o221a_1 _13656_ (.A1(\u_usb_host.u_core.u_sie.crc_out_w[3] ),
+    .A2(_05332_),
+    .B1(_08508_),
+    .B2(_08509_),
+    .C1(_08332_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08510_));
+ sky130_fd_sc_hd__a211o_1 _13657_ (.A1(_08436_),
+    .A2(_07945_),
+    .B1(_08216_),
+    .C1(_08510_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08511_));
+ sky130_fd_sc_hd__o2bb2a_1 _13658_ (.A1_N(_08435_),
+    .A2_N(_08511_),
+    .B1(_08335_),
+    .B2(\u_usb_host.u_core.u_sie.utmi_data_i[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00695_));
+ sky130_fd_sc_hd__buf_2 _13659_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08512_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13660_ (.A(_08272_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08513_));
+ sky130_fd_sc_hd__a32o_1 _13661_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[46][4] ),
+    .A2(_08513_),
+    .A3(_08171_),
+    .B1(_08486_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[41][4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08514_));
+ sky130_fd_sc_hd__buf_2 _13662_ (.A(_08188_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08515_));
+ sky130_fd_sc_hd__clkbuf_2 _13663_ (.A(_08191_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08516_));
+ sky130_fd_sc_hd__a22o_1 _13664_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[49][4] ),
+    .A2(_08515_),
+    .B1(_08516_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[50][4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08517_));
+ sky130_fd_sc_hd__and3_1 _13665_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[32][4] ),
+    .B(_08374_),
+    .C(_08456_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08518_));
+ sky130_fd_sc_hd__and3_1 _13666_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[56][4] ),
+    .B(_08277_),
+    .C(_08083_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08519_));
+ sky130_fd_sc_hd__and3_1 _13667_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[8][4] ),
+    .B(_08258_),
+    .C(_08251_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08520_));
+ sky130_fd_sc_hd__a2111o_1 _13668_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[61][4] ),
+    .A2(_08367_),
+    .B1(_08518_),
+    .C1(_08519_),
+    .D1(_08520_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08521_));
+ sky130_fd_sc_hd__or3_1 _13669_ (.A(_08514_),
+    .B(_08517_),
+    .C(_08521_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08522_));
+ sky130_fd_sc_hd__and3_1 _13670_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[4][4] ),
+    .B(_07953_),
+    .C(_08199_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08523_));
+ sky130_fd_sc_hd__clkbuf_1 _13671_ (.A(_08090_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08524_));
+ sky130_fd_sc_hd__and3_1 _13672_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[36][4] ),
+    .B(_08524_),
+    .C(_08199_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08525_));
+ sky130_fd_sc_hd__and3_1 _13673_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[6][4] ),
+    .B(_07953_),
+    .C(_08234_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08526_));
+ sky130_fd_sc_hd__and3_1 _13674_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[16][4] ),
+    .B(_07966_),
+    .C(_08407_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08527_));
+ sky130_fd_sc_hd__or4_1 _13675_ (.A(_08523_),
+    .B(_08525_),
+    .C(_08526_),
+    .D(_08527_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08528_));
+ sky130_fd_sc_hd__clkbuf_2 _13676_ (.A(_08268_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08529_));
+ sky130_fd_sc_hd__buf_2 _13677_ (.A(_08061_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08530_));
+ sky130_fd_sc_hd__and3_1 _13678_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[22][4] ),
+    .B(_08235_),
+    .C(_08284_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08531_));
+ sky130_fd_sc_hd__a31o_1 _13679_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[59][4] ),
+    .A2(_08529_),
+    .A3(_08530_),
+    .B1(_08531_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08532_));
+ sky130_fd_sc_hd__clkbuf_2 _13680_ (.A(_08345_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08533_));
+ sky130_fd_sc_hd__clkbuf_2 _13681_ (.A(_08126_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08534_));
+ sky130_fd_sc_hd__a32o_1 _13682_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[28][4] ),
+    .A2(_08533_),
+    .A3(_08534_),
+    .B1(_08387_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[52][4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .X(_08535_));
- sky130_fd_sc_hd__and3_1 _13691_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[10][3] ),
-    .B(_08043_),
-    .C(_08443_),
+ sky130_fd_sc_hd__or3_1 _13683_ (.A(_08528_),
+    .B(_08532_),
+    .C(_08535_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08536_));
- sky130_fd_sc_hd__and3_1 _13692_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[27][3] ),
-    .B(_08160_),
-    .C(_08332_),
+ sky130_fd_sc_hd__clkbuf_2 _13684_ (.A(_08351_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08537_));
- sky130_fd_sc_hd__a2111o_1 _13693_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[17][3] ),
-    .A2(_08266_),
-    .B1(_08535_),
-    .C1(_08536_),
-    .D1(_08537_),
+ sky130_fd_sc_hd__a32o_1 _13685_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[47][4] ),
+    .A2(_08537_),
+    .A3(_08389_),
+    .B1(_08405_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[15][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08538_));
- sky130_fd_sc_hd__or4_1 _13694_ (.A(_08525_),
-    .B(_08530_),
-    .C(_08534_),
-    .D(_08538_),
+ sky130_fd_sc_hd__a22o_1 _13686_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[62][4] ),
+    .A2(_08488_),
+    .B1(_08174_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[27][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08539_));
- sky130_fd_sc_hd__buf_2 _13695_ (.A(_08097_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13687_ (.A(_08317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08540_));
- sky130_fd_sc_hd__and3_1 _13696_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[5][3] ),
-    .B(_08292_),
-    .C(_08056_),
+ sky130_fd_sc_hd__clkbuf_2 _13688_ (.A(_08076_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08541_));
- sky130_fd_sc_hd__a22o_1 _13697_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[29][3] ),
-    .A2(_08112_),
-    .B1(_08185_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[25][3] ),
+ sky130_fd_sc_hd__a31o_1 _13689_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[42][4] ),
+    .A2(_08513_),
+    .A3(_08540_),
+    .B1(_08541_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08542_));
- sky130_fd_sc_hd__and3_1 _13698_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[15][3] ),
-    .B(_08217_),
-    .C(_08221_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13690_ (.A(_08068_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08543_));
- sky130_fd_sc_hd__and3_1 _13699_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[57][3] ),
-    .B(_08021_),
-    .C(_08129_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13691_ (.A(_07999_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08544_));
- sky130_fd_sc_hd__and3_1 _13700_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[16][3] ),
-    .B(_08159_),
-    .C(_08049_),
+ sky130_fd_sc_hd__and3_1 _13692_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[21][4] ),
+    .B(_07993_),
+    .C(_08290_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08545_));
- sky130_fd_sc_hd__a2111o_1 _13701_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[32][3] ),
-    .A2(_08042_),
-    .B1(_08543_),
-    .C1(_08544_),
-    .D1(_08545_),
+ sky130_fd_sc_hd__a31o_1 _13693_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[20][4] ),
+    .A2(_08543_),
+    .A3(_08544_),
+    .B1(_08545_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08546_));
- sky130_fd_sc_hd__or4_1 _13702_ (.A(_08540_),
-    .B(_08541_),
+ sky130_fd_sc_hd__or4_1 _13694_ (.A(_08538_),
+    .B(_08539_),
     .C(_08542_),
     .D(_08546_),
     .VGND(vssd1),
@@ -193178,9028 +192700,8596 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08547_));
- sky130_fd_sc_hd__clkbuf_2 _13703_ (.A(_07985_),
+ sky130_fd_sc_hd__clkbuf_2 _13695_ (.A(_08101_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08548_));
- sky130_fd_sc_hd__and3_1 _13704_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[52][3] ),
-    .B(_08548_),
-    .C(_08052_),
+ sky130_fd_sc_hd__and3_1 _13696_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[44][4] ),
+    .B(_08501_),
+    .C(_08254_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08549_));
- sky130_fd_sc_hd__and3_1 _13705_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[47][3] ),
-    .B(_08127_),
-    .C(_08152_),
+ sky130_fd_sc_hd__a31o_1 _13697_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[24][4] ),
+    .A2(_08533_),
+    .A3(_08548_),
+    .B1(_08549_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08550_));
- sky130_fd_sc_hd__and3_1 _13706_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[35][3] ),
-    .B(_08261_),
-    .C(_08193_),
+ sky130_fd_sc_hd__clkbuf_2 _13698_ (.A(_08255_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08551_));
- sky130_fd_sc_hd__a2111o_1 _13707_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[54][3] ),
-    .A2(_08212_),
-    .B1(_08549_),
-    .C1(_08550_),
-    .D1(_08551_),
+ sky130_fd_sc_hd__clkbuf_2 _13699_ (.A(_08186_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08552_));
- sky130_fd_sc_hd__a32o_1 _13708_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[63][3] ),
-    .A2(_08116_),
-    .A3(_08343_),
-    .B1(_08001_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[51][3] ),
+ sky130_fd_sc_hd__a22o_1 _13700_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[29][4] ),
+    .A2(_08551_),
+    .B1(_08552_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[37][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08553_));
- sky130_fd_sc_hd__a32o_1 _13709_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[44][3] ),
-    .A2(_08381_),
-    .A3(_08107_),
-    .B1(_08179_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[31][3] ),
+ sky130_fd_sc_hd__clkbuf_2 _13701_ (.A(_08085_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08554_));
- sky130_fd_sc_hd__or3_1 _13710_ (.A(_08552_),
-    .B(_08553_),
-    .C(_08554_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08555_));
- sky130_fd_sc_hd__or4_2 _13711_ (.A(_08521_),
-    .B(_08539_),
-    .C(_08547_),
-    .D(_08555_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08556_));
- sky130_fd_sc_hd__o22a_4 _13712_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[0][3] ),
-    .A2(_07983_),
-    .B1(_08501_),
-    .B2(_08556_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08557_));
- sky130_fd_sc_hd__a221o_1 _13713_ (.A1(\u_usb_host.u_core.u_sie.send_data1_q ),
-    .A2(_08258_),
-    .B1(_08557_),
-    .B2(_08232_),
-    .C1(_05316_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08558_));
- sky130_fd_sc_hd__or2_1 _13714_ (.A(\u_usb_host.u_core.u_sie.token_q[4] ),
-    .B(_08257_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08559_));
- sky130_fd_sc_hd__a221oi_1 _13715_ (.A1(\u_usb_host.u_core.u_sie.token_q[12] ),
-    .A2(_05362_),
-    .B1(_08558_),
-    .B2(_08559_),
-    .C1(_05452_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_08560_));
- sky130_fd_sc_hd__a21oi_1 _13716_ (.A1(_08371_),
-    .A2(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[3] ),
-    .B1(_05447_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_08561_));
- sky130_fd_sc_hd__o221a_1 _13717_ (.A1(\u_usb_host.u_core.u_sie.crc_out_w[3] ),
-    .A2(_05354_),
-    .B1(_08560_),
-    .B2(_08561_),
-    .C1(_08374_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08562_));
- sky130_fd_sc_hd__a211o_1 _13718_ (.A1(_08480_),
-    .A2(_07960_),
-    .B1(_08243_),
-    .C1(_08562_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08563_));
- sky130_fd_sc_hd__o2bb2a_1 _13719_ (.A1_N(_08479_),
-    .A2_N(_08563_),
-    .B1(_08378_),
-    .B2(\u_usb_host.u_core.u_sie.utmi_data_i[3] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00703_));
- sky130_fd_sc_hd__clkbuf_2 _13720_ (.A(_07982_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08564_));
- sky130_fd_sc_hd__clkbuf_2 _13721_ (.A(_08008_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08565_));
- sky130_fd_sc_hd__buf_2 _13722_ (.A(_08202_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08566_));
- sky130_fd_sc_hd__buf_2 _13723_ (.A(_08001_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08567_));
- sky130_fd_sc_hd__a32o_1 _13724_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[46][4] ),
-    .A2(_08382_),
-    .A3(_08566_),
-    .B1(_08567_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[51][4] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08568_));
- sky130_fd_sc_hd__a221o_2 _13725_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[37][4] ),
-    .A2(_08565_),
-    .B1(_08166_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[35][4] ),
-    .C1(_08568_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08569_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13726_ (.A(_08018_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08570_));
- sky130_fd_sc_hd__clkbuf_2 _13727_ (.A(_08184_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08571_));
- sky130_fd_sc_hd__a32o_1 _13728_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[14][4] ),
-    .A2(_07970_),
-    .A3(_08566_),
-    .B1(_08571_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[25][4] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08572_));
- sky130_fd_sc_hd__a221o_1 _13729_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[60][4] ),
-    .A2(_08570_),
-    .B1(_08113_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[29][4] ),
-    .C1(_08572_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08573_));
- sky130_fd_sc_hd__clkbuf_2 _13730_ (.A(_08176_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08574_));
- sky130_fd_sc_hd__clkbuf_2 _13731_ (.A(_08491_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08575_));
- sky130_fd_sc_hd__a32o_1 _13732_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[50][4] ),
-    .A2(_08575_),
-    .A3(_08497_),
-    .B1(_08451_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[15][4] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08576_));
- sky130_fd_sc_hd__a221o_1 _13733_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[43][4] ),
-    .A2(_08450_),
-    .B1(_08574_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[26][4] ),
-    .C1(_08576_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08577_));
- sky130_fd_sc_hd__clkbuf_4 _13734_ (.A(_08126_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08578_));
- sky130_fd_sc_hd__buf_2 _13735_ (.A(_08178_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08579_));
- sky130_fd_sc_hd__clkbuf_2 _13736_ (.A(_08138_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08580_));
- sky130_fd_sc_hd__clkbuf_2 _13737_ (.A(_08211_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08581_));
- sky130_fd_sc_hd__a22o_1 _13738_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[9][4] ),
-    .A2(_08580_),
-    .B1(_08581_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[54][4] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08582_));
- sky130_fd_sc_hd__a221o_1 _13739_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[57][4] ),
-    .A2(_08578_),
-    .B1(_08579_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[31][4] ),
-    .C1(_08582_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08583_));
- sky130_fd_sc_hd__or4_1 _13740_ (.A(_08569_),
-    .B(_08573_),
-    .C(_08577_),
-    .D(_08583_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08584_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13741_ (.A(_08080_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08585_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13742_ (.A(_08407_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08586_));
- sky130_fd_sc_hd__and3_1 _13743_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[5][4] ),
-    .B(_08265_),
-    .C(_08056_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08587_));
- sky130_fd_sc_hd__buf_2 _13744_ (.A(_08193_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08588_));
- sky130_fd_sc_hd__and3_1 _13745_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[4][4] ),
-    .B(_08260_),
-    .C(_08033_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08589_));
- sky130_fd_sc_hd__a31o_1 _13746_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[33][4] ),
-    .A2(_08588_),
-    .A3(_08453_),
-    .B1(_08589_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08590_));
- sky130_fd_sc_hd__a311o_1 _13747_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[8][4] ),
-    .A2(_08585_),
-    .A3(_08586_),
-    .B1(_08587_),
-    .C1(_08590_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08591_));
- sky130_fd_sc_hd__and3_1 _13748_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[19][4] ),
-    .B(_08462_),
-    .C(_08059_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08592_));
- sky130_fd_sc_hd__a32o_1 _13749_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[62][4] ),
-    .A2(_08276_),
-    .A3(_08316_),
-    .B1(_08278_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[30][4] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08593_));
- sky130_fd_sc_hd__a311o_1 _13750_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[3][4] ),
-    .A2(_07970_),
-    .A3(_08350_),
-    .B1(_08592_),
-    .C1(_08593_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08594_));
- sky130_fd_sc_hd__and3_1 _13751_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[45][4] ),
-    .B(_08067_),
-    .C(_08361_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08595_));
- sky130_fd_sc_hd__a32o_1 _13752_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[44][4] ),
-    .A2(_08588_),
-    .A3(_08107_),
-    .B1(_08168_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[17][4] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08596_));
- sky130_fd_sc_hd__a311o_1 _13753_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[40][4] ),
-    .A2(_08382_),
-    .A3(_08586_),
-    .B1(_08595_),
-    .C1(_08596_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08597_));
- sky130_fd_sc_hd__and3_1 _13754_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[6][4] ),
-    .B(_07969_),
-    .C(_08142_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08598_));
- sky130_fd_sc_hd__a32o_1 _13755_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[52][4] ),
-    .A2(_08491_),
-    .A3(_08483_),
-    .B1(_08063_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[61][4] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08599_));
- sky130_fd_sc_hd__a311o_1 _13756_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[27][4] ),
-    .A2(_08496_),
-    .A3(_08481_),
-    .B1(_08598_),
-    .C1(_08599_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08600_));
- sky130_fd_sc_hd__or4_1 _13757_ (.A(_08591_),
-    .B(_08594_),
-    .C(_08597_),
-    .D(_08600_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08601_));
- sky130_fd_sc_hd__a32o_1 _13758_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[20][4] ),
-    .A2(_08277_),
-    .A3(_08351_),
-    .B1(_08013_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[34][4] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08602_));
- sky130_fd_sc_hd__a221o_1 _13759_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[48][4] ),
-    .A2(_08282_),
-    .B1(_08487_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[2][4] ),
-    .C1(_08602_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08603_));
- sky130_fd_sc_hd__and3_1 _13760_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[10][4] ),
-    .B(_08260_),
-    .C(_08071_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08604_));
- sky130_fd_sc_hd__a31o_1 _13761_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[36][4] ),
-    .A2(_08381_),
-    .A3(_08483_),
-    .B1(_08604_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08605_));
- sky130_fd_sc_hd__a221o_1 _13762_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[32][4] ),
-    .A2(_08335_),
-    .B1(_08355_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[1][4] ),
-    .C1(_08605_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08606_));
- sky130_fd_sc_hd__buf_4 _13763_ (.A(_08343_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08607_));
- sky130_fd_sc_hd__and3_1 _13764_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[11][4] ),
-    .B(_08265_),
-    .C(_08118_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08608_));
- sky130_fd_sc_hd__and3_1 _13765_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[21][4] ),
-    .B(_08055_),
-    .C(_08058_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08609_));
- sky130_fd_sc_hd__a31o_1 _13766_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[42][4] ),
-    .A2(_08381_),
-    .A3(_08072_),
-    .B1(_08609_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08610_));
- sky130_fd_sc_hd__a311o_1 _13767_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[63][4] ),
-    .A2(_08575_),
-    .A3(_08607_),
-    .B1(_08608_),
-    .C1(_08610_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08611_));
- sky130_fd_sc_hd__and3_1 _13768_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[41][4] ),
-    .B(_08199_),
-    .C(_08129_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08612_));
- sky130_fd_sc_hd__a31o_1 _13769_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[28][4] ),
-    .A2(_08384_),
-    .A3(_08484_),
-    .B1(_08612_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08613_));
- sky130_fd_sc_hd__clkbuf_4 _13770_ (.A(_07992_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08614_));
- sky130_fd_sc_hd__a32o_1 _13771_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[23][4] ),
-    .A2(_08614_),
-    .A3(_08484_),
-    .B1(_08388_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[39][4] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08615_));
- sky130_fd_sc_hd__or2_1 _13772_ (.A(_08613_),
-    .B(_08615_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08616_));
- sky130_fd_sc_hd__or4_1 _13773_ (.A(_08603_),
-    .B(_08606_),
-    .C(_08611_),
-    .D(_08616_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08617_));
- sky130_fd_sc_hd__and3_1 _13774_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[56][4] ),
-    .B(_08575_),
-    .C(_08586_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08618_));
- sky130_fd_sc_hd__buf_4 _13775_ (.A(_07993_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08619_));
- sky130_fd_sc_hd__a32o_1 _13776_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[55][4] ),
-    .A2(_08575_),
-    .A3(_08619_),
-    .B1(_08122_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[49][4] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08620_));
- sky130_fd_sc_hd__and3_1 _13777_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[22][4] ),
-    .B(_08110_),
-    .C(_08142_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08621_));
- sky130_fd_sc_hd__clkbuf_2 _13778_ (.A(_08096_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08622_));
- sky130_fd_sc_hd__and3_1 _13779_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[13][4] ),
-    .B(_08204_),
-    .C(_08361_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08623_));
- sky130_fd_sc_hd__a31o_1 _13780_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[58][4] ),
-    .A2(_08339_),
-    .A3(_08622_),
-    .B1(_08623_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08624_));
- sky130_fd_sc_hd__a311o_1 _13781_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[24][4] ),
-    .A2(_08496_),
-    .A3(_08586_),
-    .B1(_08621_),
-    .C1(_08624_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08625_));
- sky130_fd_sc_hd__or4_1 _13782_ (.A(_08540_),
-    .B(_08618_),
-    .C(_08620_),
-    .D(_08625_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08626_));
- sky130_fd_sc_hd__clkbuf_2 _13783_ (.A(_08425_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08627_));
- sky130_fd_sc_hd__and3_1 _13784_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[53][4] ),
-    .B(_08627_),
-    .C(_08281_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08628_));
- sky130_fd_sc_hd__a31o_1 _13785_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[47][4] ),
-    .A2(_08382_),
-    .A3(_08607_),
-    .B1(_08628_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08629_));
- sky130_fd_sc_hd__clkbuf_2 _13786_ (.A(_08326_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08630_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13787_ (.A(_08630_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08631_));
- sky130_fd_sc_hd__and3_1 _13788_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[12][4] ),
-    .B(_08631_),
-    .C(_08384_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08632_));
- sky130_fd_sc_hd__a31o_1 _13789_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[7][4] ),
-    .A2(_07970_),
-    .A3(_08619_),
-    .B1(_08632_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08633_));
- sky130_fd_sc_hd__buf_2 _13790_ (.A(_08157_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08634_));
- sky130_fd_sc_hd__clkbuf_2 _13791_ (.A(_08435_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08635_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13792_ (.A(_08214_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08636_));
- sky130_fd_sc_hd__clkbuf_2 _13793_ (.A(_08074_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08637_));
- sky130_fd_sc_hd__and3_1 _13794_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[59][4] ),
-    .B(_08636_),
-    .C(_08637_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08638_));
- sky130_fd_sc_hd__a31o_1 _13795_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[16][4] ),
-    .A2(_07981_),
-    .A3(_08635_),
-    .B1(_08638_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08639_));
- sky130_fd_sc_hd__a221o_1 _13796_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[38][4] ),
-    .A2(_08493_),
-    .B1(_08634_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[18][4] ),
-    .C1(_08639_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08640_));
- sky130_fd_sc_hd__or3_1 _13797_ (.A(_08629_),
-    .B(_08633_),
-    .C(_08640_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08641_));
- sky130_fd_sc_hd__or4_1 _13798_ (.A(_08601_),
-    .B(_08617_),
-    .C(_08626_),
-    .D(_08641_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08642_));
- sky130_fd_sc_hd__o22a_2 _13799_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[0][4] ),
-    .A2(_08564_),
-    .B1(_08584_),
-    .B2(_08642_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08643_));
- sky130_fd_sc_hd__a21o_1 _13800_ (.A1(_08231_),
-    .A2(_08643_),
-    .B1(_08367_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08644_));
- sky130_fd_sc_hd__o21a_1 _13801_ (.A1(\u_usb_host.u_core.u_sie.token_q[3] ),
-    .A2(_08257_),
-    .B1(_08644_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08645_));
- sky130_fd_sc_hd__mux2_1 _13802_ (.A0(\u_usb_host.u_core.u_sie.token_q[11] ),
-    .A1(_08645_),
-    .S(_07962_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08646_));
- sky130_fd_sc_hd__buf_2 _13803_ (.A(_07961_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08647_));
- sky130_fd_sc_hd__a311o_1 _13804_ (.A1(_08372_),
-    .A2(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[4] ),
-    .A3(_05452_),
-    .B1(_08646_),
-    .C1(_08647_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08648_));
- sky130_fd_sc_hd__nand2_1 _13805_ (.A(\u_usb_host.u_core.u_sie.crc_out_w[4] ),
-    .B(_08647_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_08649_));
- sky130_fd_sc_hd__buf_2 _13806_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[4] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08650_));
- sky130_fd_sc_hd__o21ai_1 _13807_ (.A1(_08650_),
-    .A2(_08375_),
-    .B1(_08248_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_08651_));
- sky130_fd_sc_hd__a21oi_1 _13808_ (.A1(_08648_),
-    .A2(_08649_),
-    .B1(_08651_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_08652_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13809_ (.A(_08248_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08653_));
- sky130_fd_sc_hd__o21ai_1 _13810_ (.A1(\u_usb_host.u_core.u_sie.utmi_data_i[5] ),
-    .A2(_08653_),
-    .B1(_08247_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_08654_));
- sky130_fd_sc_hd__a2bb2o_1 _13811_ (.A1_N(_08652_),
-    .A2_N(_08654_),
-    .B1(\u_usb_host.u_core.u_sie.utmi_data_i[4] ),
-    .B2(_08250_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00704_));
- sky130_fd_sc_hd__a21oi_1 _13812_ (.A1(\u_usb_host.u_core.u_sie.utmi_data_i[6] ),
-    .A2(_08251_),
-    .B1(_08252_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_08655_));
- sky130_fd_sc_hd__clkbuf_2 _13813_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[5] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08656_));
- sky130_fd_sc_hd__inv_2 _13814_ (.A(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[5] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_08657_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _13815_ (.A(_08302_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08658_));
- sky130_fd_sc_hd__buf_2 _13816_ (.A(_08658_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08659_));
- sky130_fd_sc_hd__a32o_1 _13817_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[46][5] ),
-    .A2(_08659_),
-    .A3(_08203_),
-    .B1(_08567_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[51][5] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08660_));
- sky130_fd_sc_hd__a221o_2 _13818_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[37][5] ),
-    .A2(_08565_),
-    .B1(_08166_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[35][5] ),
-    .C1(_08660_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08661_));
- sky130_fd_sc_hd__a32o_1 _13819_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[14][5] ),
-    .A2(_08631_),
-    .A3(_08566_),
-    .B1(_08571_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[25][5] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08662_));
- sky130_fd_sc_hd__a221o_1 _13820_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[60][5] ),
-    .A2(_08570_),
-    .B1(_08113_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[29][5] ),
-    .C1(_08662_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08663_));
- sky130_fd_sc_hd__a32o_1 _13821_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[50][5] ),
-    .A2(_08627_),
-    .A3(_08497_),
-    .B1(_08451_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[15][5] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08664_));
- sky130_fd_sc_hd__a221o_1 _13822_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[43][5] ),
-    .A2(_08450_),
-    .B1(_08574_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[26][5] ),
-    .C1(_08664_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08665_));
- sky130_fd_sc_hd__a22o_1 _13823_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[57][5] ),
-    .A2(_08578_),
-    .B1(_08579_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[31][5] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08666_));
- sky130_fd_sc_hd__a221o_1 _13824_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[9][5] ),
-    .A2(_08580_),
-    .B1(_08581_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[54][5] ),
-    .C1(_08666_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08667_));
- sky130_fd_sc_hd__or4_1 _13825_ (.A(_08661_),
-    .B(_08663_),
-    .C(_08665_),
-    .D(_08667_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08668_));
- sky130_fd_sc_hd__and3_1 _13826_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[5][5] ),
-    .B(_08188_),
-    .C(_08007_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08669_));
- sky130_fd_sc_hd__and3_1 _13827_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[4][5] ),
-    .B(_08188_),
-    .C(_08399_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08670_));
- sky130_fd_sc_hd__and3_1 _13828_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[8][5] ),
-    .B(_08188_),
-    .C(_08513_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08671_));
- sky130_fd_sc_hd__and3_1 _13829_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[33][5] ),
-    .B(_08503_),
-    .C(_08182_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08672_));
- sky130_fd_sc_hd__or4_1 _13830_ (.A(_08669_),
-    .B(_08670_),
-    .C(_08671_),
-    .D(_08672_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08673_));
- sky130_fd_sc_hd__and3_1 _13831_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[3][5] ),
-    .B(_08445_),
-    .C(_08000_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08674_));
- sky130_fd_sc_hd__and3_1 _13832_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[19][5] ),
-    .B(_08048_),
-    .C(_08049_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08675_));
- sky130_fd_sc_hd__and3_1 _13833_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[62][5] ),
-    .B(_08548_),
-    .C(_08087_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08676_));
- sky130_fd_sc_hd__a2111o_1 _13834_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[30][5] ),
-    .A2(_08278_),
-    .B1(_08674_),
-    .C1(_08675_),
-    .D1(_08676_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08677_));
- sky130_fd_sc_hd__and3_1 _13835_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[45][5] ),
-    .B(_08220_),
-    .C(_08194_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08678_));
- sky130_fd_sc_hd__and3_1 _13836_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[44][5] ),
-    .B(_08051_),
-    .C(_08309_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08679_));
- sky130_fd_sc_hd__and3_1 _13837_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[40][5] ),
-    .B(_08066_),
-    .C(_08271_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08680_));
- sky130_fd_sc_hd__a2111o_1 _13838_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[17][5] ),
-    .A2(_08266_),
-    .B1(_08678_),
-    .C1(_08679_),
-    .D1(_08680_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08681_));
- sky130_fd_sc_hd__and3_1 _13839_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[27][5] ),
-    .B(_08037_),
-    .C(_08117_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08682_));
- sky130_fd_sc_hd__and3_1 _13840_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[52][5] ),
-    .B(_07986_),
-    .C(_08033_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08683_));
- sky130_fd_sc_hd__and3_1 _13841_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[6][5] ),
-    .B(_08264_),
-    .C(_08141_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08684_));
- sky130_fd_sc_hd__a2111o_1 _13842_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[61][5] ),
-    .A2(_08063_),
-    .B1(_08682_),
-    .C1(_08683_),
-    .D1(_08684_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08685_));
- sky130_fd_sc_hd__or4_1 _13843_ (.A(_08673_),
-    .B(_08677_),
-    .C(_08681_),
-    .D(_08685_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08686_));
- sky130_fd_sc_hd__and3_1 _13844_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[20][5] ),
-    .B(_08027_),
-    .C(_08434_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08687_));
- sky130_fd_sc_hd__and3_1 _13845_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[2][5] ),
-    .B(_08148_),
-    .C(_08132_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08688_));
- sky130_fd_sc_hd__and3_1 _13846_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[48][5] ),
-    .B(_07980_),
-    .C(_08548_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08689_));
- sky130_fd_sc_hd__a2111o_1 _13847_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[34][5] ),
-    .A2(_08348_),
-    .B1(_08687_),
-    .C1(_08688_),
-    .D1(_08689_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08690_));
- sky130_fd_sc_hd__and3_1 _13848_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[10][5] ),
-    .B(_08445_),
-    .C(_08443_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08691_));
- sky130_fd_sc_hd__and3_1 _13849_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[32][5] ),
-    .B(_08159_),
-    .C(_08090_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08692_));
- sky130_fd_sc_hd__and3_1 _13850_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[36][5] ),
-    .B(_08127_),
-    .C(_08052_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08693_));
- sky130_fd_sc_hd__a2111o_1 _13851_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[1][5] ),
-    .A2(_08183_),
-    .B1(_08691_),
-    .C1(_08692_),
-    .D1(_08693_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08694_));
- sky130_fd_sc_hd__and3_1 _13852_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[21][5] ),
-    .B(_08007_),
-    .C(_08108_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08695_));
- sky130_fd_sc_hd__and3_1 _13853_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[11][5] ),
-    .B(_08326_),
-    .C(_08074_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08696_));
- sky130_fd_sc_hd__and3_1 _13854_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[42][5] ),
-    .B(_08198_),
-    .C(_08070_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08697_));
- sky130_fd_sc_hd__and3_1 _13855_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[63][5] ),
-    .B(_08411_),
-    .C(_08177_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08698_));
- sky130_fd_sc_hd__or4_1 _13856_ (.A(_08695_),
-    .B(_08696_),
-    .C(_08697_),
-    .D(_08698_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08699_));
- sky130_fd_sc_hd__and3_1 _13857_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[41][5] ),
-    .B(_08051_),
-    .C(_08129_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08700_));
- sky130_fd_sc_hd__and3_1 _13858_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[23][5] ),
-    .B(_08150_),
-    .C(_08320_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08701_));
- sky130_fd_sc_hd__and3_1 _13859_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[28][5] ),
-    .B(_08106_),
-    .C(_08320_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08702_));
- sky130_fd_sc_hd__a2111o_1 _13860_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[39][5] ),
-    .A2(_08094_),
-    .B1(_08700_),
-    .C1(_08701_),
-    .D1(_08702_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08703_));
- sky130_fd_sc_hd__or4_1 _13861_ (.A(_08690_),
-    .B(_08694_),
-    .C(_08699_),
-    .D(_08703_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08704_));
- sky130_fd_sc_hd__and3_1 _13862_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[56][5] ),
-    .B(_08116_),
-    .C(_08084_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08705_));
- sky130_fd_sc_hd__a32o_1 _13863_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[55][5] ),
-    .A2(_08116_),
-    .A3(_07993_),
-    .B1(_08121_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[49][5] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08706_));
- sky130_fd_sc_hd__and3_1 _13864_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[24][5] ),
-    .B(_08305_),
-    .C(_08513_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08707_));
- sky130_fd_sc_hd__and3_1 _13865_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[58][5] ),
-    .B(_08411_),
-    .C(_08443_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08708_));
- sky130_fd_sc_hd__and3_1 _13866_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[13][5] ),
-    .B(_08217_),
-    .C(_08307_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08709_));
- sky130_fd_sc_hd__and3_1 _13867_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[22][5] ),
-    .B(_08434_),
-    .C(_08141_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08710_));
- sky130_fd_sc_hd__or4_1 _13868_ (.A(_08707_),
-    .B(_08708_),
-    .C(_08709_),
-    .D(_08710_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08711_));
- sky130_fd_sc_hd__or4_1 _13869_ (.A(_08540_),
-    .B(_08705_),
-    .C(_08706_),
-    .D(_08711_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08712_));
- sky130_fd_sc_hd__and3_1 _13870_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[53][5] ),
-    .B(_08085_),
-    .C(_08045_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08713_));
- sky130_fd_sc_hd__and3_1 _13871_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[47][5] ),
-    .B(_08090_),
-    .C(_08221_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08714_));
- sky130_fd_sc_hd__and3_1 _13872_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[7][5] ),
-    .B(_08190_),
-    .C(_08150_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08715_));
- sky130_fd_sc_hd__and3_1 _13873_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[12][5] ),
-    .B(_08079_),
-    .C(_08106_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08716_));
- sky130_fd_sc_hd__or4_1 _13874_ (.A(_08713_),
-    .B(_08714_),
-    .C(_08715_),
-    .D(_08716_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08717_));
- sky130_fd_sc_hd__a22o_1 _13875_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[38][5] ),
-    .A2(_08493_),
-    .B1(_08634_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[18][5] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08718_));
- sky130_fd_sc_hd__and3_1 _13876_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[59][5] ),
-    .B(_08425_),
-    .C(_08637_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08719_));
- sky130_fd_sc_hd__a31o_1 _13877_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[16][5] ),
-    .A2(_07981_),
-    .A3(_08635_),
-    .B1(_08719_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08720_));
- sky130_fd_sc_hd__or3_1 _13878_ (.A(_08717_),
-    .B(_08718_),
-    .C(_08720_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08721_));
- sky130_fd_sc_hd__or4_2 _13879_ (.A(_08686_),
-    .B(_08704_),
-    .C(_08712_),
-    .D(_08721_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08722_));
- sky130_fd_sc_hd__o22a_4 _13880_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[0][5] ),
-    .A2(_08564_),
-    .B1(_08668_),
-    .B2(_08722_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08723_));
- sky130_fd_sc_hd__a221o_1 _13881_ (.A1(\u_usb_host.u_core.u_sie.token_q[2] ),
-    .A2(_05315_),
-    .B1(_05347_),
-    .B2(\u_usb_host.u_core.u_sie.token_q[10] ),
-    .C1(_05450_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08724_));
- sky130_fd_sc_hd__a21oi_1 _13882_ (.A1(_08232_),
-    .A2(_08723_),
-    .B1(_08724_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_08725_));
- sky130_fd_sc_hd__a311o_1 _13883_ (.A1(_08371_),
-    .A2(_08657_),
-    .A3(_08256_),
-    .B1(_08725_),
-    .C1(_08239_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08726_));
- sky130_fd_sc_hd__o211a_1 _13884_ (.A1(\u_usb_host.u_core.u_sie.crc_out_w[5] ),
-    .A2(_08255_),
-    .B1(_08374_),
-    .C1(_08726_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08727_));
- sky130_fd_sc_hd__a211o_1 _13885_ (.A1(_08656_),
-    .A2(_07960_),
-    .B1(_08243_),
-    .C1(_08727_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08728_));
- sky130_fd_sc_hd__o2bb2a_1 _13886_ (.A1_N(_08655_),
-    .A2_N(_08728_),
-    .B1(_08378_),
-    .B2(\u_usb_host.u_core.u_sie.utmi_data_i[5] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00705_));
- sky130_fd_sc_hd__clkbuf_2 _13887_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[6] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08729_));
- sky130_fd_sc_hd__and3_1 _13888_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[3][6] ),
-    .B(_08264_),
-    .C(_08048_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08730_));
- sky130_fd_sc_hd__and3_1 _13889_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[47][6] ),
-    .B(_08193_),
-    .C(_08101_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08731_));
- sky130_fd_sc_hd__and3_1 _13890_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[14][6] ),
-    .B(_07968_),
+ sky130_fd_sc_hd__and3_1 _13702_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[3][4] ),
+    .B(_08233_),
     .C(_08315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08732_));
- sky130_fd_sc_hd__a2111o_1 _13891_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[35][6] ),
-    .A2(_08165_),
-    .B1(_08730_),
-    .C1(_08731_),
-    .D1(_08732_),
+    .X(_08555_));
+ sky130_fd_sc_hd__and3_1 _13703_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[45][4] ),
+    .B(_08169_),
+    .C(_08149_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08733_));
- sky130_fd_sc_hd__a22o_1 _13892_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[37][6] ),
-    .A2(_08565_),
+    .X(_08556_));
+ sky130_fd_sc_hd__and3_1 _13704_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[40][4] ),
+    .B(_08501_),
+    .C(_08251_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08557_));
+ sky130_fd_sc_hd__a2111o_1 _13705_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[35][4] ),
+    .A2(_08554_),
+    .B1(_08555_),
+    .C1(_08556_),
+    .D1(_08557_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08558_));
+ sky130_fd_sc_hd__or3_1 _13706_ (.A(_08550_),
+    .B(_08553_),
+    .C(_08558_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08559_));
+ sky130_fd_sc_hd__nor4_1 _13707_ (.A(_08522_),
+    .B(_08536_),
+    .C(_08547_),
+    .D(_08559_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_08560_));
+ sky130_fd_sc_hd__buf_2 _13708_ (.A(_08280_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08561_));
+ sky130_fd_sc_hd__a22o_1 _13709_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[25][4] ),
+    .A2(_08365_),
+    .B1(_08561_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[14][4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08562_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13710_ (.A(_08258_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08563_));
+ sky130_fd_sc_hd__buf_2 _13711_ (.A(_08145_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08564_));
+ sky130_fd_sc_hd__a32o_1 _13712_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[11][4] ),
+    .A2(_08563_),
+    .A3(_08530_),
+    .B1(_08564_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[18][4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08565_));
+ sky130_fd_sc_hd__clkbuf_2 _13713_ (.A(_08066_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08566_));
+ sky130_fd_sc_hd__a32o_1 _13714_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[39][4] ),
+    .A2(_08566_),
+    .A3(_08537_),
+    .B1(_08366_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[2][4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08567_));
+ sky130_fd_sc_hd__clkbuf_2 _13715_ (.A(_08151_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08568_));
+ sky130_fd_sc_hd__a32o_1 _13716_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[5][4] ),
+    .A2(_08563_),
+    .A3(_08043_),
+    .B1(_08568_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[26][4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08569_));
+ sky130_fd_sc_hd__or4_1 _13717_ (.A(_08562_),
+    .B(_08565_),
+    .C(_08567_),
+    .D(_08569_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08570_));
+ sky130_fd_sc_hd__a32o_1 _13718_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[10][4] ),
+    .A2(_08563_),
+    .A3(_08320_),
+    .B1(_08437_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[57][4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08571_));
+ sky130_fd_sc_hd__clkbuf_2 _13719_ (.A(_08006_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08572_));
+ sky130_fd_sc_hd__a32o_1 _13720_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[23][4] ),
+    .A2(_08566_),
+    .A3(_08543_),
+    .B1(_08572_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[55][4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08573_));
+ sky130_fd_sc_hd__clkbuf_2 _13721_ (.A(_08051_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08574_));
+ sky130_fd_sc_hd__a32o_1 _13722_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[33][4] ),
+    .A2(_08537_),
+    .A3(_08406_),
+    .B1(_08574_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[9][4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08575_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13723_ (.A(_08319_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08576_));
+ sky130_fd_sc_hd__and3_1 _13724_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[51][4] ),
+    .B(_08268_),
+    .C(_08315_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08577_));
+ sky130_fd_sc_hd__a31o_1 _13725_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[12][4] ),
+    .A2(_08576_),
+    .A3(_08534_),
+    .B1(_08577_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08578_));
+ sky130_fd_sc_hd__or4_1 _13726_ (.A(_08571_),
+    .B(_08573_),
+    .C(_08575_),
+    .D(_08578_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08579_));
+ sky130_fd_sc_hd__clkbuf_2 _13727_ (.A(_08017_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08580_));
+ sky130_fd_sc_hd__and3_1 _13728_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[19][4] ),
+    .B(_08458_),
+    .C(_08580_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08581_));
+ sky130_fd_sc_hd__and3_1 _13729_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[34][4] ),
+    .B(_08351_),
+    .C(_08460_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08582_));
+ sky130_fd_sc_hd__and3_1 _13730_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[58][4] ),
+    .B(_08164_),
+    .C(_08317_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08583_));
+ sky130_fd_sc_hd__a2111o_1 _13731_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[30][4] ),
+    .A2(_08167_),
     .B1(_08581_),
+    .C1(_08582_),
+    .D1(_08583_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08584_));
+ sky130_fd_sc_hd__clkbuf_2 _13732_ (.A(_07986_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08585_));
+ sky130_fd_sc_hd__a22o_1 _13733_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[38][4] ),
+    .A2(_08585_),
+    .B1(_08096_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[17][4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08586_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13734_ (.A(_08043_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08587_));
+ sky130_fd_sc_hd__a32o_1 _13735_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[53][4] ),
+    .A2(_08529_),
+    .A3(_08587_),
+    .B1(_08386_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[31][4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08588_));
+ sky130_fd_sc_hd__or3_1 _13736_ (.A(_08584_),
+    .B(_08586_),
+    .C(_08588_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08589_));
+ sky130_fd_sc_hd__and3_1 _13737_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[63][4] ),
+    .B(_08268_),
+    .C(_08269_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08590_));
+ sky130_fd_sc_hd__and3_1 _13738_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[13][4] ),
+    .B(_08233_),
+    .C(_08149_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08591_));
+ sky130_fd_sc_hd__and3_1 _13739_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[54][4] ),
+    .B(_08235_),
+    .C(_08164_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08592_));
+ sky130_fd_sc_hd__a2111o_1 _13740_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[43][4] ),
+    .A2(_08404_),
+    .B1(_08590_),
+    .C1(_08591_),
+    .D1(_08592_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08593_));
+ sky130_fd_sc_hd__buf_2 _13741_ (.A(_08046_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08594_));
+ sky130_fd_sc_hd__a22o_1 _13742_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[60][4] ),
+    .A2(_08594_),
+    .B1(_08375_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[48][4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08595_));
+ sky130_fd_sc_hd__buf_2 _13743_ (.A(_08348_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08596_));
+ sky130_fd_sc_hd__a32o_1 _13744_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[7][4] ),
+    .A2(_08576_),
+    .A3(_08596_),
+    .B1(_08121_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[1][4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08597_));
+ sky130_fd_sc_hd__or3_1 _13745_ (.A(_08593_),
+    .B(_08595_),
+    .C(_08597_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08598_));
+ sky130_fd_sc_hd__nor4_1 _13746_ (.A(_08570_),
+    .B(_08579_),
+    .C(_08589_),
+    .D(_08598_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_08599_));
+ sky130_fd_sc_hd__buf_2 _13747_ (.A(_07968_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08600_));
+ sky130_fd_sc_hd__o2bb2a_2 _13748_ (.A1_N(_08560_),
+    .A2_N(_08599_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.ram[0][4] ),
+    .B2(_08600_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08601_));
+ sky130_fd_sc_hd__a21o_1 _13749_ (.A1(_08206_),
+    .A2(_08601_),
+    .B1(_08325_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08602_));
+ sky130_fd_sc_hd__o21a_1 _13750_ (.A1(\u_usb_host.u_core.u_sie.token_q[3] ),
+    .A2(_08229_),
+    .B1(_08602_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08603_));
+ sky130_fd_sc_hd__mux2_1 _13751_ (.A0(\u_usb_host.u_core.u_sie.token_q[11] ),
+    .A1(_08603_),
+    .S(_07947_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08604_));
+ sky130_fd_sc_hd__clkbuf_1 _13752_ (.A(_05359_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08605_));
+ sky130_fd_sc_hd__a31o_1 _13753_ (.A1(_08329_),
+    .A2(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[4] ),
+    .A3(_08228_),
+    .B1(_08605_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08606_));
+ sky130_fd_sc_hd__clkbuf_2 _13754_ (.A(_08605_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08607_));
+ sky130_fd_sc_hd__a2bb2o_1 _13755_ (.A1_N(_08604_),
+    .A2_N(_08606_),
+    .B1(\u_usb_host.u_core.u_sie.crc_out_w[4] ),
+    .B2(_08607_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08608_));
+ sky130_fd_sc_hd__o211a_1 _13756_ (.A1(_08512_),
+    .A2(_08337_),
+    .B1(_08222_),
+    .C1(_08608_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08609_));
+ sky130_fd_sc_hd__o21ai_1 _13757_ (.A1(\u_usb_host.u_core.u_sie.utmi_data_i[5] ),
+    .A2(_08338_),
+    .B1(_08220_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_08610_));
+ sky130_fd_sc_hd__a2bb2o_1 _13758_ (.A1_N(_08609_),
+    .A2_N(_08610_),
+    .B1(\u_usb_host.u_core.u_sie.utmi_data_i[4] ),
+    .B2(_08434_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00696_));
+ sky130_fd_sc_hd__a21oi_1 _13759_ (.A1(\u_usb_host.u_core.u_sie.utmi_data_i[6] ),
+    .A2(_08224_),
+    .B1(_08225_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_08611_));
+ sky130_fd_sc_hd__clkbuf_2 _13760_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08612_));
+ sky130_fd_sc_hd__inv_2 _13761_ (.A(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_08613_));
+ sky130_fd_sc_hd__and3_1 _13762_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[46][5] ),
+    .B(_08524_),
+    .C(_08170_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08614_));
+ sky130_fd_sc_hd__a31o_1 _13763_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[51][5] ),
+    .A2(_08388_),
+    .A3(_08119_),
+    .B1(_08614_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08615_));
+ sky130_fd_sc_hd__a221o_1 _13764_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[35][5] ),
+    .A2(_08554_),
+    .B1(_08552_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[37][5] ),
+    .C1(_08615_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08616_));
+ sky130_fd_sc_hd__a22o_1 _13765_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[25][5] ),
+    .A2(_08365_),
+    .B1(_08561_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[14][5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08617_));
+ sky130_fd_sc_hd__a221o_1 _13766_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[60][5] ),
+    .A2(_08594_),
+    .B1(_08551_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[29][5] ),
+    .C1(_08617_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08618_));
+ sky130_fd_sc_hd__a22o_1 _13767_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[50][5] ),
+    .A2(_08516_),
+    .B1(_08194_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[15][5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08619_));
+ sky130_fd_sc_hd__a221o_1 _13768_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[26][5] ),
+    .A2(_08568_),
+    .B1(_08404_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[43][5] ),
+    .C1(_08619_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08620_));
+ sky130_fd_sc_hd__a22o_1 _13769_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[31][5] ),
+    .A2(_08385_),
+    .B1(_08437_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[57][5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08621_));
+ sky130_fd_sc_hd__a221o_1 _13770_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[54][5] ),
+    .A2(_08003_),
+    .B1(_08574_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[9][5] ),
+    .C1(_08621_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08622_));
+ sky130_fd_sc_hd__or4_1 _13771_ (.A(_08616_),
+    .B(_08618_),
+    .C(_08620_),
+    .D(_08622_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08623_));
+ sky130_fd_sc_hd__and3_1 _13772_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[3][5] ),
+    .B(_08015_),
+    .C(_08180_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08624_));
+ sky130_fd_sc_hd__and3_1 _13773_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[30][5] ),
+    .B(_08379_),
+    .C(_08241_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08625_));
+ sky130_fd_sc_hd__and3_1 _13774_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[19][5] ),
+    .B(_08442_),
+    .C(_08180_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08626_));
+ sky130_fd_sc_hd__a2111o_1 _13775_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[62][5] ),
+    .A2(_08134_),
+    .B1(_08624_),
+    .C1(_08625_),
+    .D1(_08626_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08627_));
+ sky130_fd_sc_hd__and3_1 _13776_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[8][5] ),
+    .B(_07952_),
+    .C(_08100_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08628_));
+ sky130_fd_sc_hd__and3_1 _13777_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[4][5] ),
+    .B(_07952_),
+    .C(_08034_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08629_));
+ sky130_fd_sc_hd__and3_1 _13778_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[5][5] ),
+    .B(_08106_),
+    .C(_08041_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08630_));
+ sky130_fd_sc_hd__and3_1 _13779_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[33][5] ),
+    .B(_08240_),
+    .C(_08307_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08631_));
+ sky130_fd_sc_hd__or4_1 _13780_ (.A(_08628_),
+    .B(_08629_),
+    .C(_08630_),
+    .D(_08631_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08632_));
+ sky130_fd_sc_hd__and3_1 _13781_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[45][5] ),
+    .B(_08306_),
+    .C(_08038_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08633_));
+ sky130_fd_sc_hd__and3_1 _13782_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[40][5] ),
+    .B(_08138_),
+    .C(_08420_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08634_));
+ sky130_fd_sc_hd__and3_1 _13783_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[44][5] ),
+    .B(_08271_),
+    .C(_08253_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08635_));
+ sky130_fd_sc_hd__a2111o_1 _13784_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[17][5] ),
+    .A2(_08095_),
+    .B1(_08633_),
+    .C1(_08634_),
+    .D1(_08635_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08636_));
+ sky130_fd_sc_hd__and3_1 _13785_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[27][5] ),
+    .B(_08079_),
+    .C(_08159_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08637_));
+ sky130_fd_sc_hd__and3_1 _13786_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[6][5] ),
+    .B(_07971_),
+    .C(_08177_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08638_));
+ sky130_fd_sc_hd__and3_1 _13787_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[52][5] ),
+    .B(_08199_),
+    .C(_08163_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08639_));
+ sky130_fd_sc_hd__a2111o_1 _13788_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[61][5] ),
+    .A2(_08030_),
+    .B1(_08637_),
+    .C1(_08638_),
+    .D1(_08639_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08640_));
+ sky130_fd_sc_hd__or4_1 _13789_ (.A(_08627_),
+    .B(_08632_),
+    .C(_08636_),
+    .D(_08640_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08641_));
+ sky130_fd_sc_hd__and3_1 _13790_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[34][5] ),
+    .B(_08113_),
+    .C(_08156_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08642_));
+ sky130_fd_sc_hd__and3_1 _13791_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[2][5] ),
+    .B(_08448_),
+    .C(_08248_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08643_));
+ sky130_fd_sc_hd__and3_1 _13792_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[20][5] ),
+    .B(_08442_),
+    .C(_07998_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08644_));
+ sky130_fd_sc_hd__a2111o_1 _13793_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[48][5] ),
+    .A2(_08153_),
+    .B1(_08642_),
+    .C1(_08643_),
+    .D1(_08644_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08645_));
+ sky130_fd_sc_hd__and3_1 _13794_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[10][5] ),
+    .B(_08037_),
+    .C(_08022_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08646_));
+ sky130_fd_sc_hd__and3_1 _13795_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[36][5] ),
+    .B(_08247_),
+    .C(_08127_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08647_));
+ sky130_fd_sc_hd__and3_1 _13796_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[32][5] ),
+    .B(_08309_),
+    .C(_08158_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08648_));
+ sky130_fd_sc_hd__a2111o_1 _13797_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[1][5] ),
+    .A2(_08120_),
+    .B1(_08646_),
+    .C1(_08647_),
+    .D1(_08648_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08649_));
+ sky130_fd_sc_hd__and3_1 _13798_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[21][5] ),
+    .B(_08031_),
+    .C(_08041_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08650_));
+ sky130_fd_sc_hd__and3_1 _13799_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[42][5] ),
+    .B(_08240_),
+    .C(_08278_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08651_));
+ sky130_fd_sc_hd__and3_1 _13800_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[11][5] ),
+    .B(_08358_),
+    .C(_08172_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08652_));
+ sky130_fd_sc_hd__and3_1 _13801_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[63][5] ),
+    .B(_08057_),
+    .C(_08074_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08653_));
+ sky130_fd_sc_hd__or4_1 _13802_ (.A(_08650_),
+    .B(_08651_),
+    .C(_08652_),
+    .D(_08653_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08654_));
+ sky130_fd_sc_hd__and3_1 _13803_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[28][5] ),
+    .B(_08442_),
+    .C(_08125_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08655_));
+ sky130_fd_sc_hd__and3_1 _13804_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[39][5] ),
+    .B(_08347_),
+    .C(_08271_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08656_));
+ sky130_fd_sc_hd__and3_1 _13805_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[23][5] ),
+    .B(_08347_),
+    .C(_08344_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08657_));
+ sky130_fd_sc_hd__a2111o_1 _13806_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[41][5] ),
+    .A2(_08064_),
+    .B1(_08655_),
+    .C1(_08656_),
+    .D1(_08657_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08658_));
+ sky130_fd_sc_hd__or4_1 _13807_ (.A(_08645_),
+    .B(_08649_),
+    .C(_08654_),
+    .D(_08658_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08659_));
+ sky130_fd_sc_hd__and3_1 _13808_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[56][5] ),
+    .B(_08388_),
+    .C(_08102_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08660_));
+ sky130_fd_sc_hd__a22o_1 _13809_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[55][5] ),
+    .A2(_08572_),
+    .B1(_08515_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[49][5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08661_));
+ sky130_fd_sc_hd__and3_1 _13810_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[13][5] ),
+    .B(_08355_),
+    .C(_08259_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08662_));
+ sky130_fd_sc_hd__and3_1 _13811_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[22][5] ),
+    .B(_08107_),
+    .C(_08067_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08663_));
+ sky130_fd_sc_hd__and3_1 _13812_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[58][5] ),
+    .B(_08009_),
+    .C(_08278_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08664_));
+ sky130_fd_sc_hd__and3_1 _13813_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[24][5] ),
+    .B(_08178_),
+    .C(_08264_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08665_));
+ sky130_fd_sc_hd__or4_1 _13814_ (.A(_08662_),
+    .B(_08663_),
+    .C(_08664_),
+    .D(_08665_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08666_));
+ sky130_fd_sc_hd__or4_1 _13815_ (.A(_08541_),
+    .B(_08660_),
+    .C(_08661_),
+    .D(_08666_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08667_));
+ sky130_fd_sc_hd__a22o_1 _13816_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[38][5] ),
+    .A2(_08585_),
+    .B1(_08564_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[18][5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08668_));
+ sky130_fd_sc_hd__and3_1 _13817_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[53][5] ),
+    .B(_08492_),
+    .C(_08042_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08669_));
+ sky130_fd_sc_hd__a31o_1 _13818_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[47][5] ),
+    .A2(_08340_),
+    .A3(_08165_),
+    .B1(_08669_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08670_));
+ sky130_fd_sc_hd__and3_1 _13819_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[12][5] ),
+    .B(_07953_),
+    .C(_08253_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08671_));
+ sky130_fd_sc_hd__a31o_1 _13820_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[7][5] ),
+    .A2(_07954_),
+    .A3(_08348_),
+    .B1(_08671_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08672_));
+ sky130_fd_sc_hd__and3_1 _13821_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[59][5] ),
+    .B(_08492_),
+    .C(_08159_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08673_));
+ sky130_fd_sc_hd__a31o_1 _13822_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[16][5] ),
+    .A2(_07967_),
+    .A3(_08345_),
+    .B1(_08673_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08674_));
+ sky130_fd_sc_hd__or4_1 _13823_ (.A(_08668_),
+    .B(_08670_),
+    .C(_08672_),
+    .D(_08674_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08675_));
+ sky130_fd_sc_hd__or4_1 _13824_ (.A(_08641_),
+    .B(_08659_),
+    .C(_08667_),
+    .D(_08675_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08676_));
+ sky130_fd_sc_hd__o22a_4 _13825_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[0][5] ),
+    .A2(_08600_),
+    .B1(_08623_),
+    .B2(_08676_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08677_));
+ sky130_fd_sc_hd__a221o_1 _13826_ (.A1(\u_usb_host.u_core.u_sie.token_q[2] ),
+    .A2(_05295_),
+    .B1(_05324_),
+    .B2(\u_usb_host.u_core.u_sie.token_q[10] ),
+    .C1(_05431_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08678_));
+ sky130_fd_sc_hd__a21oi_1 _13827_ (.A1(_08207_),
+    .A2(_08677_),
+    .B1(_08678_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_08679_));
+ sky130_fd_sc_hd__a311o_1 _13828_ (.A1(_08329_),
+    .A2(_08613_),
+    .A3(_05432_),
+    .B1(_08679_),
+    .C1(_08605_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08680_));
+ sky130_fd_sc_hd__o211a_1 _13829_ (.A1(\u_usb_host.u_core.u_sie.crc_out_w[5] ),
+    .A2(_08339_),
+    .B1(_08332_),
+    .C1(_08680_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08681_));
+ sky130_fd_sc_hd__a211o_1 _13830_ (.A1(_08612_),
+    .A2(_07945_),
+    .B1(_08216_),
+    .C1(_08681_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08682_));
+ sky130_fd_sc_hd__o2bb2a_1 _13831_ (.A1_N(_08611_),
+    .A2_N(_08682_),
+    .B1(_08335_),
+    .B2(\u_usb_host.u_core.u_sie.utmi_data_i[5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00697_));
+ sky130_fd_sc_hd__clkbuf_2 _13832_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[6] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08683_));
+ sky130_fd_sc_hd__clkbuf_2 _13833_ (.A(_08068_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08684_));
+ sky130_fd_sc_hd__clkbuf_2 _13834_ (.A(_08684_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08685_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13835_ (.A(_08548_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08686_));
+ sky130_fd_sc_hd__a32o_1 _13836_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[24][6] ),
+    .A2(_08685_),
+    .A3(_08686_),
+    .B1(_08387_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[52][6] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08687_));
+ sky130_fd_sc_hd__a32o_1 _13837_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[8][6] ),
+    .A2(_07955_),
+    .A3(_08686_),
+    .B1(_08174_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[27][6] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08688_));
+ sky130_fd_sc_hd__and3_1 _13838_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[45][6] ),
+    .B(_08341_),
+    .C(_08453_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08689_));
+ sky130_fd_sc_hd__a31o_1 _13839_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[11][6] ),
+    .A2(_07955_),
+    .A3(_08530_),
+    .B1(_08689_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08690_));
+ sky130_fd_sc_hd__a22o_1 _13840_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[61][6] ),
+    .A2(_08367_),
+    .B1(_08167_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[30][6] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08691_));
+ sky130_fd_sc_hd__or4_1 _13841_ (.A(_08687_),
+    .B(_08688_),
+    .C(_08690_),
+    .D(_08691_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08692_));
+ sky130_fd_sc_hd__clkbuf_1 _13842_ (.A(_08113_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08693_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13843_ (.A(_08693_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08694_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13844_ (.A(_08694_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08695_));
+ sky130_fd_sc_hd__a32o_1 _13845_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[36][6] ),
+    .A2(_08695_),
+    .A3(_08544_),
+    .B1(_08003_),
     .B2(\u_usb_host.u_core.u_fifo_tx.ram[54][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .X(_08696_));
+ sky130_fd_sc_hd__a32o_1 _13846_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[12][6] ),
+    .A2(_07956_),
+    .A3(_08342_),
+    .B1(_08404_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[43][6] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08697_));
+ sky130_fd_sc_hd__a32o_1 _13847_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[56][6] ),
+    .A2(_08529_),
+    .A3(_08686_),
+    .B1(_08365_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[25][6] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08698_));
+ sky130_fd_sc_hd__clkbuf_2 _13848_ (.A(_08058_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08699_));
+ sky130_fd_sc_hd__clkbuf_2 _13849_ (.A(_08699_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08700_));
+ sky130_fd_sc_hd__and3_1 _13850_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[22][6] ),
+    .B(_08343_),
+    .C(_08684_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08701_));
+ sky130_fd_sc_hd__a31o_1 _13851_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[58][6] ),
+    .A2(_08700_),
+    .A3(_08540_),
+    .B1(_08701_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08702_));
+ sky130_fd_sc_hd__or2_1 _13852_ (.A(_08698_),
+    .B(_08702_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08703_));
+ sky130_fd_sc_hd__or3_1 _13853_ (.A(_08696_),
+    .B(_08697_),
+    .C(_08703_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08704_));
+ sky130_fd_sc_hd__and3_1 _13854_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[19][6] ),
+    .B(_08458_),
+    .C(_08580_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08705_));
+ sky130_fd_sc_hd__a31o_1 _13855_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[39][6] ),
+    .A2(_08566_),
+    .A3(_08513_),
+    .B1(_08705_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08706_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _13856_ (.A(_07972_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08707_));
+ sky130_fd_sc_hd__and3_1 _13857_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[46][6] ),
+    .B(_08351_),
+    .C(_08170_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08708_));
+ sky130_fd_sc_hd__a31o_1 _13858_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[10][6] ),
+    .A2(_08707_),
+    .A3(_08540_),
+    .B1(_08708_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08709_));
+ sky130_fd_sc_hd__a21o_1 _13859_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[26][6] ),
+    .A2(_08568_),
+    .B1(_08541_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08710_));
+ sky130_fd_sc_hd__clkbuf_2 _13860_ (.A(_08580_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08711_));
+ sky130_fd_sc_hd__and3_1 _13861_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[6][6] ),
+    .B(_07972_),
+    .C(_08235_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08712_));
+ sky130_fd_sc_hd__a31o_1 _13862_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[3][6] ),
+    .A2(_08576_),
+    .A3(_08711_),
+    .B1(_08712_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08713_));
+ sky130_fd_sc_hd__or4_1 _13863_ (.A(_08706_),
+    .B(_08709_),
+    .C(_08710_),
+    .D(_08713_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08714_));
+ sky130_fd_sc_hd__a22o_1 _13864_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[41][6] ),
+    .A2(_08486_),
+    .B1(_08375_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[48][6] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08715_));
+ sky130_fd_sc_hd__a32o_1 _13865_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[16][6] ),
+    .A2(_07967_),
+    .A3(_08685_),
+    .B1(_08594_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[60][6] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08716_));
+ sky130_fd_sc_hd__a32o_1 _13866_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[21][6] ),
+    .A2(_08533_),
+    .A3(_08587_),
+    .B1(_08551_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[29][6] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08717_));
+ sky130_fd_sc_hd__and3_1 _13867_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[20][6] ),
+    .B(_08685_),
+    .C(_08544_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08718_));
+ sky130_fd_sc_hd__a311o_1 _13868_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[44][6] ),
+    .A2(_08695_),
+    .A3(_08342_),
+    .B1(_08717_),
+    .C1(_08718_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08719_));
+ sky130_fd_sc_hd__or4_1 _13869_ (.A(_08714_),
+    .B(_08715_),
+    .C(_08716_),
+    .D(_08719_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08720_));
+ sky130_fd_sc_hd__nor3_1 _13870_ (.A(_08692_),
+    .B(_08704_),
+    .C(_08720_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_08721_));
+ sky130_fd_sc_hd__and3_1 _13871_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[5][6] ),
+    .B(_07955_),
+    .C(_08587_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08722_));
+ sky130_fd_sc_hd__a31o_1 _13872_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[4][6] ),
+    .A2(_07956_),
+    .A3(_08544_),
+    .B1(_08722_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08723_));
+ sky130_fd_sc_hd__a32o_1 _13873_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[53][6] ),
+    .A2(_08700_),
+    .A3(_08587_),
+    .B1(_08552_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[37][6] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08724_));
+ sky130_fd_sc_hd__clkbuf_4 _13874_ (.A(_08389_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08725_));
+ sky130_fd_sc_hd__and3_1 _13875_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[7][6] ),
+    .B(_08576_),
+    .C(_08596_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08726_));
+ sky130_fd_sc_hd__and3_1 _13876_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[34][6] ),
+    .B(_08501_),
+    .C(_08460_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08727_));
+ sky130_fd_sc_hd__a31o_1 _13877_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[40][6] ),
+    .A2(_08341_),
+    .A3(_08686_),
+    .B1(_08727_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08728_));
+ sky130_fd_sc_hd__a311o_1 _13878_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[47][6] ),
+    .A2(_08695_),
+    .A3(_08725_),
+    .B1(_08726_),
+    .C1(_08728_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08729_));
+ sky130_fd_sc_hd__or3_1 _13879_ (.A(_08723_),
+    .B(_08724_),
+    .C(_08729_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08730_));
+ sky130_fd_sc_hd__and3_1 _13880_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[59][6] ),
+    .B(_08388_),
+    .C(_08190_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08731_));
+ sky130_fd_sc_hd__a31o_1 _13881_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[32][6] ),
+    .A2(_07967_),
+    .A3(_08341_),
+    .B1(_08731_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08732_));
+ sky130_fd_sc_hd__a32o_1 _13882_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[23][6] ),
+    .A2(_08596_),
+    .A3(_08533_),
+    .B1(_08572_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[55][6] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08733_));
+ sky130_fd_sc_hd__or2_1 _13883_ (.A(_08732_),
+    .B(_08733_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .X(_08734_));
- sky130_fd_sc_hd__and3_1 _13893_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[5][6] ),
-    .B(_08630_),
-    .C(_08280_),
+ sky130_fd_sc_hd__a22o_1 _13884_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[9][6] ),
+    .A2(_08574_),
+    .B1(_08554_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[35][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08735_));
- sky130_fd_sc_hd__a31o_1 _13894_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[55][6] ),
-    .A2(_08627_),
-    .A3(_08614_),
-    .B1(_08735_),
+ sky130_fd_sc_hd__a22o_1 _13885_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[1][6] ),
+    .A2(_08121_),
+    .B1(_08516_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[50][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08736_));
- sky130_fd_sc_hd__or3_1 _13895_ (.A(_08733_),
-    .B(_08734_),
+ sky130_fd_sc_hd__or3_1 _13886_ (.A(_08734_),
+    .B(_08735_),
     .C(_08736_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08737_));
- sky130_fd_sc_hd__a32o_1 _13896_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[46][6] ),
-    .A2(_08200_),
-    .A3(_08203_),
-    .B1(_08408_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[61][6] ),
+ sky130_fd_sc_hd__and3_1 _13887_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[42][6] ),
+    .B(_08694_),
+    .C(_08320_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08738_));
- sky130_fd_sc_hd__a22o_1 _13897_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[49][6] ),
-    .A2(_08122_),
-    .B1(_08168_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[17][6] ),
+ sky130_fd_sc_hd__a31o_1 _13888_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[33][6] ),
+    .A2(_08695_),
+    .A3(_08406_),
+    .B1(_08738_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08739_));
- sky130_fd_sc_hd__and3_1 _13898_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[21][6] ),
-    .B(_08055_),
-    .C(_08058_),
+ sky130_fd_sc_hd__a221o_1 _13889_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[17][6] ),
+    .A2(_08096_),
+    .B1(_08437_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[57][6] ),
+    .C1(_08739_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08740_));
- sky130_fd_sc_hd__and3_1 _13899_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[58][6] ),
-    .B(_08275_),
-    .C(_08071_),
+ sky130_fd_sc_hd__a32o_1 _13890_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[51][6] ),
+    .A2(_08700_),
+    .A3(_08711_),
+    .B1(_08386_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[31][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08741_));
- sky130_fd_sc_hd__and3_1 _13900_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[36][6] ),
-    .B(_08170_),
-    .C(_08205_),
+ sky130_fd_sc_hd__a221o_1 _13891_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[62][6] ),
+    .A2(_08488_),
+    .B1(_08561_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[14][6] ),
+    .C1(_08741_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08742_));
- sky130_fd_sc_hd__a2111o_1 _13901_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[32][6] ),
-    .A2(_08335_),
-    .B1(_08740_),
-    .C1(_08741_),
-    .D1(_08742_),
+ sky130_fd_sc_hd__or2_1 _13892_ (.A(_08740_),
+    .B(_08742_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08743_));
- sky130_fd_sc_hd__or3_1 _13902_ (.A(_08738_),
-    .B(_08739_),
-    .C(_08743_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08744_));
- sky130_fd_sc_hd__a32o_1 _13903_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[45][6] ),
-    .A2(_08588_),
-    .A3(_08210_),
-    .B1(_08112_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[29][6] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08745_));
- sky130_fd_sc_hd__a32o_1 _13904_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[41][6] ),
-    .A2(_08588_),
-    .A3(_08346_),
-    .B1(_08388_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[39][6] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08746_));
- sky130_fd_sc_hd__a21o_1 _13905_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[31][6] ),
-    .A2(_08179_),
-    .B1(_08098_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08747_));
- sky130_fd_sc_hd__a32o_1 _13906_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[20][6] ),
-    .A2(_08483_),
-    .A3(_08484_),
-    .B1(_08580_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[9][6] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08748_));
- sky130_fd_sc_hd__or4_1 _13907_ (.A(_08745_),
-    .B(_08746_),
-    .C(_08747_),
-    .D(_08748_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08749_));
- sky130_fd_sc_hd__a32o_1 _13908_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[59][6] ),
-    .A2(_08426_),
-    .A3(_08481_),
-    .B1(_08574_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[26][6] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08750_));
- sky130_fd_sc_hd__a32o_1 _13909_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[42][6] ),
-    .A2(_08200_),
-    .A3(_08622_),
-    .B1(_08634_),
+ sky130_fd_sc_hd__a32o_1 _13893_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[63][6] ),
+    .A2(_08700_),
+    .A3(_08725_),
+    .B1(_08564_),
     .B2(\u_usb_host.u_core.u_fifo_tx.ram[18][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08751_));
- sky130_fd_sc_hd__and3_1 _13910_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[33][6] ),
-    .B(_08170_),
-    .C(_08171_),
+    .X(_08744_));
+ sky130_fd_sc_hd__a32o_1 _13894_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[28][6] ),
+    .A2(_08685_),
+    .A3(_08342_),
+    .B1(_08585_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[38][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08752_));
- sky130_fd_sc_hd__clkbuf_2 _13911_ (.A(_08394_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08753_));
- sky130_fd_sc_hd__and3_1 _13912_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[40][6] ),
-    .B(_08199_),
-    .C(_08753_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08754_));
- sky130_fd_sc_hd__and3_1 _13913_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[28][6] ),
-    .B(_08383_),
-    .C(_08427_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08755_));
- sky130_fd_sc_hd__a2111o_1 _13914_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[34][6] ),
-    .A2(_08013_),
-    .B1(_08752_),
-    .C1(_08754_),
-    .D1(_08755_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08756_));
- sky130_fd_sc_hd__or3_1 _13915_ (.A(_08750_),
-    .B(_08751_),
-    .C(_08756_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08757_));
- sky130_fd_sc_hd__or4_1 _13916_ (.A(_08737_),
-    .B(_08744_),
-    .C(_08749_),
-    .D(_08757_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08758_));
- sky130_fd_sc_hd__and3_1 _13917_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[52][6] ),
-    .B(_08338_),
-    .C(_08430_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08759_));
- sky130_fd_sc_hd__a31o_1 _13918_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[19][6] ),
-    .A2(_08350_),
-    .A3(_08452_),
-    .B1(_08759_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08760_));
- sky130_fd_sc_hd__a32o_1 _13919_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[6][6] ),
-    .A2(_08406_),
-    .A3(_08386_),
-    .B1(_08567_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[51][6] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08761_));
- sky130_fd_sc_hd__and3_1 _13920_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[23][6] ),
-    .B(_08031_),
-    .C(_08049_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08762_));
- sky130_fd_sc_hd__and3_1 _13921_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[7][6] ),
-    .B(_08148_),
-    .C(_08031_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08763_));
- sky130_fd_sc_hd__and3_1 _13922_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[44][6] ),
-    .B(_08090_),
-    .C(_08309_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08764_));
- sky130_fd_sc_hd__and3_1 _13923_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[8][6] ),
-    .B(_08190_),
-    .C(_08083_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08765_));
- sky130_fd_sc_hd__or4_1 _13924_ (.A(_08762_),
-    .B(_08763_),
-    .C(_08764_),
-    .D(_08765_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08766_));
- sky130_fd_sc_hd__or3_1 _13925_ (.A(_08760_),
-    .B(_08761_),
-    .C(_08766_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08767_));
- sky130_fd_sc_hd__a32o_1 _13926_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[22][6] ),
-    .A2(_08452_),
-    .A3(_08386_),
-    .B1(_08355_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[1][6] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08768_));
- sky130_fd_sc_hd__a32o_1 _13927_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[13][6] ),
-    .A2(_08631_),
-    .A3(_08210_),
-    .B1(_08578_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[57][6] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08769_));
- sky130_fd_sc_hd__and3_1 _13928_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[62][6] ),
-    .B(_08275_),
-    .C(_08315_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08770_));
- sky130_fd_sc_hd__and3_1 _13929_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[27][6] ),
-    .B(_08109_),
-    .C(_08359_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08771_));
- sky130_fd_sc_hd__and3_1 _13930_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[50][6] ),
-    .B(_08115_),
-    .C(_08133_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08772_));
- sky130_fd_sc_hd__a2111o_1 _13931_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[38][6] ),
-    .A2(_08493_),
-    .B1(_08770_),
-    .C1(_08771_),
-    .D1(_08772_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08773_));
- sky130_fd_sc_hd__or3_1 _13932_ (.A(_08768_),
-    .B(_08769_),
-    .C(_08773_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08774_));
- sky130_fd_sc_hd__and3_1 _13933_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[12][6] ),
-    .B(_08630_),
-    .C(_08383_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08775_));
- sky130_fd_sc_hd__a31o_1 _13934_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[16][6] ),
-    .A2(_07981_),
-    .A3(_08452_),
-    .B1(_08775_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08776_));
- sky130_fd_sc_hd__a32o_1 _13935_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[56][6] ),
-    .A2(_08426_),
-    .A3(_08407_),
-    .B1(_08282_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[48][6] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08777_));
- sky130_fd_sc_hd__and3_1 _13936_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[10][6] ),
-    .B(_08030_),
-    .C(_08223_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08778_));
- sky130_fd_sc_hd__and3_1 _13937_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[24][6] ),
-    .B(_08269_),
-    .C(_08215_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08779_));
- sky130_fd_sc_hd__and3_1 _13938_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[63][6] ),
-    .B(_08548_),
-    .C(_08221_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08780_));
- sky130_fd_sc_hd__and3_1 _13939_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[4][6] ),
-    .B(_08079_),
-    .C(_08033_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08781_));
- sky130_fd_sc_hd__or4_1 _13940_ (.A(_08778_),
-    .B(_08779_),
-    .C(_08780_),
-    .D(_08781_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08782_));
- sky130_fd_sc_hd__or3_1 _13941_ (.A(_08776_),
-    .B(_08777_),
-    .C(_08782_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08783_));
- sky130_fd_sc_hd__a32o_1 _13942_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[11][6] ),
-    .A2(_08209_),
-    .A3(_08481_),
-    .B1(_08571_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[25][6] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08784_));
- sky130_fd_sc_hd__a22o_1 _13943_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[60][6] ),
-    .A2(_08570_),
-    .B1(_08145_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[30][6] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08785_));
- sky130_fd_sc_hd__a22o_1 _13944_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[43][6] ),
-    .A2(_08336_),
-    .B1(_08289_),
+    .X(_08745_));
+ sky130_fd_sc_hd__a22o_1 _13895_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[49][6] ),
+    .A2(_08515_),
+    .B1(_08405_),
     .B2(\u_usb_host.u_core.u_fifo_tx.ram[15][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08786_));
- sky130_fd_sc_hd__a32o_1 _13945_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[53][6] ),
-    .A2(_08426_),
-    .A3(_08281_),
-    .B1(_08487_),
+    .X(_08746_));
+ sky130_fd_sc_hd__a32o_1 _13896_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[13][6] ),
+    .A2(_07956_),
+    .A3(_08453_),
+    .B1(_08366_),
     .B2(\u_usb_host.u_core.u_fifo_tx.ram[2][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08787_));
- sky130_fd_sc_hd__or4_1 _13946_ (.A(_08784_),
+    .X(_08747_));
+ sky130_fd_sc_hd__or4_1 _13897_ (.A(_08744_),
+    .B(_08745_),
+    .C(_08746_),
+    .D(_08747_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08748_));
+ sky130_fd_sc_hd__nor4_1 _13898_ (.A(_08730_),
+    .B(_08737_),
+    .C(_08743_),
+    .D(_08748_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_08749_));
+ sky130_fd_sc_hd__a2bb2o_2 _13899_ (.A1_N(\u_usb_host.u_core.u_fifo_tx.ram[0][6] ),
+    .A2_N(_08600_),
+    .B1(_08721_),
+    .B2(_08749_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08750_));
+ sky130_fd_sc_hd__nor2_1 _13900_ (.A(_08205_),
+    .B(_08750_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_08751_));
+ sky130_fd_sc_hd__o32a_1 _13901_ (.A1(_08325_),
+    .A2(_08230_),
+    .A3(_08751_),
+    .B1(_08229_),
+    .B2(\u_usb_host.u_core.u_sie.token_q[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08752_));
+ sky130_fd_sc_hd__mux2_1 _13902_ (.A0(\u_usb_host.u_core.u_sie.token_q[9] ),
+    .A1(_08752_),
+    .S(_07947_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08753_));
+ sky130_fd_sc_hd__a31o_1 _13903_ (.A1(_08329_),
+    .A2(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[6] ),
+    .A3(_08228_),
+    .B1(_08605_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08754_));
+ sky130_fd_sc_hd__a2bb2o_1 _13904_ (.A1_N(_08753_),
+    .A2_N(_08754_),
+    .B1(\u_usb_host.u_core.u_sie.crc_sum_q[14] ),
+    .B2(_08607_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08755_));
+ sky130_fd_sc_hd__o211a_1 _13905_ (.A1(_08683_),
+    .A2(_08337_),
+    .B1(_08222_),
+    .C1(_08755_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08756_));
+ sky130_fd_sc_hd__o21ai_1 _13906_ (.A1(\u_usb_host.u_core.u_sie.utmi_data_i[7] ),
+    .A2(_08338_),
+    .B1(_08220_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_08757_));
+ sky130_fd_sc_hd__a2bb2o_1 _13907_ (.A1_N(_08756_),
+    .A2_N(_08757_),
+    .B1(\u_usb_host.u_core.u_sie.utmi_data_i[6] ),
+    .B2(_08434_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00698_));
+ sky130_fd_sc_hd__inv_2 _13908_ (.A(\u_usb_host.u_core.u_sie.token_q[8] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_08758_));
+ sky130_fd_sc_hd__and3_1 _13909_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[47][7] ),
+    .B(_08524_),
+    .C(_08139_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08759_));
+ sky130_fd_sc_hd__and3_1 _13910_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[20][7] ),
+    .B(_08407_),
+    .C(_08408_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08760_));
+ sky130_fd_sc_hd__clkbuf_1 _13911_ (.A(_08358_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08761_));
+ sky130_fd_sc_hd__and3_1 _13912_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[4][7] ),
+    .B(_08761_),
+    .C(_08408_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08762_));
+ sky130_fd_sc_hd__a2111o_1 _13913_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[48][7] ),
+    .A2(_08375_),
+    .B1(_08759_),
+    .C1(_08760_),
+    .D1(_08762_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08763_));
+ sky130_fd_sc_hd__a32o_1 _13914_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[33][7] ),
+    .A2(_08694_),
+    .A3(_08406_),
+    .B1(_08096_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[17][7] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08764_));
+ sky130_fd_sc_hd__a32o_1 _13915_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[19][7] ),
+    .A2(_08543_),
+    .A3(_08711_),
+    .B1(_08572_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[55][7] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08765_));
+ sky130_fd_sc_hd__or3_1 _13916_ (.A(_08763_),
+    .B(_08764_),
+    .C(_08765_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08766_));
+ sky130_fd_sc_hd__a22o_1 _13917_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[25][7] ),
+    .A2(_08054_),
+    .B1(_08552_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[37][7] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08767_));
+ sky130_fd_sc_hd__and3_1 _13918_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[3][7] ),
+    .B(_08761_),
+    .C(_08580_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08768_));
+ sky130_fd_sc_hd__a31o_1 _13919_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[24][7] ),
+    .A2(_08684_),
+    .A3(_08548_),
+    .B1(_08768_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08769_));
+ sky130_fd_sc_hd__and3_1 _13920_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[56][7] ),
+    .B(_08492_),
+    .C(_08083_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08770_));
+ sky130_fd_sc_hd__a31o_1 _13921_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[8][7] ),
+    .A2(_07954_),
+    .A3(_08548_),
+    .B1(_08770_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08771_));
+ sky130_fd_sc_hd__a22o_1 _13922_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[38][7] ),
+    .A2(_08585_),
+    .B1(_08167_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[30][7] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08772_));
+ sky130_fd_sc_hd__or4_1 _13923_ (.A(_08767_),
+    .B(_08769_),
+    .C(_08771_),
+    .D(_08772_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08773_));
+ sky130_fd_sc_hd__and3_1 _13924_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[22][7] ),
+    .B(_08234_),
+    .C(_08407_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08774_));
+ sky130_fd_sc_hd__a31o_1 _13925_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[39][7] ),
+    .A2(_08348_),
+    .A3(_08694_),
+    .B1(_08774_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08775_));
+ sky130_fd_sc_hd__and3_1 _13926_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[53][7] ),
+    .B(_08058_),
+    .C(_08290_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08776_));
+ sky130_fd_sc_hd__a31o_1 _13927_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[11][7] ),
+    .A2(_07954_),
+    .A3(_08190_),
+    .B1(_08776_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08777_));
+ sky130_fd_sc_hd__a21o_1 _13928_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[31][7] ),
+    .A2(_08385_),
+    .B1(_08541_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08778_));
+ sky130_fd_sc_hd__a22o_1 _13929_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[18][7] ),
+    .A2(_08564_),
+    .B1(_08366_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[2][7] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08779_));
+ sky130_fd_sc_hd__or4_1 _13930_ (.A(_08775_),
+    .B(_08777_),
+    .C(_08778_),
+    .D(_08779_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08780_));
+ sky130_fd_sc_hd__and3_1 _13931_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[44][7] ),
+    .B(_08524_),
+    .C(_08253_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08781_));
+ sky130_fd_sc_hd__and3_1 _13932_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[16][7] ),
+    .B(_08374_),
+    .C(_08068_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08782_));
+ sky130_fd_sc_hd__and3_1 _13933_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[61][7] ),
+    .B(_08277_),
+    .C(_08260_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08783_));
+ sky130_fd_sc_hd__a2111o_1 _13934_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[35][7] ),
+    .A2(_08554_),
+    .B1(_08781_),
+    .C1(_08782_),
+    .D1(_08783_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08784_));
+ sky130_fd_sc_hd__a32o_1 _13935_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[12][7] ),
+    .A2(_08707_),
+    .A3(_08534_),
+    .B1(_08515_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[49][7] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08785_));
+ sky130_fd_sc_hd__a32o_1 _13936_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[36][7] ),
+    .A2(_08513_),
+    .A3(_07999_),
+    .B1(_08594_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[60][7] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08786_));
+ sky130_fd_sc_hd__or3_1 _13937_ (.A(_08784_),
     .B(_08785_),
     .C(_08786_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08787_));
+ sky130_fd_sc_hd__or4_2 _13938_ (.A(_08766_),
+    .B(_08773_),
+    .C(_08780_),
     .D(_08787_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08788_));
- sky130_fd_sc_hd__or4_1 _13947_ (.A(_08767_),
-    .B(_08774_),
-    .C(_08783_),
-    .D(_08788_),
+ sky130_fd_sc_hd__and3_1 _13939_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[13][7] ),
+    .B(_08761_),
+    .C(_08260_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08789_));
- sky130_fd_sc_hd__o22a_4 _13948_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[0][6] ),
-    .A2(_08564_),
-    .B1(_08758_),
-    .B2(_08789_),
+ sky130_fd_sc_hd__and3_1 _13940_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[42][7] ),
+    .B(_08693_),
+    .C(_08279_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08790_));
- sky130_fd_sc_hd__a21o_1 _13949_ (.A1(_08231_),
-    .A2(_08790_),
-    .B1(_08258_),
+ sky130_fd_sc_hd__and3_1 _13941_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[40][7] ),
+    .B(_08693_),
+    .C(_08083_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08791_));
- sky130_fd_sc_hd__o22a_1 _13950_ (.A1(\u_usb_host.u_core.u_sie.token_q[1] ),
-    .A2(_08257_),
-    .B1(_08791_),
-    .B2(_08367_),
+ sky130_fd_sc_hd__a2111o_1 _13942_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[1][7] ),
+    .A2(_08121_),
+    .B1(_08789_),
+    .C1(_08790_),
+    .D1(_08791_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08792_));
- sky130_fd_sc_hd__mux2_1 _13951_ (.A0(_06226_),
-    .A1(_08792_),
-    .S(_07962_),
+ sky130_fd_sc_hd__and3_1 _13943_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[23][7] ),
+    .B(_07978_),
+    .C(_08458_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08793_));
- sky130_fd_sc_hd__a31o_1 _13952_ (.A1(_08371_),
-    .A2(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[6] ),
-    .A3(_08256_),
-    .B1(_05381_),
+ sky130_fd_sc_hd__a31o_1 _13944_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[7][7] ),
+    .A2(_08707_),
+    .A3(_08566_),
+    .B1(_08793_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08794_));
- sky130_fd_sc_hd__a2bb2o_1 _13953_ (.A1_N(_08793_),
-    .A2_N(_08794_),
-    .B1(\u_usb_host.u_core.u_sie.crc_sum_q[14] ),
-    .B2(_07961_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08795_));
- sky130_fd_sc_hd__o211a_1 _13954_ (.A1(_08729_),
-    .A2(_08375_),
-    .B1(_08653_),
-    .C1(_08795_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08796_));
- sky130_fd_sc_hd__o21ai_1 _13955_ (.A1(\u_usb_host.u_core.u_sie.utmi_data_i[7] ),
-    .A2(_08653_),
-    .B1(_08247_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_08797_));
- sky130_fd_sc_hd__a2bb2o_1 _13956_ (.A1_N(_08796_),
-    .A2_N(_08797_),
-    .B1(\u_usb_host.u_core.u_sie.utmi_data_i[6] ),
-    .B2(_08250_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00706_));
- sky130_fd_sc_hd__inv_2 _13957_ (.A(\u_usb_host.u_core.u_sie.token_q[8] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_08798_));
- sky130_fd_sc_hd__and3_1 _13958_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[33][7] ),
-    .B(_08658_),
-    .C(_08453_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08799_));
- sky130_fd_sc_hd__a31o_1 _13959_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[55][7] ),
-    .A2(_08627_),
-    .A3(_08614_),
-    .B1(_08799_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08800_));
- sky130_fd_sc_hd__a32o_1 _13960_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[45][7] ),
-    .A2(_08659_),
-    .A3(_08210_),
-    .B1(_08634_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[18][7] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08801_));
- sky130_fd_sc_hd__clkbuf_2 _13961_ (.A(_08416_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08802_));
- sky130_fd_sc_hd__and3_1 _13962_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[40][7] ),
-    .B(_08802_),
-    .C(_08753_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08803_));
- sky130_fd_sc_hd__and3_1 _13963_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[47][7] ),
-    .B(_08802_),
-    .C(_08101_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08804_));
- sky130_fd_sc_hd__clkbuf_2 _13964_ (.A(_08328_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08805_));
- sky130_fd_sc_hd__and3_1 _13965_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[16][7] ),
-    .B(_07980_),
-    .C(_08805_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08806_));
- sky130_fd_sc_hd__a2111o_1 _13966_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[37][7] ),
-    .A2(_08565_),
-    .B1(_08803_),
-    .C1(_08804_),
-    .D1(_08806_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08807_));
- sky130_fd_sc_hd__or3_1 _13967_ (.A(_08800_),
-    .B(_08801_),
-    .C(_08807_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08808_));
- sky130_fd_sc_hd__and3_1 _13968_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[13][7] ),
-    .B(_08630_),
-    .C(_08361_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08809_));
- sky130_fd_sc_hd__and3_1 _13969_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[27][7] ),
-    .B(_08805_),
-    .C(_08637_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08810_));
- sky130_fd_sc_hd__and3_1 _13970_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[58][7] ),
-    .B(_08636_),
-    .C(_08096_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08811_));
- sky130_fd_sc_hd__a2111o_1 _13971_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[54][7] ),
-    .A2(_08581_),
-    .B1(_08809_),
-    .C1(_08810_),
-    .D1(_08811_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08812_));
- sky130_fd_sc_hd__a32o_1 _13972_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[42][7] ),
-    .A2(_08659_),
-    .A3(_08622_),
-    .B1(_08113_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[29][7] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08813_));
- sky130_fd_sc_hd__a22o_1 _13973_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[60][7] ),
-    .A2(_08570_),
-    .B1(_08571_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[25][7] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08814_));
- sky130_fd_sc_hd__or3_1 _13974_ (.A(_08812_),
-    .B(_08813_),
-    .C(_08814_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08815_));
- sky130_fd_sc_hd__and3_1 _13975_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[44][7] ),
-    .B(_08802_),
-    .C(_08383_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08816_));
- sky130_fd_sc_hd__a31o_1 _13976_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[7][7] ),
-    .A2(_08631_),
-    .A3(_08614_),
-    .B1(_08816_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08817_));
- sky130_fd_sc_hd__and3_1 _13977_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[41][7] ),
-    .B(_08802_),
-    .C(_08346_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08818_));
- sky130_fd_sc_hd__a31o_1 _13978_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[28][7] ),
-    .A2(_08384_),
-    .A3(_08635_),
-    .B1(_08818_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08819_));
- sky130_fd_sc_hd__a21o_1 _13979_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[31][7] ),
-    .A2(_08579_),
-    .B1(_08540_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08820_));
- sky130_fd_sc_hd__a22o_1 _13980_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[32][7] ),
-    .A2(_08335_),
-    .B1(_08574_),
+ sky130_fd_sc_hd__a32o_1 _13945_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[10][7] ),
+    .A2(_08707_),
+    .A3(_08540_),
+    .B1(_08568_),
     .B2(\u_usb_host.u_core.u_fifo_tx.ram[26][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08821_));
- sky130_fd_sc_hd__or4_1 _13981_ (.A(_08817_),
-    .B(_08819_),
-    .C(_08820_),
-    .D(_08821_),
+    .X(_08795_));
+ sky130_fd_sc_hd__or3_1 _13946_ (.A(_08792_),
+    .B(_08794_),
+    .C(_08795_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08822_));
- sky130_fd_sc_hd__a32o_1 _13982_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[6][7] ),
-    .A2(_08585_),
-    .A3(_08386_),
-    .B1(_08013_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[34][7] ),
+    .X(_08796_));
+ sky130_fd_sc_hd__a22o_1 _13947_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[9][7] ),
+    .A2(_08574_),
+    .B1(_08551_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[29][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08823_));
- sky130_fd_sc_hd__a22o_1 _13983_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[30][7] ),
-    .A2(_08145_),
-    .B1(_08168_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[17][7] ),
+    .X(_08797_));
+ sky130_fd_sc_hd__a32o_1 _13948_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[46][7] ),
+    .A2(_08537_),
+    .A3(_08171_),
+    .B1(_08174_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[27][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08824_));
- sky130_fd_sc_hd__and3_1 _13984_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[36][7] ),
-    .B(_08658_),
-    .C(_08277_),
+    .X(_08798_));
+ sky130_fd_sc_hd__and3_1 _13949_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[5][7] ),
+    .B(_08761_),
+    .C(_08290_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08825_));
- sky130_fd_sc_hd__and3_1 _13985_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[21][7] ),
-    .B(_08280_),
-    .C(_08435_),
+    .X(_08799_));
+ sky130_fd_sc_hd__and3_1 _13950_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[57][7] ),
+    .B(_08058_),
+    .C(_08049_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08826_));
- sky130_fd_sc_hd__and3_1 _13986_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[50][7] ),
-    .B(_08636_),
-    .C(_08497_),
+    .X(_08800_));
+ sky130_fd_sc_hd__and3_1 _13951_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[32][7] ),
+    .B(_08374_),
+    .C(_08456_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08827_));
- sky130_fd_sc_hd__a2111o_1 _13987_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[57][7] ),
-    .A2(_08578_),
-    .B1(_08825_),
-    .C1(_08826_),
-    .D1(_08827_),
+    .X(_08801_));
+ sky130_fd_sc_hd__a2111o_1 _13952_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[14][7] ),
+    .A2(_08561_),
+    .B1(_08799_),
+    .C1(_08800_),
+    .D1(_08801_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08828_));
- sky130_fd_sc_hd__or3_1 _13988_ (.A(_08823_),
-    .B(_08824_),
-    .C(_08828_),
+    .X(_08802_));
+ sky130_fd_sc_hd__or3_1 _13953_ (.A(_08797_),
+    .B(_08798_),
+    .C(_08802_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08829_));
- sky130_fd_sc_hd__or4_1 _13989_ (.A(_08808_),
-    .B(_08815_),
-    .C(_08822_),
-    .D(_08829_),
+    .X(_08803_));
+ sky130_fd_sc_hd__and3_1 _13954_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[45][7] ),
+    .B(_08693_),
+    .C(_08260_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08830_));
- sky130_fd_sc_hd__and3_1 _13990_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[5][7] ),
-    .B(_08292_),
-    .C(_08280_),
+    .X(_08804_));
+ sky130_fd_sc_hd__a31o_1 _13955_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[63][7] ),
+    .A2(_08699_),
+    .A3(_08389_),
+    .B1(_08804_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08831_));
- sky130_fd_sc_hd__and3_1 _13991_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[19][7] ),
-    .B(_08462_),
-    .C(_08805_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08832_));
- sky130_fd_sc_hd__and3_1 _13992_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[49][7] ),
-    .B(_08636_),
-    .C(_08453_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08833_));
- sky130_fd_sc_hd__a2111o_1 _13993_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[43][7] ),
-    .A2(_08336_),
-    .B1(_08831_),
-    .C1(_08832_),
-    .D1(_08833_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08834_));
- sky130_fd_sc_hd__a22o_1 _13994_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[39][7] ),
-    .A2(_08388_),
-    .B1(_08451_),
+    .X(_08805_));
+ sky130_fd_sc_hd__a32o_1 _13956_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[21][7] ),
+    .A2(_08684_),
+    .A3(_08043_),
+    .B1(_08405_),
     .B2(\u_usb_host.u_core.u_fifo_tx.ram[15][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08835_));
- sky130_fd_sc_hd__a32o_1 _13995_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[8][7] ),
-    .A2(_08585_),
-    .A3(_08407_),
-    .B1(_08567_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[51][7] ),
+    .X(_08806_));
+ sky130_fd_sc_hd__a32o_1 _13957_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[51][7] ),
+    .A2(_08699_),
+    .A3(_08711_),
+    .B1(_08488_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[62][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08836_));
- sky130_fd_sc_hd__or3_1 _13996_ (.A(_08834_),
-    .B(_08835_),
-    .C(_08836_),
+    .X(_08807_));
+ sky130_fd_sc_hd__a32o_1 _13958_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[28][7] ),
+    .A2(_08543_),
+    .A3(_08534_),
+    .B1(_08305_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[43][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08837_));
- sky130_fd_sc_hd__and3_1 _13997_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[12][7] ),
-    .B(_08292_),
-    .C(_08293_),
+    .X(_08808_));
+ sky130_fd_sc_hd__or4_1 _13959_ (.A(_08805_),
+    .B(_08806_),
+    .C(_08807_),
+    .D(_08808_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08838_));
- sky130_fd_sc_hd__and3_1 _13998_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[24][7] ),
-    .B(_08805_),
-    .C(_08753_),
+    .X(_08809_));
+ sky130_fd_sc_hd__a22o_1 _13960_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[54][7] ),
+    .A2(_08003_),
+    .B1(_08516_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[50][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08839_));
- sky130_fd_sc_hd__and3_1 _13999_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[38][7] ),
-    .B(_08658_),
-    .C(_08385_),
+    .X(_08810_));
+ sky130_fd_sc_hd__a32o_1 _13961_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[6][7] ),
+    .A2(_08563_),
+    .A3(_08343_),
+    .B1(_08387_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[52][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08840_));
- sky130_fd_sc_hd__a2111o_1 _14000_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[2][7] ),
-    .A2(_08487_),
-    .B1(_08838_),
-    .C1(_08839_),
-    .D1(_08840_),
+    .X(_08811_));
+ sky130_fd_sc_hd__and3_1 _13962_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[34][7] ),
+    .B(_08456_),
+    .C(_08460_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08841_));
- sky130_fd_sc_hd__and3_1 _14001_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[22][7] ),
-    .B(_08435_),
-    .C(_08385_),
+    .X(_08812_));
+ sky130_fd_sc_hd__a31o_1 _13963_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[59][7] ),
+    .A2(_08699_),
+    .A3(_08530_),
+    .B1(_08812_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08842_));
- sky130_fd_sc_hd__a31o_1 _14002_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[20][7] ),
-    .A2(_08431_),
-    .A3(_08635_),
-    .B1(_08842_),
+    .X(_08813_));
+ sky130_fd_sc_hd__a32o_1 _13964_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[58][7] ),
+    .A2(_08529_),
+    .A3(_08320_),
+    .B1(_08486_),
+    .B2(\u_usb_host.u_core.u_fifo_tx.ram[41][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08843_));
- sky130_fd_sc_hd__a22o_1 _14003_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[61][7] ),
-    .A2(_08408_),
-    .B1(_08355_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[1][7] ),
+    .X(_08814_));
+ sky130_fd_sc_hd__or4_1 _13965_ (.A(_08810_),
+    .B(_08811_),
+    .C(_08813_),
+    .D(_08814_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08844_));
- sky130_fd_sc_hd__or3_1 _14004_ (.A(_08841_),
-    .B(_08843_),
-    .C(_08844_),
+    .X(_08815_));
+ sky130_fd_sc_hd__or4_2 _13966_ (.A(_08796_),
+    .B(_08803_),
+    .C(_08809_),
+    .D(_08815_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08845_));
- sky130_fd_sc_hd__and3_1 _14005_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[3][7] ),
-    .B(_07968_),
-    .C(_08261_),
+    .X(_08816_));
+ sky130_fd_sc_hd__o22ai_4 _13967_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[0][7] ),
+    .A2(_08600_),
+    .B1(_08788_),
+    .B2(_08816_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08846_));
- sky130_fd_sc_hd__and3_1 _14006_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[63][7] ),
-    .B(_08115_),
-    .C(_08101_),
+    .Y(_08817_));
+ sky130_fd_sc_hd__o21ba_1 _13968_ (.A1(_08205_),
+    .A2(_08817_),
+    .B1_N(_08208_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08847_));
- sky130_fd_sc_hd__and3_1 _14007_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[14][7] ),
-    .B(_08204_),
-    .C(_08202_),
+    .X(_08818_));
+ sky130_fd_sc_hd__or2_1 _13969_ (.A(_05313_),
+    .B(_05331_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08848_));
- sky130_fd_sc_hd__and3_1 _14008_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[59][7] ),
-    .B(_08338_),
-    .C(_08637_),
+    .X(_08819_));
+ sky130_fd_sc_hd__a221o_1 _13970_ (.A1(\u_usb_host.u_core.u_sie.send_data1_q ),
+    .A2(_08230_),
+    .B1(_08818_),
+    .B2(_08819_),
+    .C1(_05295_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08849_));
- sky130_fd_sc_hd__or4_1 _14009_ (.A(_08846_),
-    .B(_08847_),
-    .C(_08848_),
-    .D(_08849_),
+    .X(_08820_));
+ sky130_fd_sc_hd__a21oi_1 _13971_ (.A1(\u_usb_host.u_core.u_sie.token_q[0] ),
+    .A2(_05296_),
+    .B1(_05325_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08850_));
- sky130_fd_sc_hd__and3_1 _14010_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[62][7] ),
-    .B(_07987_),
-    .C(_08316_),
+    .Y(_08821_));
+ sky130_fd_sc_hd__a221o_1 _13972_ (.A1(_08758_),
+    .A2(_05325_),
+    .B1(_08820_),
+    .B2(_08821_),
+    .C1(_08228_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08851_));
- sky130_fd_sc_hd__a31o_1 _14011_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[10][7] ),
-    .A2(_08585_),
-    .A3(_08622_),
-    .B1(_08851_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08852_));
- sky130_fd_sc_hd__a32o_1 _14012_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[46][7] ),
-    .A2(_08659_),
-    .A3(_08566_),
-    .B1(_08166_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[35][7] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08853_));
- sky130_fd_sc_hd__or3_1 _14013_ (.A(_08850_),
-    .B(_08852_),
-    .C(_08853_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08854_));
- sky130_fd_sc_hd__and3_1 _14014_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[11][7] ),
-    .B(_08208_),
-    .C(_08359_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08855_));
- sky130_fd_sc_hd__and3_1 _14015_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[4][7] ),
-    .B(_08208_),
-    .C(_08430_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08856_));
- sky130_fd_sc_hd__and3_1 _14016_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[56][7] ),
-    .B(_08338_),
-    .C(_08753_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08857_));
- sky130_fd_sc_hd__and3_1 _14017_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[52][7] ),
-    .B(_08425_),
-    .C(_08430_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08858_));
- sky130_fd_sc_hd__or4_1 _14018_ (.A(_08855_),
-    .B(_08856_),
-    .C(_08857_),
-    .D(_08858_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08859_));
- sky130_fd_sc_hd__a22o_1 _14019_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[48][7] ),
-    .A2(_08282_),
-    .B1(_08580_),
-    .B2(\u_usb_host.u_core.u_fifo_tx.ram[9][7] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08860_));
- sky130_fd_sc_hd__and3_1 _14020_ (.A(\u_usb_host.u_core.u_fifo_tx.ram[53][7] ),
-    .B(_08276_),
-    .C(_08056_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08861_));
- sky130_fd_sc_hd__a31o_1 _14021_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[23][7] ),
-    .A2(_08619_),
-    .A3(_08496_),
-    .B1(_08861_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08862_));
- sky130_fd_sc_hd__or3_1 _14022_ (.A(_08859_),
-    .B(_08860_),
-    .C(_08862_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08863_));
- sky130_fd_sc_hd__or4_2 _14023_ (.A(_08837_),
-    .B(_08845_),
-    .C(_08854_),
-    .D(_08863_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08864_));
- sky130_fd_sc_hd__o22ai_4 _14024_ (.A1(\u_usb_host.u_core.u_fifo_tx.ram[0][7] ),
-    .A2(_08564_),
-    .B1(_08830_),
-    .B2(_08864_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_08865_));
- sky130_fd_sc_hd__or2_1 _14025_ (.A(_08230_),
-    .B(_08865_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08866_));
- sky130_fd_sc_hd__o22a_1 _14026_ (.A1(_05298_),
-    .A2(_05275_),
-    .B1(_05353_),
-    .B2(_05327_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08867_));
- sky130_fd_sc_hd__a221o_1 _14027_ (.A1(\u_usb_host.u_core.u_sie.send_data1_q ),
-    .A2(_08258_),
-    .B1(_08866_),
-    .B2(_08867_),
-    .C1(_05316_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08868_));
- sky130_fd_sc_hd__a21oi_1 _14028_ (.A1(\u_usb_host.u_core.u_sie.token_q[0] ),
-    .A2(_05316_),
-    .B1(_05348_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_08869_));
- sky130_fd_sc_hd__a221o_1 _14029_ (.A1(_08798_),
-    .A2(_05362_),
-    .B1(_08868_),
-    .B2(_08869_),
-    .C1(_08256_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08870_));
- sky130_fd_sc_hd__o21ai_1 _14030_ (.A1(_07908_),
+    .X(_08822_));
+ sky130_fd_sc_hd__o21ai_2 _13973_ (.A1(_07902_),
     .A2(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[7] ),
-    .B1(_05452_),
+    .B1(_05433_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_08871_));
- sky130_fd_sc_hd__a21o_1 _14031_ (.A1(\u_usb_host.u_core.u_sie.crc_sum_q[15] ),
-    .A2(_08239_),
-    .B1(_07958_),
+    .Y(_08823_));
+ sky130_fd_sc_hd__a21o_1 _13974_ (.A1(\u_usb_host.u_core.u_sie.crc_sum_q[15] ),
+    .A2(_07946_),
+    .B1(_07944_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08872_));
- sky130_fd_sc_hd__a31o_1 _14032_ (.A1(_08255_),
-    .A2(_08870_),
-    .A3(_08871_),
-    .B1(_08872_),
+    .X(_08824_));
+ sky130_fd_sc_hd__a31o_1 _13975_ (.A1(_08339_),
+    .A2(_08822_),
+    .A3(_08823_),
+    .B1(_08824_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08873_));
- sky130_fd_sc_hd__o211a_1 _14033_ (.A1(\u_usb_host.u_core.u_sie.crc_sum_q[7] ),
-    .A2(_08375_),
-    .B1(_08653_),
-    .C1(_08873_),
+    .X(_08825_));
+ sky130_fd_sc_hd__o211a_1 _13976_ (.A1(\u_usb_host.u_core.u_sie.crc_sum_q[7] ),
+    .A2(_08337_),
+    .B1(_08222_),
+    .C1(_08825_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08874_));
- sky130_fd_sc_hd__a21o_1 _14034_ (.A1(_05589_),
-    .A2(_08251_),
-    .B1(_08252_),
+    .X(_08826_));
+ sky130_fd_sc_hd__a21o_1 _13977_ (.A1(_05568_),
+    .A2(_08224_),
+    .B1(_08225_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08875_));
- sky130_fd_sc_hd__a2bb2o_1 _14035_ (.A1_N(_08874_),
-    .A2_N(_08875_),
+    .X(_08827_));
+ sky130_fd_sc_hd__a2bb2o_1 _13978_ (.A1_N(_08826_),
+    .A2_N(_08827_),
     .B1(\u_usb_host.u_core.u_sie.utmi_data_i[7] ),
-    .B2(_08250_),
+    .B2(_08434_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00707_));
- sky130_fd_sc_hd__o31a_1 _14036_ (.A1(\u_usb_host.u_phy.state_q[13] ),
+    .X(_00699_));
+ sky130_fd_sc_hd__o31a_1 _13979_ (.A1(\u_usb_host.u_phy.state_q[13] ),
     .A2(\u_usb_host.u_phy.state_q[4] ),
-    .A3(_08245_),
-    .B1(_05540_),
+    .A3(_08218_),
+    .B1(_05519_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08876_));
- sky130_fd_sc_hd__and2_1 _14037_ (.A(_05564_),
-    .B(_08876_),
+    .X(_08828_));
+ sky130_fd_sc_hd__and2_1 _13980_ (.A(_05543_),
+    .B(_08828_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08877_));
- sky130_fd_sc_hd__nor2_1 _14038_ (.A(_07955_),
-    .B(_05581_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_08878_));
- sky130_fd_sc_hd__o21ai_1 _14039_ (.A1(_05564_),
-    .A2(_08876_),
-    .B1(_08878_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_08879_));
- sky130_fd_sc_hd__nor2_1 _14040_ (.A(_08877_),
-    .B(_08879_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_00708_));
- sky130_fd_sc_hd__and3_1 _14041_ (.A(\u_usb_host.u_phy.bit_count_q[1] ),
-    .B(_05564_),
-    .C(_08876_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08880_));
- sky130_fd_sc_hd__or2_1 _14042_ (.A(\u_usb_host.u_phy.bit_count_q[1] ),
-    .B(_08877_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08881_));
- sky130_fd_sc_hd__and3b_1 _14043_ (.A_N(_08880_),
-    .B(_08878_),
-    .C(_08881_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08882_));
- sky130_fd_sc_hd__clkbuf_1 _14044_ (.A(_08882_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00709_));
- sky130_fd_sc_hd__o21ai_1 _14045_ (.A1(\u_usb_host.u_phy.bit_count_q[2] ),
-    .A2(_08880_),
-    .B1(_08878_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_08883_));
- sky130_fd_sc_hd__a21oi_1 _14046_ (.A1(\u_usb_host.u_phy.bit_count_q[2] ),
-    .A2(_08880_),
-    .B1(_08883_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_00710_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14047_ (.A(_05569_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08884_));
- sky130_fd_sc_hd__o21ai_2 _14048_ (.A1(_05277_),
-    .A2(_05546_),
-    .B1(_05560_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_08885_));
- sky130_fd_sc_hd__a22oi_2 _14049_ (.A1(_05584_),
-    .A2(_05589_),
-    .B1(_05601_),
-    .B2(_07950_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_08886_));
- sky130_fd_sc_hd__nor3b_1 _14050_ (.A(_08885_),
-    .B(_08884_),
-    .C_N(_08886_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_08887_));
- sky130_fd_sc_hd__a211o_1 _14051_ (.A1(_08884_),
-    .A2(_08885_),
-    .B1(_08887_),
-    .C1(_05599_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00711_));
- sky130_fd_sc_hd__nor2_1 _14052_ (.A(_05598_),
-    .B(_08885_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_08888_));
- sky130_fd_sc_hd__xor2_1 _14053_ (.A(_08884_),
-    .B(_05587_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08889_));
- sky130_fd_sc_hd__and2b_1 _14054_ (.A_N(_07955_),
-    .B(_08885_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08890_));
- sky130_fd_sc_hd__a32o_1 _14055_ (.A1(_08886_),
-    .A2(_08888_),
-    .A3(_08889_),
-    .B1(_08890_),
-    .B2(_05587_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00712_));
- sky130_fd_sc_hd__a21oi_1 _14056_ (.A1(_08884_),
-    .A2(_05587_),
-    .B1(_05590_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_08891_));
- sky130_fd_sc_hd__nor2_1 _14057_ (.A(_06046_),
-    .B(_08891_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_08892_));
- sky130_fd_sc_hd__a32o_1 _14058_ (.A1(_08886_),
-    .A2(_08888_),
-    .A3(_08892_),
-    .B1(_08890_),
-    .B2(_05590_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00713_));
- sky130_fd_sc_hd__or2_1 _14059_ (.A(_05597_),
+    .X(_08829_));
+ sky130_fd_sc_hd__nor2_1 _13981_ (.A(_07941_),
     .B(_05560_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08893_));
- sky130_fd_sc_hd__mux2_1 _14060_ (.A0(\u_usb_host.u_phy.rxd_last_j_q ),
-    .A1(\u_usb_host.u_phy.in_j_w ),
-    .S(_08893_),
+    .Y(_08830_));
+ sky130_fd_sc_hd__o21ai_1 _13982_ (.A1(_05543_),
+    .A2(_08828_),
+    .B1(_08830_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08894_));
- sky130_fd_sc_hd__clkbuf_1 _14061_ (.A(_08894_),
+    .Y(_08831_));
+ sky130_fd_sc_hd__nor2_1 _13983_ (.A(_08829_),
+    .B(net350),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00714_));
- sky130_fd_sc_hd__mux2_1 _14062_ (.A0(_05886_),
-    .A1(\u_usb_host.u_phy.adjust_delayed_q ),
-    .S(_05539_),
+    .Y(_00700_));
+ sky130_fd_sc_hd__and3_1 _13984_ (.A(net334),
+    .B(_05543_),
+    .C(_08828_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08895_));
- sky130_fd_sc_hd__clkbuf_1 _14063_ (.A(_08895_),
+    .X(_08832_));
+ sky130_fd_sc_hd__or2_1 _13985_ (.A(\u_usb_host.u_phy.bit_count_q[1] ),
+    .B(_08829_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00715_));
- sky130_fd_sc_hd__nand2_1 _14064_ (.A(_05538_),
+    .X(_08833_));
+ sky130_fd_sc_hd__and3b_1 _13986_ (.A_N(_08832_),
+    .B(_08830_),
+    .C(_08833_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08834_));
+ sky130_fd_sc_hd__clkbuf_1 _13987_ (.A(_08834_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00701_));
+ sky130_fd_sc_hd__o21ai_1 _13988_ (.A1(\u_usb_host.u_phy.bit_count_q[2] ),
+    .A2(_08832_),
+    .B1(_08830_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_08835_));
+ sky130_fd_sc_hd__a21oi_1 _13989_ (.A1(\u_usb_host.u_phy.bit_count_q[2] ),
+    .A2(_08832_),
+    .B1(_08835_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_00702_));
+ sky130_fd_sc_hd__o21ai_2 _13990_ (.A1(_05257_),
+    .A2(_05525_),
+    .B1(_05539_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_08836_));
+ sky130_fd_sc_hd__a22oi_2 _13991_ (.A1(_05563_),
+    .A2(_05568_),
+    .B1(_05581_),
+    .B2(_07933_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_08837_));
+ sky130_fd_sc_hd__nor3b_1 _13992_ (.A(_08836_),
+    .B(_05570_),
+    .C_N(_08837_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_08838_));
+ sky130_fd_sc_hd__a211o_1 _13993_ (.A1(_05570_),
+    .A2(_08836_),
+    .B1(_08838_),
+    .C1(_05579_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00703_));
+ sky130_fd_sc_hd__nor2_1 _13994_ (.A(_07941_),
+    .B(_08836_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_08839_));
+ sky130_fd_sc_hd__xor2_1 _13995_ (.A(_05570_),
+    .B(_05566_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08840_));
+ sky130_fd_sc_hd__and2b_1 _13996_ (.A_N(_05578_),
+    .B(_08836_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08841_));
+ sky130_fd_sc_hd__a32o_1 _13997_ (.A1(_08837_),
+    .A2(_08839_),
+    .A3(_08840_),
+    .B1(_08841_),
+    .B2(_05566_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00704_));
+ sky130_fd_sc_hd__a21o_1 _13998_ (.A1(_05548_),
+    .A2(_05566_),
+    .B1(_05569_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08842_));
+ sky130_fd_sc_hd__and3b_1 _13999_ (.A_N(_06081_),
+    .B(_08839_),
+    .C(_08842_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08843_));
+ sky130_fd_sc_hd__a22o_1 _14000_ (.A1(_05569_),
+    .A2(_08841_),
+    .B1(_08843_),
+    .B2(_08837_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00705_));
+ sky130_fd_sc_hd__or2_1 _14001_ (.A(_05577_),
     .B(_05539_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_08896_));
- sky130_fd_sc_hd__a32o_1 _14065_ (.A1(_05593_),
-    .A2(_05886_),
-    .A3(_08896_),
+    .X(_08844_));
+ sky130_fd_sc_hd__mux2_1 _14002_ (.A0(\u_usb_host.u_phy.rxd_last_j_q ),
+    .A1(\u_usb_host.u_phy.in_j_w ),
+    .S(_08844_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08845_));
+ sky130_fd_sc_hd__clkbuf_1 _14003_ (.A(_08845_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00706_));
+ sky130_fd_sc_hd__mux2_1 _14004_ (.A0(_05926_),
+    .A1(\u_usb_host.u_phy.adjust_delayed_q ),
+    .S(\u_usb_host.u_phy.sample_cnt_q[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08846_));
+ sky130_fd_sc_hd__clkbuf_1 _14005_ (.A(_08846_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00707_));
+ sky130_fd_sc_hd__nand2_1 _14006_ (.A(\u_usb_host.u_phy.sample_cnt_q[1] ),
+    .B(\u_usb_host.u_phy.sample_cnt_q[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_08847_));
+ sky130_fd_sc_hd__a32o_1 _14007_ (.A1(_05573_),
+    .A2(_05926_),
+    .A3(_08847_),
     .B1(\u_usb_host.u_phy.adjust_delayed_q ),
-    .B2(_05538_),
+    .B2(\u_usb_host.u_phy.sample_cnt_q[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00716_));
- sky130_fd_sc_hd__o21ba_1 _14066_ (.A1(\u_usb_host.u_phy.state_q[4] ),
+    .X(_00708_));
+ sky130_fd_sc_hd__o21ba_1 _14008_ (.A1(\u_usb_host.u_phy.state_q[4] ),
     .A2(\u_usb_host.u_phy.sync_j_detected_q ),
-    .B1_N(_05599_),
+    .B1_N(_05579_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00717_));
- sky130_fd_sc_hd__a21o_1 _14067_ (.A1(\u_usb_host.u_phy.rx_dp1_q ),
-    .A2(net302),
-    .B1(_05457_),
+    .X(_00709_));
+ sky130_fd_sc_hd__a21o_1 _14009_ (.A1(\u_usb_host.u_phy.rx_dp1_q ),
+    .A2(\u_usb_host.u_phy.rx_dp0_q ),
+    .B1(_05438_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08897_));
- sky130_fd_sc_hd__o21a_1 _14068_ (.A1(\u_usb_host.u_phy.rx_dp1_q ),
-    .A2(net302),
-    .B1(_08897_),
+    .X(_08848_));
+ sky130_fd_sc_hd__o21a_1 _14010_ (.A1(\u_usb_host.u_phy.rx_dp1_q ),
+    .A2(\u_usb_host.u_phy.rx_dp0_q ),
+    .B1(_08848_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00718_));
- sky130_fd_sc_hd__a21o_1 _14069_ (.A1(\u_usb_host.u_phy.rx_dn1_q ),
+    .X(_00710_));
+ sky130_fd_sc_hd__a21o_1 _14011_ (.A1(\u_usb_host.u_phy.rx_dn1_q ),
     .A2(\u_usb_host.u_phy.rx_dn0_q ),
-    .B1(_05459_),
+    .B1(_05440_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08898_));
- sky130_fd_sc_hd__o21a_1 _14070_ (.A1(net328),
+    .X(_08849_));
+ sky130_fd_sc_hd__o21a_1 _14012_ (.A1(\u_usb_host.u_phy.rx_dn1_q ),
     .A2(\u_usb_host.u_phy.rx_dn0_q ),
-    .B1(_08898_),
+    .B1(_08849_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00719_));
- sky130_fd_sc_hd__or2_1 _14071_ (.A(_06081_),
-    .B(_06834_),
+    .X(_00711_));
+ sky130_fd_sc_hd__or2_1 _14013_ (.A(_06116_),
+    .B(_06845_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08899_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14072_ (.A(_08899_),
+    .X(_08850_));
+ sky130_fd_sc_hd__clkbuf_2 _14014_ (.A(_08850_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08900_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14073_ (.A(_08900_),
+    .X(_08851_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14015_ (.A(_08851_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08901_));
- sky130_fd_sc_hd__clkbuf_2 _14074_ (.A(_08901_),
+    .X(_08852_));
+ sky130_fd_sc_hd__clkbuf_2 _14016_ (.A(_08852_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08902_));
- sky130_fd_sc_hd__clkbuf_2 _14075_ (.A(_08901_),
+    .X(_08853_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14017_ (.A(_08852_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08903_));
- sky130_fd_sc_hd__inv_2 _14076_ (.A(_05649_),
+    .X(_08854_));
+ sky130_fd_sc_hd__or2b_1 _14018_ (.A(_05632_),
+    .B_N(_05634_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_08904_));
- sky130_fd_sc_hd__a311o_2 _14077_ (.A1(_05646_),
-    .A2(_05647_),
-    .A3(_05648_),
-    .B1(_08904_),
-    .C1(_05651_),
+    .X(_08855_));
+ sky130_fd_sc_hd__a22o_2 _14019_ (.A1(_05647_),
+    .A2(_05658_),
+    .B1(_08855_),
+    .B2(_05644_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08905_));
- sky130_fd_sc_hd__a22o_2 _14078_ (.A1(_05665_),
-    .A2(_05676_),
-    .B1(_08905_),
-    .B2(_05662_),
+    .X(_08856_));
+ sky130_fd_sc_hd__nor2_1 _14020_ (.A(_08854_),
+    .B(_08856_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08906_));
- sky130_fd_sc_hd__nor2_1 _14079_ (.A(_08903_),
-    .B(_08906_),
+    .Y(_08857_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14021_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_08907_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14080_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[4] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08908_));
- sky130_fd_sc_hd__or2_1 _14081_ (.A(_08908_),
+    .X(_08858_));
+ sky130_fd_sc_hd__or2_1 _14022_ (.A(_08858_),
     .B(\u_usb_host.u_core.u_fifo_rx.rd_ptr[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08909_));
- sky130_fd_sc_hd__clkbuf_2 _14082_ (.A(_08909_),
+    .X(_08859_));
+ sky130_fd_sc_hd__clkbuf_2 _14023_ (.A(_08859_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08910_));
- sky130_fd_sc_hd__clkbuf_2 _14083_ (.A(_08910_),
+    .X(_08860_));
+ sky130_fd_sc_hd__buf_2 _14024_ (.A(_08860_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08911_));
- sky130_fd_sc_hd__clkbuf_2 _14084_ (.A(_08911_),
+    .X(_08861_));
+ sky130_fd_sc_hd__clkbuf_2 _14025_ (.A(_08861_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08912_));
- sky130_fd_sc_hd__clkbuf_1 _14085_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[2] ),
+    .X(_08862_));
+ sky130_fd_sc_hd__clkbuf_1 _14026_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08913_));
- sky130_fd_sc_hd__clkbuf_1 _14086_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[3] ),
+    .X(_08863_));
+ sky130_fd_sc_hd__clkbuf_1 _14027_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08914_));
- sky130_fd_sc_hd__or2_1 _14087_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[0] ),
+    .X(_08864_));
+ sky130_fd_sc_hd__or2_1 _14028_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[0] ),
     .B(\u_usb_host.u_core.u_fifo_rx.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08915_));
- sky130_fd_sc_hd__or3_2 _14088_ (.A(_08913_),
-    .B(_08914_),
-    .C(_08915_),
+    .X(_08865_));
+ sky130_fd_sc_hd__or3_1 _14029_ (.A(_08863_),
+    .B(_08864_),
+    .C(_08865_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08916_));
- sky130_fd_sc_hd__clkbuf_2 _14089_ (.A(_08916_),
+    .X(_08866_));
+ sky130_fd_sc_hd__buf_2 _14030_ (.A(_08866_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08917_));
- sky130_fd_sc_hd__or2_1 _14090_ (.A(_08912_),
-    .B(_08917_),
+    .X(_08867_));
+ sky130_fd_sc_hd__or2_2 _14031_ (.A(_08862_),
+    .B(_08867_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08918_));
- sky130_fd_sc_hd__buf_2 _14091_ (.A(_08918_),
+    .X(_08868_));
+ sky130_fd_sc_hd__buf_2 _14032_ (.A(_08868_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08919_));
- sky130_fd_sc_hd__clkbuf_2 _14092_ (.A(_08919_),
+    .X(_08869_));
+ sky130_fd_sc_hd__clkbuf_2 _14033_ (.A(_08869_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08920_));
- sky130_fd_sc_hd__inv_2 _14093_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[4] ),
+    .X(_08870_));
+ sky130_fd_sc_hd__inv_2 _14034_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_08921_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14094_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[5] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08922_));
- sky130_fd_sc_hd__nand2_2 _14095_ (.A(_08921_),
-    .B(_08922_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_08923_));
- sky130_fd_sc_hd__clkbuf_2 _14096_ (.A(_08923_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08924_));
- sky130_fd_sc_hd__clkbuf_1 _14097_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08925_));
- sky130_fd_sc_hd__clkbuf_1 _14098_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08926_));
- sky130_fd_sc_hd__nand2_1 _14099_ (.A(_08925_),
-    .B(_08926_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_08927_));
- sky130_fd_sc_hd__nand2_1 _14100_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[2] ),
-    .B(_08914_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_08928_));
- sky130_fd_sc_hd__or2_2 _14101_ (.A(_08927_),
-    .B(_08928_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08929_));
- sky130_fd_sc_hd__nor2_1 _14102_ (.A(_08924_),
-    .B(_08929_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_08930_));
- sky130_fd_sc_hd__clkbuf_2 _14103_ (.A(_08930_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08931_));
- sky130_fd_sc_hd__buf_2 _14104_ (.A(_08931_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08932_));
- sky130_fd_sc_hd__clkbuf_2 _14105_ (.A(_08929_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_08933_));
- sky130_fd_sc_hd__or2_2 _14106_ (.A(_08921_),
+    .Y(_08871_));
+ sky130_fd_sc_hd__or2_2 _14035_ (.A(_08871_),
     .B(\u_usb_host.u_core.u_fifo_rx.rd_ptr[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .X(_08872_));
+ sky130_fd_sc_hd__clkbuf_2 _14036_ (.A(_08872_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08873_));
+ sky130_fd_sc_hd__clkbuf_2 _14037_ (.A(_08873_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08874_));
+ sky130_fd_sc_hd__clkbuf_1 _14038_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08875_));
+ sky130_fd_sc_hd__clkbuf_1 _14039_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08876_));
+ sky130_fd_sc_hd__nand2_1 _14040_ (.A(_08875_),
+    .B(_08876_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_08877_));
+ sky130_fd_sc_hd__nand2_1 _14041_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[2] ),
+    .B(_08864_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_08878_));
+ sky130_fd_sc_hd__or2_2 _14042_ (.A(_08877_),
+    .B(_08878_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08879_));
+ sky130_fd_sc_hd__buf_2 _14043_ (.A(_08879_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08880_));
+ sky130_fd_sc_hd__nor2_1 _14044_ (.A(_08874_),
+    .B(_08880_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_08881_));
+ sky130_fd_sc_hd__clkbuf_4 _14045_ (.A(_08881_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08882_));
+ sky130_fd_sc_hd__clkbuf_2 _14046_ (.A(_08882_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08883_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14047_ (.A(_08859_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08884_));
+ sky130_fd_sc_hd__nor2_1 _14048_ (.A(_08879_),
+    .B(_08884_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_08885_));
+ sky130_fd_sc_hd__buf_2 _14049_ (.A(_08885_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08886_));
+ sky130_fd_sc_hd__clkbuf_2 _14050_ (.A(_08886_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08887_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14051_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08888_));
+ sky130_fd_sc_hd__nand2_2 _14052_ (.A(_08871_),
+    .B(_08888_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_08889_));
+ sky130_fd_sc_hd__clkbuf_2 _14053_ (.A(_08889_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08890_));
+ sky130_fd_sc_hd__nor2_1 _14054_ (.A(_08879_),
+    .B(_08890_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_08891_));
+ sky130_fd_sc_hd__buf_2 _14055_ (.A(_08891_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08892_));
+ sky130_fd_sc_hd__clkbuf_2 _14056_ (.A(_08892_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08893_));
+ sky130_fd_sc_hd__a22o_1 _14057_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[15][0] ),
+    .A2(_08887_),
+    .B1(_08893_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[47][0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08894_));
+ sky130_fd_sc_hd__clkbuf_1 _14058_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08895_));
+ sky130_fd_sc_hd__clkbuf_1 _14059_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08896_));
+ sky130_fd_sc_hd__clkbuf_1 _14060_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08897_));
+ sky130_fd_sc_hd__clkbuf_1 _14061_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08898_));
+ sky130_fd_sc_hd__or4bb_4 _14062_ (.A(_08895_),
+    .B(_08896_),
+    .C_N(_08897_),
+    .D_N(_08898_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08899_));
+ sky130_fd_sc_hd__nor2_1 _14063_ (.A(_08860_),
+    .B(_08899_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_08900_));
+ sky130_fd_sc_hd__buf_2 _14064_ (.A(_08900_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08901_));
+ sky130_fd_sc_hd__buf_2 _14065_ (.A(_08901_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08902_));
+ sky130_fd_sc_hd__nand2_2 _14066_ (.A(_08858_),
+    .B(_08888_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_08903_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14067_ (.A(_08903_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08904_));
+ sky130_fd_sc_hd__clkbuf_1 _14068_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08905_));
+ sky130_fd_sc_hd__clkbuf_1 _14069_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08906_));
+ sky130_fd_sc_hd__or3_4 _14070_ (.A(_08905_),
+    .B(_08906_),
+    .C(_08877_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08907_));
+ sky130_fd_sc_hd__nor2_1 _14071_ (.A(_08904_),
+    .B(_08907_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_08908_));
+ sky130_fd_sc_hd__buf_4 _14072_ (.A(_08908_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08909_));
+ sky130_fd_sc_hd__clkbuf_4 _14073_ (.A(_08909_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08910_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14074_ (.A(_08865_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08911_));
+ sky130_fd_sc_hd__or2_2 _14075_ (.A(_08878_),
+    .B(_08911_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08912_));
+ sky130_fd_sc_hd__nor2_1 _14076_ (.A(_08861_),
+    .B(_08912_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_08913_));
+ sky130_fd_sc_hd__buf_2 _14077_ (.A(_08913_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08914_));
+ sky130_fd_sc_hd__buf_2 _14078_ (.A(_08914_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08915_));
+ sky130_fd_sc_hd__clkbuf_2 _14079_ (.A(_08903_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08916_));
+ sky130_fd_sc_hd__or3b_4 _14080_ (.A(_08906_),
+    .B(_08911_),
+    .C_N(_08905_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08917_));
+ sky130_fd_sc_hd__nor2_1 _14081_ (.A(_08916_),
+    .B(_08917_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_08918_));
+ sky130_fd_sc_hd__buf_2 _14082_ (.A(_08918_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08919_));
+ sky130_fd_sc_hd__clkbuf_4 _14083_ (.A(_08919_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08920_));
+ sky130_fd_sc_hd__a22o_1 _14084_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[12][0] ),
+    .A2(_08915_),
+    .B1(_08920_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[52][0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08921_));
+ sky130_fd_sc_hd__a221o_1 _14085_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[6][0] ),
+    .A2(_08902_),
+    .B1(_08910_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[51][0] ),
+    .C1(_08921_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08922_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14086_ (.A(_08875_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08923_));
+ sky130_fd_sc_hd__or4bb_2 _14087_ (.A(_08898_),
+    .B(_08905_),
+    .C_N(_08906_),
+    .D_N(_08923_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08924_));
+ sky130_fd_sc_hd__nor2_1 _14088_ (.A(_08872_),
+    .B(_08924_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_08925_));
+ sky130_fd_sc_hd__buf_2 _14089_ (.A(_08925_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08926_));
+ sky130_fd_sc_hd__clkbuf_4 _14090_ (.A(_08926_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08927_));
+ sky130_fd_sc_hd__buf_2 _14091_ (.A(_08884_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08928_));
+ sky130_fd_sc_hd__nor2_1 _14092_ (.A(_08928_),
+    .B(_08907_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_08929_));
+ sky130_fd_sc_hd__clkbuf_4 _14093_ (.A(_08929_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08930_));
+ sky130_fd_sc_hd__clkbuf_4 _14094_ (.A(_08930_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08931_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14095_ (.A(_08889_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_08932_));
+ sky130_fd_sc_hd__nor2_1 _14096_ (.A(_08932_),
+    .B(_08912_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_08933_));
+ sky130_fd_sc_hd__buf_2 _14097_ (.A(_08933_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .X(_08934_));
- sky130_fd_sc_hd__clkbuf_1 _14107_ (.A(_08934_),
+ sky130_fd_sc_hd__buf_2 _14098_ (.A(_08934_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08935_));
- sky130_fd_sc_hd__clkbuf_2 _14108_ (.A(_08935_),
+ sky130_fd_sc_hd__a22o_1 _14099_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[3][0] ),
+    .A2(_08931_),
+    .B1(_08935_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[44][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08936_));
- sky130_fd_sc_hd__nor2_1 _14109_ (.A(_08933_),
-    .B(_08936_),
+ sky130_fd_sc_hd__nor2_1 _14100_ (.A(_08862_),
+    .B(_08867_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_08937_));
- sky130_fd_sc_hd__clkbuf_4 _14110_ (.A(_08937_),
+ sky130_fd_sc_hd__clkbuf_2 _14101_ (.A(_08937_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08938_));
- sky130_fd_sc_hd__buf_2 _14111_ (.A(_08938_),
+ sky130_fd_sc_hd__clkbuf_4 _14102_ (.A(_08938_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08939_));
- sky130_fd_sc_hd__buf_2 _14112_ (.A(_08909_),
+ sky130_fd_sc_hd__a211o_1 _14103_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[25][0] ),
+    .A2(_08927_),
+    .B1(_08936_),
+    .C1(_08939_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08940_));
- sky130_fd_sc_hd__nor2_1 _14113_ (.A(_08929_),
-    .B(_08940_),
+ sky130_fd_sc_hd__clkbuf_1 _14104_ (.A(_08876_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_08941_));
- sky130_fd_sc_hd__clkbuf_2 _14114_ (.A(_08941_),
+    .X(_08941_));
+ sky130_fd_sc_hd__or3b_4 _14105_ (.A(_08941_),
+    .B(_08878_),
+    .C_N(_08923_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08942_));
- sky130_fd_sc_hd__clkbuf_2 _14115_ (.A(_08942_),
+ sky130_fd_sc_hd__nor2_1 _14106_ (.A(_08928_),
+    .B(_08942_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08943_));
- sky130_fd_sc_hd__a22o_1 _14116_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[31][0] ),
-    .A2(_08939_),
-    .B1(_08943_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[15][0] ),
+    .Y(_08943_));
+ sky130_fd_sc_hd__clkbuf_4 _14107_ (.A(_08943_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08944_));
- sky130_fd_sc_hd__nand2_2 _14117_ (.A(_08908_),
-    .B(_08922_),
+ sky130_fd_sc_hd__clkbuf_4 _14108_ (.A(_08944_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_08945_));
- sky130_fd_sc_hd__or4b_4 _14118_ (.A(_08925_),
-    .B(_08913_),
-    .C(_08914_),
-    .D_N(_08926_),
+    .X(_08945_));
+ sky130_fd_sc_hd__or4b_4 _14109_ (.A(_08875_),
+    .B(_08863_),
+    .C(_08864_),
+    .D_N(_08876_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08946_));
- sky130_fd_sc_hd__nor2_1 _14119_ (.A(_08945_),
+ sky130_fd_sc_hd__nor2_1 _14110_ (.A(_08904_),
     .B(_08946_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_08947_));
- sky130_fd_sc_hd__buf_2 _14120_ (.A(_08947_),
+ sky130_fd_sc_hd__buf_2 _14111_ (.A(_08947_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08948_));
- sky130_fd_sc_hd__clkbuf_4 _14121_ (.A(_08948_),
+ sky130_fd_sc_hd__buf_2 _14112_ (.A(_08948_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08949_));
- sky130_fd_sc_hd__clkbuf_2 _14122_ (.A(_08923_),
+ sky130_fd_sc_hd__nor2_1 _14113_ (.A(_08889_),
+    .B(_08899_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08950_));
- sky130_fd_sc_hd__clkbuf_1 _14123_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[0] ),
+    .Y(_08950_));
+ sky130_fd_sc_hd__buf_2 _14114_ (.A(_08950_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08951_));
- sky130_fd_sc_hd__clkbuf_1 _14124_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[3] ),
+ sky130_fd_sc_hd__buf_2 _14115_ (.A(_08951_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08952_));
- sky130_fd_sc_hd__clkbuf_1 _14125_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[2] ),
+ sky130_fd_sc_hd__or3b_4 _14116_ (.A(_08911_),
+    .B(_08863_),
+    .C_N(_08864_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08953_));
- sky130_fd_sc_hd__clkbuf_1 _14126_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[1] ),
+ sky130_fd_sc_hd__nor2_1 _14117_ (.A(_08890_),
+    .B(_08953_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08954_));
- sky130_fd_sc_hd__or4bb_4 _14127_ (.A(_08951_),
-    .B(_08952_),
-    .C_N(_08953_),
-    .D_N(_08954_),
+    .Y(_08954_));
+ sky130_fd_sc_hd__clkbuf_4 _14118_ (.A(_08954_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08955_));
- sky130_fd_sc_hd__nor2_1 _14128_ (.A(_08950_),
-    .B(_08955_),
+ sky130_fd_sc_hd__clkbuf_4 _14119_ (.A(_08955_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_08956_));
- sky130_fd_sc_hd__buf_2 _14129_ (.A(_08956_),
+    .X(_08956_));
+ sky130_fd_sc_hd__a22o_1 _14120_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[38][0] ),
+    .A2(_08952_),
+    .B1(_08956_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[40][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08957_));
- sky130_fd_sc_hd__clkbuf_4 _14130_ (.A(_08957_),
+ sky130_fd_sc_hd__a221o_1 _14121_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[13][0] ),
+    .A2(_08945_),
+    .B1(_08949_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[50][0] ),
+    .C1(_08957_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08958_));
- sky130_fd_sc_hd__nor2_1 _14131_ (.A(_08935_),
-    .B(_08955_),
+ sky130_fd_sc_hd__buf_2 _14122_ (.A(_08932_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_08959_));
- sky130_fd_sc_hd__buf_4 _14132_ (.A(_08959_),
+    .X(_08959_));
+ sky130_fd_sc_hd__clkbuf_2 _14123_ (.A(_08959_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08960_));
- sky130_fd_sc_hd__clkbuf_2 _14133_ (.A(_08945_),
+ sky130_fd_sc_hd__nor2_1 _14124_ (.A(_08960_),
+    .B(_08946_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08961_));
- sky130_fd_sc_hd__or4b_4 _14134_ (.A(_08926_),
-    .B(_08913_),
-    .C(_08914_),
-    .D_N(_08925_),
+    .Y(_08961_));
+ sky130_fd_sc_hd__clkbuf_4 _14125_ (.A(_08961_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08962_));
- sky130_fd_sc_hd__nor2_1 _14135_ (.A(_08961_),
-    .B(_08962_),
+ sky130_fd_sc_hd__clkbuf_1 _14126_ (.A(_08872_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_08963_));
- sky130_fd_sc_hd__buf_2 _14136_ (.A(_08963_),
+    .X(_08963_));
+ sky130_fd_sc_hd__nor2_1 _14127_ (.A(_08963_),
+    .B(_08907_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08964_));
- sky130_fd_sc_hd__clkbuf_4 _14137_ (.A(_08964_),
+    .Y(_08964_));
+ sky130_fd_sc_hd__buf_2 _14128_ (.A(_08964_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08965_));
- sky130_fd_sc_hd__a22o_1 _14138_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[22][0] ),
-    .A2(_08960_),
-    .B1(_08965_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[49][0] ),
+ sky130_fd_sc_hd__buf_2 _14129_ (.A(_08965_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08966_));
- sky130_fd_sc_hd__a221o_1 _14139_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[50][0] ),
-    .A2(_08949_),
-    .B1(_08958_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[38][0] ),
-    .C1(_08966_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14130_ (.A(_08877_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08967_));
- sky130_fd_sc_hd__clkbuf_2 _14140_ (.A(_08950_),
+ sky130_fd_sc_hd__clkbuf_1 _14131_ (.A(_08896_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08968_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14141_ (.A(_08927_),
+ sky130_fd_sc_hd__or3b_4 _14132_ (.A(_08967_),
+    .B(_08897_),
+    .C_N(_08968_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08969_));
- sky130_fd_sc_hd__clkbuf_1 _14142_ (.A(_08952_),
+ sky130_fd_sc_hd__nor2_1 _14133_ (.A(_08960_),
+    .B(_08969_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08970_));
- sky130_fd_sc_hd__or3b_4 _14143_ (.A(_08969_),
-    .B(_08953_),
-    .C_N(_08970_),
+    .Y(_08970_));
+ sky130_fd_sc_hd__clkbuf_4 _14134_ (.A(_08970_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08971_));
- sky130_fd_sc_hd__nor2_1 _14144_ (.A(_08968_),
-    .B(_08971_),
+ sky130_fd_sc_hd__or4b_4 _14135_ (.A(_08876_),
+    .B(_08863_),
+    .C(_08896_),
+    .D_N(_08875_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_08972_));
- sky130_fd_sc_hd__buf_2 _14145_ (.A(_08972_),
+    .X(_08972_));
+ sky130_fd_sc_hd__nor2_1 _14136_ (.A(_08932_),
+    .B(_08972_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08973_));
- sky130_fd_sc_hd__nor2_1 _14146_ (.A(_08911_),
-    .B(_08917_),
+    .Y(_08973_));
+ sky130_fd_sc_hd__buf_2 _14137_ (.A(_08973_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_08974_));
- sky130_fd_sc_hd__buf_2 _14147_ (.A(_08974_),
+    .X(_08974_));
+ sky130_fd_sc_hd__buf_2 _14138_ (.A(_08974_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08975_));
- sky130_fd_sc_hd__buf_2 _14148_ (.A(_08975_),
+ sky130_fd_sc_hd__a22o_1 _14139_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[43][0] ),
+    .A2(_08971_),
+    .B1(_08975_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[33][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08976_));
- sky130_fd_sc_hd__clkbuf_2 _14149_ (.A(_08934_),
+ sky130_fd_sc_hd__a221o_1 _14140_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[34][0] ),
+    .A2(_08962_),
+    .B1(_08966_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[19][0] ),
+    .C1(_08976_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08977_));
- sky130_fd_sc_hd__clkbuf_1 _14150_ (.A(_08926_),
+ sky130_fd_sc_hd__or4_2 _14141_ (.A(_08922_),
+    .B(_08940_),
+    .C(_08958_),
+    .D(_08977_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08978_));
- sky130_fd_sc_hd__or3b_4 _14151_ (.A(_08978_),
-    .B(_08928_),
-    .C_N(_08951_),
+ sky130_fd_sc_hd__clkbuf_4 _14142_ (.A(_08904_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08979_));
- sky130_fd_sc_hd__nor2_1 _14152_ (.A(_08977_),
+ sky130_fd_sc_hd__nor2_1 _14143_ (.A(_08879_),
     .B(_08979_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_08980_));
- sky130_fd_sc_hd__buf_2 _14153_ (.A(_08980_),
+ sky130_fd_sc_hd__clkbuf_4 _14144_ (.A(_08980_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08981_));
- sky130_fd_sc_hd__buf_2 _14154_ (.A(_08981_),
+ sky130_fd_sc_hd__buf_4 _14145_ (.A(_08981_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08982_));
- sky130_fd_sc_hd__nor2_1 _14155_ (.A(_08924_),
-    .B(_08962_),
+ sky130_fd_sc_hd__or4bb_4 _14146_ (.A(_08898_),
+    .B(_08896_),
+    .C_N(_08897_),
+    .D_N(_08895_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_08983_));
- sky130_fd_sc_hd__buf_4 _14156_ (.A(_08983_),
+    .X(_08983_));
+ sky130_fd_sc_hd__nor2_1 _14147_ (.A(_08932_),
+    .B(_08983_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08984_));
- sky130_fd_sc_hd__a22o_1 _14157_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[29][0] ),
-    .A2(_08982_),
-    .B1(_08984_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[33][0] ),
+    .Y(_08984_));
+ sky130_fd_sc_hd__clkbuf_2 _14148_ (.A(_08984_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08985_));
- sky130_fd_sc_hd__a211o_1 _14158_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[43][0] ),
-    .A2(_08973_),
-    .B1(_08976_),
-    .C1(_08985_),
+ sky130_fd_sc_hd__clkbuf_4 _14149_ (.A(_08985_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08986_));
- sky130_fd_sc_hd__clkbuf_1 _14159_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[3] ),
+ sky130_fd_sc_hd__nor2_1 _14150_ (.A(_08928_),
+    .B(_08953_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08987_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14160_ (.A(_08915_),
+    .Y(_08987_));
+ sky130_fd_sc_hd__clkbuf_4 _14151_ (.A(_08987_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08988_));
- sky130_fd_sc_hd__clkbuf_1 _14161_ (.A(\u_usb_host.u_core.u_fifo_rx.rd_ptr[2] ),
+ sky130_fd_sc_hd__nor2_1 _14152_ (.A(_08884_),
+    .B(_08924_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08989_));
- sky130_fd_sc_hd__or3b_4 _14162_ (.A(_08987_),
-    .B(_08988_),
-    .C_N(_08989_),
+    .Y(_08989_));
+ sky130_fd_sc_hd__buf_2 _14153_ (.A(_08989_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08990_));
- sky130_fd_sc_hd__nor2_1 _14163_ (.A(_08911_),
-    .B(_08990_),
+ sky130_fd_sc_hd__clkbuf_4 _14154_ (.A(_08990_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_08991_));
- sky130_fd_sc_hd__clkbuf_4 _14164_ (.A(_08991_),
+    .X(_08991_));
+ sky130_fd_sc_hd__nor2_1 _14155_ (.A(_08963_),
+    .B(_08912_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08992_));
- sky130_fd_sc_hd__or2_4 _14165_ (.A(_08928_),
-    .B(_08988_),
+    .Y(_08992_));
+ sky130_fd_sc_hd__clkbuf_2 _14156_ (.A(_08992_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08993_));
- sky130_fd_sc_hd__nor2_1 _14166_ (.A(_08923_),
-    .B(_08993_),
+ sky130_fd_sc_hd__clkbuf_4 _14157_ (.A(_08993_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_08994_));
- sky130_fd_sc_hd__buf_2 _14167_ (.A(_08994_),
+    .X(_08994_));
+ sky130_fd_sc_hd__a22o_1 _14158_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[9][0] ),
+    .A2(_08991_),
+    .B1(_08994_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[28][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08995_));
- sky130_fd_sc_hd__clkbuf_4 _14168_ (.A(_08995_),
+ sky130_fd_sc_hd__a221o_1 _14159_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[37][0] ),
+    .A2(_08986_),
+    .B1(_08988_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[8][0] ),
+    .C1(_08995_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08996_));
- sky130_fd_sc_hd__nor2_1 _14169_ (.A(_08935_),
-    .B(_08946_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14160_ (.A(_08897_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_08997_));
- sky130_fd_sc_hd__buf_2 _14170_ (.A(_08997_),
+    .X(_08997_));
+ sky130_fd_sc_hd__or3b_4 _14161_ (.A(_08968_),
+    .B(_08967_),
+    .C_N(_08997_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_08998_));
- sky130_fd_sc_hd__buf_2 _14171_ (.A(_08998_),
+ sky130_fd_sc_hd__nor2_2 _14162_ (.A(_08960_),
+    .B(_08998_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_08999_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14172_ (.A(_08925_),
+    .Y(_08999_));
+ sky130_fd_sc_hd__clkbuf_4 _14163_ (.A(_08999_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09000_));
- sky130_fd_sc_hd__or4bb_4 _14173_ (.A(_08978_),
-    .B(_08952_),
-    .C_N(_08953_),
-    .D_N(_09000_),
+ sky130_fd_sc_hd__nor2_1 _14164_ (.A(_08916_),
+    .B(_08972_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09001_));
- sky130_fd_sc_hd__nor2_1 _14174_ (.A(_08910_),
-    .B(_09001_),
+    .Y(_09001_));
+ sky130_fd_sc_hd__buf_2 _14165_ (.A(_09001_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_09002_));
- sky130_fd_sc_hd__buf_2 _14175_ (.A(_09002_),
+    .X(_09002_));
+ sky130_fd_sc_hd__clkbuf_4 _14166_ (.A(_09002_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09003_));
- sky130_fd_sc_hd__clkbuf_4 _14176_ (.A(_09003_),
+ sky130_fd_sc_hd__nor2_1 _14167_ (.A(_08862_),
+    .B(_08972_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09004_));
- sky130_fd_sc_hd__a22o_1 _14177_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[18][0] ),
-    .A2(_08999_),
-    .B1(_09004_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[5][0] ),
+    .Y(_09004_));
+ sky130_fd_sc_hd__clkbuf_4 _14168_ (.A(_09004_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09005_));
- sky130_fd_sc_hd__a221o_1 _14178_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[4][0] ),
-    .A2(_08992_),
-    .B1(_08996_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[44][0] ),
-    .C1(_09005_),
+ sky130_fd_sc_hd__nor2_1 _14169_ (.A(_08874_),
+    .B(_08899_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09006_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14179_ (.A(_08940_),
+    .Y(_09006_));
+ sky130_fd_sc_hd__buf_4 _14170_ (.A(_09006_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09007_));
- sky130_fd_sc_hd__or3b_4 _14180_ (.A(_08928_),
-    .B(_08951_),
-    .C_N(_08978_),
+ sky130_fd_sc_hd__a22o_1 _14171_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[1][0] ),
+    .A2(_09005_),
+    .B1(_09007_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[22][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09008_));
- sky130_fd_sc_hd__nor2_1 _14181_ (.A(_09007_),
-    .B(_09008_),
+ sky130_fd_sc_hd__a221o_1 _14172_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[39][0] ),
+    .A2(_09000_),
+    .B1(_09003_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[49][0] ),
+    .C1(_09008_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_09009_));
- sky130_fd_sc_hd__clkbuf_4 _14182_ (.A(_09009_),
+    .X(_09009_));
+ sky130_fd_sc_hd__nor2_1 _14173_ (.A(_08979_),
+    .B(_08867_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09010_));
- sky130_fd_sc_hd__clkbuf_2 _14183_ (.A(_08945_),
+    .Y(_09010_));
+ sky130_fd_sc_hd__clkbuf_4 _14174_ (.A(_09010_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09011_));
- sky130_fd_sc_hd__nor2_1 _14184_ (.A(_09011_),
-    .B(_08917_),
+ sky130_fd_sc_hd__nor2_2 _14175_ (.A(_08874_),
+    .B(_08953_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_09012_));
- sky130_fd_sc_hd__buf_2 _14185_ (.A(_09012_),
+ sky130_fd_sc_hd__clkbuf_4 _14176_ (.A(_09012_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09013_));
- sky130_fd_sc_hd__clkbuf_4 _14186_ (.A(_09013_),
+ sky130_fd_sc_hd__clkbuf_4 _14177_ (.A(_09013_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09014_));
- sky130_fd_sc_hd__nor2_1 _14187_ (.A(_08945_),
-    .B(_09001_),
+ sky130_fd_sc_hd__buf_2 _14178_ (.A(_08963_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_09015_));
- sky130_fd_sc_hd__clkbuf_2 _14188_ (.A(_09015_),
+    .X(_09015_));
+ sky130_fd_sc_hd__nor2_1 _14179_ (.A(_09015_),
+    .B(_08983_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09016_));
- sky130_fd_sc_hd__buf_4 _14189_ (.A(_09016_),
+    .Y(_09016_));
+ sky130_fd_sc_hd__clkbuf_4 _14180_ (.A(_09016_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09017_));
- sky130_fd_sc_hd__or4bb_4 _14190_ (.A(_08951_),
-    .B(_08913_),
-    .C_N(_08987_),
-    .D_N(_08954_),
+ sky130_fd_sc_hd__clkbuf_4 _14181_ (.A(_09017_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09018_));
- sky130_fd_sc_hd__nor2_1 _14191_ (.A(_08923_),
-    .B(_09018_),
+ sky130_fd_sc_hd__nor2_1 _14182_ (.A(_08903_),
+    .B(_08953_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_09019_));
- sky130_fd_sc_hd__buf_2 _14192_ (.A(_09019_),
+ sky130_fd_sc_hd__buf_2 _14183_ (.A(_09019_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09020_));
- sky130_fd_sc_hd__clkbuf_4 _14193_ (.A(_09020_),
+ sky130_fd_sc_hd__clkbuf_4 _14184_ (.A(_09020_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09021_));
- sky130_fd_sc_hd__a22o_1 _14194_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[53][0] ),
-    .A2(_09017_),
+ sky130_fd_sc_hd__a22o_1 _14185_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[21][0] ),
+    .A2(_09018_),
     .B1(_09021_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[42][0] ),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[56][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09022_));
- sky130_fd_sc_hd__a221o_1 _14195_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[14][0] ),
-    .A2(_09010_),
+ sky130_fd_sc_hd__a221o_1 _14186_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[48][0] ),
+    .A2(_09011_),
     .B1(_09014_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[48][0] ),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[24][0] ),
     .C1(_09022_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09023_));
- sky130_fd_sc_hd__or4_2 _14196_ (.A(_08967_),
-    .B(_08986_),
-    .C(_09006_),
-    .D(_09023_),
+ sky130_fd_sc_hd__a2111o_1 _14187_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[63][0] ),
+    .A2(_08982_),
+    .B1(_08996_),
+    .C1(_09009_),
+    .D1(_09023_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09024_));
- sky130_fd_sc_hd__clkbuf_2 _14197_ (.A(_09011_),
+ sky130_fd_sc_hd__nor2_1 _14188_ (.A(_08959_),
+    .B(_08942_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09025_));
- sky130_fd_sc_hd__nor2_1 _14198_ (.A(_08929_),
-    .B(_09025_),
+    .Y(_09025_));
+ sky130_fd_sc_hd__buf_2 _14189_ (.A(_09025_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_09026_));
- sky130_fd_sc_hd__clkbuf_4 _14199_ (.A(_09026_),
+    .X(_09026_));
+ sky130_fd_sc_hd__buf_2 _14190_ (.A(_09026_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09027_));
- sky130_fd_sc_hd__buf_4 _14200_ (.A(_09027_),
+ sky130_fd_sc_hd__or3b_4 _14191_ (.A(_08878_),
+    .B(_08895_),
+    .C_N(_08898_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09028_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14201_ (.A(_08934_),
+ sky130_fd_sc_hd__nor2_1 _14192_ (.A(_08861_),
+    .B(_09028_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09029_));
- sky130_fd_sc_hd__nor2_1 _14202_ (.A(_09029_),
-    .B(_08962_),
+    .Y(_09029_));
+ sky130_fd_sc_hd__buf_2 _14193_ (.A(_09029_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_09030_));
- sky130_fd_sc_hd__buf_4 _14203_ (.A(_09030_),
+    .X(_09030_));
+ sky130_fd_sc_hd__clkbuf_4 _14194_ (.A(_09030_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09031_));
- sky130_fd_sc_hd__clkbuf_2 _14204_ (.A(_08961_),
+ sky130_fd_sc_hd__nor2_1 _14195_ (.A(_08903_),
+    .B(_08899_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09032_));
- sky130_fd_sc_hd__nor2_1 _14205_ (.A(_09032_),
-    .B(_09018_),
+    .Y(_09032_));
+ sky130_fd_sc_hd__clkbuf_4 _14196_ (.A(_09032_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_09033_));
- sky130_fd_sc_hd__buf_2 _14206_ (.A(_09033_),
+    .X(_09033_));
+ sky130_fd_sc_hd__buf_2 _14197_ (.A(_09033_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09034_));
- sky130_fd_sc_hd__buf_2 _14207_ (.A(_09034_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09035_));
- sky130_fd_sc_hd__or3_4 _14208_ (.A(_08989_),
-    .B(_08987_),
-    .C(_08927_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09036_));
- sky130_fd_sc_hd__nor2_1 _14209_ (.A(_09011_),
-    .B(_09036_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_09037_));
- sky130_fd_sc_hd__buf_4 _14210_ (.A(_09037_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09038_));
- sky130_fd_sc_hd__or4bb_4 _14211_ (.A(_08978_),
-    .B(_08989_),
-    .C_N(_08987_),
-    .D_N(_09000_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09039_));
- sky130_fd_sc_hd__nor2_1 _14212_ (.A(_08924_),
-    .B(_09039_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_09040_));
- sky130_fd_sc_hd__clkbuf_4 _14213_ (.A(_09040_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09041_));
- sky130_fd_sc_hd__a22o_1 _14214_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[51][0] ),
-    .A2(_09038_),
-    .B1(_09041_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[41][0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09042_));
- sky130_fd_sc_hd__a221o_1 _14215_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[17][0] ),
-    .A2(_09031_),
-    .B1(_09035_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[58][0] ),
-    .C1(_09042_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09043_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14216_ (.A(_08950_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09044_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14217_ (.A(_08953_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09045_));
- sky130_fd_sc_hd__or3b_4 _14218_ (.A(_08970_),
-    .B(_08969_),
-    .C_N(_09045_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09046_));
- sky130_fd_sc_hd__nor2_2 _14219_ (.A(_09044_),
-    .B(_09046_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_09047_));
- sky130_fd_sc_hd__clkbuf_4 _14220_ (.A(_09047_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09048_));
- sky130_fd_sc_hd__nor2_1 _14221_ (.A(_08977_),
-    .B(_09036_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_09049_));
- sky130_fd_sc_hd__buf_2 _14222_ (.A(_09049_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09050_));
- sky130_fd_sc_hd__buf_2 _14223_ (.A(_09050_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09051_));
- sky130_fd_sc_hd__nor2_1 _14224_ (.A(_09044_),
+ sky130_fd_sc_hd__nor2_1 _14198_ (.A(_08959_),
     .B(_08917_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_09052_));
- sky130_fd_sc_hd__clkbuf_4 _14225_ (.A(_09052_),
+    .Y(_09035_));
+ sky130_fd_sc_hd__clkbuf_4 _14199_ (.A(_09035_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09036_));
+ sky130_fd_sc_hd__clkbuf_4 _14200_ (.A(_09036_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09037_));
+ sky130_fd_sc_hd__a22o_1 _14201_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[54][0] ),
+    .A2(_09034_),
+    .B1(_09037_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[36][0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09038_));
+ sky130_fd_sc_hd__a221o_1 _14202_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[45][0] ),
+    .A2(_09027_),
+    .B1(_09031_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[14][0] ),
+    .C1(_09038_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09039_));
+ sky130_fd_sc_hd__nor2_1 _14203_ (.A(_08904_),
+    .B(_09028_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_09040_));
+ sky130_fd_sc_hd__clkbuf_4 _14204_ (.A(_09040_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09041_));
+ sky130_fd_sc_hd__buf_2 _14205_ (.A(_09041_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09042_));
+ sky130_fd_sc_hd__clkbuf_2 _14206_ (.A(_08916_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09043_));
+ sky130_fd_sc_hd__nor2_1 _14207_ (.A(_09043_),
+    .B(_08969_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_09044_));
+ sky130_fd_sc_hd__buf_2 _14208_ (.A(_09044_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09045_));
+ sky130_fd_sc_hd__clkbuf_4 _14209_ (.A(_09045_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09046_));
+ sky130_fd_sc_hd__nor2_1 _14210_ (.A(_08873_),
+    .B(_08972_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_09047_));
+ sky130_fd_sc_hd__clkbuf_4 _14211_ (.A(_09047_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09048_));
+ sky130_fd_sc_hd__buf_2 _14212_ (.A(_09048_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09049_));
+ sky130_fd_sc_hd__nor2_1 _14213_ (.A(_08890_),
+    .B(_09028_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_09050_));
+ sky130_fd_sc_hd__clkbuf_4 _14214_ (.A(_09050_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09051_));
+ sky130_fd_sc_hd__a22o_1 _14215_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[17][0] ),
+    .A2(_09049_),
+    .B1(_09051_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[46][0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09052_));
+ sky130_fd_sc_hd__a221o_1 _14216_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[62][0] ),
+    .A2(_09042_),
+    .B1(_09046_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[59][0] ),
+    .C1(_09052_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09053_));
- sky130_fd_sc_hd__or3b_4 _14226_ (.A(_08988_),
-    .B(_08989_),
-    .C_N(_08952_),
+ sky130_fd_sc_hd__nor2_2 _14217_ (.A(_08979_),
+    .B(_08942_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09054_));
- sky130_fd_sc_hd__nor2_1 _14227_ (.A(_08911_),
-    .B(_09054_),
+    .Y(_09054_));
+ sky130_fd_sc_hd__clkbuf_4 _14218_ (.A(_09054_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_09055_));
- sky130_fd_sc_hd__clkbuf_4 _14228_ (.A(_09055_),
+    .X(_09055_));
+ sky130_fd_sc_hd__nor2_1 _14219_ (.A(_08963_),
+    .B(_09028_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09056_));
- sky130_fd_sc_hd__a22o_1 _14229_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[32][0] ),
-    .A2(_09053_),
-    .B1(_09056_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[8][0] ),
+    .Y(_09056_));
+ sky130_fd_sc_hd__buf_2 _14220_ (.A(_09056_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09057_));
- sky130_fd_sc_hd__a221o_1 _14230_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[39][0] ),
-    .A2(_09048_),
-    .B1(_09051_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[19][0] ),
-    .C1(_09057_),
+ sky130_fd_sc_hd__clkbuf_4 _14221_ (.A(_09057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09058_));
- sky130_fd_sc_hd__nor2_1 _14231_ (.A(_09044_),
-    .B(_08979_),
+ sky130_fd_sc_hd__nor2_1 _14222_ (.A(_09015_),
+    .B(_08946_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_09059_));
- sky130_fd_sc_hd__clkbuf_4 _14232_ (.A(_09059_),
+ sky130_fd_sc_hd__buf_4 _14223_ (.A(_09059_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09060_));
- sky130_fd_sc_hd__nor2_1 _14233_ (.A(_08955_),
-    .B(_08961_),
+ sky130_fd_sc_hd__or4bb_4 _14224_ (.A(_08895_),
+    .B(_08905_),
+    .C_N(_08906_),
+    .D_N(_08941_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_09061_));
- sky130_fd_sc_hd__clkbuf_2 _14234_ (.A(_09061_),
+    .X(_09061_));
+ sky130_fd_sc_hd__nor2_1 _14225_ (.A(_09043_),
+    .B(_09061_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09062_));
- sky130_fd_sc_hd__clkbuf_4 _14235_ (.A(_09062_),
+    .Y(_09062_));
+ sky130_fd_sc_hd__buf_2 _14226_ (.A(_09062_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09063_));
- sky130_fd_sc_hd__nor2_1 _14236_ (.A(_08936_),
-    .B(_09018_),
+ sky130_fd_sc_hd__clkbuf_4 _14227_ (.A(_09063_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_09064_));
- sky130_fd_sc_hd__clkbuf_4 _14237_ (.A(_09064_),
+    .X(_09064_));
+ sky130_fd_sc_hd__a22o_1 _14228_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[18][0] ),
+    .A2(_09060_),
+    .B1(_09064_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[58][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09065_));
- sky130_fd_sc_hd__nor2_1 _14238_ (.A(_08940_),
-    .B(_09039_),
+ sky130_fd_sc_hd__a221o_1 _14229_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[61][0] ),
+    .A2(_09055_),
+    .B1(_09058_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[30][0] ),
+    .C1(_09065_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_09066_));
- sky130_fd_sc_hd__buf_2 _14239_ (.A(_09066_),
+    .X(_09066_));
+ sky130_fd_sc_hd__nor2_1 _14230_ (.A(_09015_),
+    .B(_09061_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09067_));
- sky130_fd_sc_hd__clkbuf_4 _14240_ (.A(_09067_),
+    .Y(_09067_));
+ sky130_fd_sc_hd__clkbuf_4 _14231_ (.A(_09067_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09068_));
- sky130_fd_sc_hd__a22o_1 _14241_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[26][0] ),
-    .A2(_09065_),
-    .B1(_09068_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[9][0] ),
+ sky130_fd_sc_hd__clkbuf_4 _14232_ (.A(_09068_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09069_));
- sky130_fd_sc_hd__a221o_1 _14242_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[45][0] ),
-    .A2(_09060_),
-    .B1(_09063_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[54][0] ),
-    .C1(_09069_),
+ sky130_fd_sc_hd__nor2_1 _14233_ (.A(_08959_),
+    .B(_08907_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09070_));
- sky130_fd_sc_hd__a2111o_1 _14243_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[63][0] ),
-    .A2(_09028_),
-    .B1(_09043_),
-    .C1(_09058_),
-    .D1(_09070_),
+    .Y(_09070_));
+ sky130_fd_sc_hd__clkbuf_2 _14234_ (.A(_09070_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09071_));
- sky130_fd_sc_hd__nor2_1 _14244_ (.A(_09032_),
-    .B(_08993_),
+ sky130_fd_sc_hd__buf_2 _14235_ (.A(_09071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_09072_));
- sky130_fd_sc_hd__clkbuf_4 _14245_ (.A(_09072_),
+    .X(_09072_));
+ sky130_fd_sc_hd__nor2_1 _14236_ (.A(_08912_),
+    .B(_09043_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09073_));
- sky130_fd_sc_hd__nor2_1 _14246_ (.A(_08924_),
-    .B(_09054_),
+    .Y(_09073_));
+ sky130_fd_sc_hd__clkbuf_4 _14237_ (.A(_09073_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_09074_));
- sky130_fd_sc_hd__clkbuf_4 _14247_ (.A(_09074_),
+    .X(_09074_));
+ sky130_fd_sc_hd__buf_2 _14238_ (.A(_09074_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09075_));
- sky130_fd_sc_hd__nor2_1 _14248_ (.A(_09025_),
-    .B(_09039_),
+ sky130_fd_sc_hd__nor2_1 _14239_ (.A(_08884_),
+    .B(_09061_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_09076_));
- sky130_fd_sc_hd__clkbuf_4 _14249_ (.A(_09076_),
+ sky130_fd_sc_hd__buf_2 _14240_ (.A(_09076_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09077_));
- sky130_fd_sc_hd__nor2_1 _14250_ (.A(_08961_),
-    .B(_09054_),
+ sky130_fd_sc_hd__clkbuf_2 _14241_ (.A(_09077_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_09078_));
- sky130_fd_sc_hd__buf_2 _14251_ (.A(_09078_),
+    .X(_09078_));
+ sky130_fd_sc_hd__a22o_1 _14242_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[60][0] ),
+    .A2(_09075_),
+    .B1(_09078_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[10][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09079_));
- sky130_fd_sc_hd__buf_2 _14252_ (.A(_09079_),
+ sky130_fd_sc_hd__a221o_1 _14243_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[26][0] ),
+    .A2(_09069_),
+    .B1(_09072_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[35][0] ),
+    .C1(_09079_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09080_));
- sky130_fd_sc_hd__a22o_1 _14253_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[57][0] ),
-    .A2(_09077_),
-    .B1(_09080_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[56][0] ),
+ sky130_fd_sc_hd__or4_1 _14244_ (.A(_09039_),
+    .B(_09053_),
+    .C(_09066_),
+    .D(_09080_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09081_));
- sky130_fd_sc_hd__a221o_1 _14254_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[60][0] ),
-    .A2(_09073_),
-    .B1(_09075_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[40][0] ),
-    .C1(_09081_),
+ sky130_fd_sc_hd__nor2_2 _14245_ (.A(_08960_),
+    .B(_08867_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09082_));
- sky130_fd_sc_hd__nor2_1 _14255_ (.A(_08910_),
-    .B(_08955_),
+    .Y(_09082_));
+ sky130_fd_sc_hd__clkbuf_4 _14246_ (.A(_09082_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_09083_));
- sky130_fd_sc_hd__buf_2 _14256_ (.A(_09083_),
+    .X(_09083_));
+ sky130_fd_sc_hd__nor2_1 _14247_ (.A(_08928_),
+    .B(_08917_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09084_));
- sky130_fd_sc_hd__clkbuf_4 _14257_ (.A(_09084_),
+    .Y(_09084_));
+ sky130_fd_sc_hd__clkbuf_4 _14248_ (.A(_09084_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09085_));
- sky130_fd_sc_hd__nor2_1 _14258_ (.A(_08968_),
-    .B(_09008_),
+ sky130_fd_sc_hd__nor2_2 _14249_ (.A(_08862_),
+    .B(_08998_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_09086_));
- sky130_fd_sc_hd__clkbuf_2 _14259_ (.A(_09086_),
+ sky130_fd_sc_hd__nor2_1 _14250_ (.A(_08979_),
+    .B(_08998_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09087_));
- sky130_fd_sc_hd__clkbuf_4 _14260_ (.A(_09087_),
+    .Y(_09087_));
+ sky130_fd_sc_hd__buf_2 _14251_ (.A(_09087_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09088_));
- sky130_fd_sc_hd__nor2_1 _14261_ (.A(_09029_),
-    .B(_09054_),
+ sky130_fd_sc_hd__a22o_1 _14252_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[7][0] ),
+    .A2(_09086_),
+    .B1(_09088_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[55][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_09089_));
- sky130_fd_sc_hd__buf_4 _14262_ (.A(_09089_),
+    .X(_09089_));
+ sky130_fd_sc_hd__a221o_1 _14253_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[32][0] ),
+    .A2(_09083_),
+    .B1(_09085_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[4][0] ),
+    .C1(_09089_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09090_));
- sky130_fd_sc_hd__nor2_1 _14263_ (.A(_09011_),
-    .B(_08990_),
+ sky130_fd_sc_hd__nor2_2 _14254_ (.A(_08874_),
+    .B(_08998_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_09091_));
- sky130_fd_sc_hd__clkbuf_2 _14264_ (.A(_09091_),
+ sky130_fd_sc_hd__clkbuf_4 _14255_ (.A(_09091_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09092_));
- sky130_fd_sc_hd__clkbuf_4 _14265_ (.A(_09092_),
+ sky130_fd_sc_hd__nor2_1 _14256_ (.A(_08861_),
+    .B(_08969_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09093_));
- sky130_fd_sc_hd__a22o_1 _14266_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[24][0] ),
-    .A2(_09090_),
-    .B1(_09093_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[52][0] ),
+    .Y(_09093_));
+ sky130_fd_sc_hd__clkbuf_4 _14257_ (.A(_09093_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09094_));
- sky130_fd_sc_hd__a221o_1 _14267_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[6][0] ),
-    .A2(_09085_),
-    .B1(_09088_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[46][0] ),
-    .C1(_09094_),
+ sky130_fd_sc_hd__clkbuf_4 _14258_ (.A(_09094_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09095_));
- sky130_fd_sc_hd__nor2_1 _14268_ (.A(_08936_),
-    .B(_09001_),
+ sky130_fd_sc_hd__nor2_1 _14259_ (.A(_08872_),
+    .B(_08866_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_09096_));
- sky130_fd_sc_hd__clkbuf_4 _14269_ (.A(_09096_),
+ sky130_fd_sc_hd__buf_2 _14260_ (.A(_09096_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09097_));
- sky130_fd_sc_hd__nor2_1 _14270_ (.A(_09029_),
-    .B(_08971_),
+ sky130_fd_sc_hd__clkbuf_4 _14261_ (.A(_09097_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_09098_));
- sky130_fd_sc_hd__clkbuf_2 _14271_ (.A(_09098_),
+    .X(_09098_));
+ sky130_fd_sc_hd__nor2_1 _14262_ (.A(_08860_),
+    .B(_08983_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09099_));
- sky130_fd_sc_hd__clkbuf_4 _14272_ (.A(_09099_),
+    .Y(_09099_));
+ sky130_fd_sc_hd__buf_2 _14263_ (.A(_09099_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09100_));
- sky130_fd_sc_hd__nor2_2 _14273_ (.A(_09025_),
-    .B(_08979_),
+ sky130_fd_sc_hd__clkbuf_4 _14264_ (.A(_09100_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_09101_));
- sky130_fd_sc_hd__nor2_1 _14274_ (.A(_09007_),
-    .B(_08979_),
+    .X(_09101_));
+ sky130_fd_sc_hd__a22o_1 _14265_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[16][0] ),
+    .A2(_09098_),
+    .B1(_09101_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[5][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_09102_));
- sky130_fd_sc_hd__clkbuf_4 _14275_ (.A(_09102_),
+    .X(_09102_));
+ sky130_fd_sc_hd__a221o_1 _14266_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[23][0] ),
+    .A2(_09092_),
+    .B1(_09095_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[11][0] ),
+    .C1(_09102_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09103_));
- sky130_fd_sc_hd__a22o_1 _14276_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[61][0] ),
-    .A2(_09101_),
-    .B1(_09103_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[13][0] ),
+ sky130_fd_sc_hd__nor2_1 _14267_ (.A(_08860_),
+    .B(_08946_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09104_));
- sky130_fd_sc_hd__a221o_1 _14277_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[21][0] ),
-    .A2(_09097_),
-    .B1(_09100_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[27][0] ),
-    .C1(_09104_),
+    .Y(_09104_));
+ sky130_fd_sc_hd__buf_2 _14268_ (.A(_09104_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09105_));
- sky130_fd_sc_hd__nor2_1 _14278_ (.A(_08912_),
-    .B(_09036_),
+ sky130_fd_sc_hd__clkbuf_4 _14269_ (.A(_09105_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_09106_));
- sky130_fd_sc_hd__clkbuf_4 _14279_ (.A(_09106_),
+    .X(_09106_));
+ sky130_fd_sc_hd__nor2_1 _14270_ (.A(_08873_),
+    .B(_08917_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09107_));
- sky130_fd_sc_hd__nor2_1 _14280_ (.A(_08977_),
-    .B(_09008_),
+    .Y(_09107_));
+ sky130_fd_sc_hd__buf_2 _14271_ (.A(_09107_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_09108_));
- sky130_fd_sc_hd__buf_2 _14281_ (.A(_09108_),
+    .X(_09108_));
+ sky130_fd_sc_hd__buf_2 _14272_ (.A(_09108_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09109_));
- sky130_fd_sc_hd__buf_2 _14282_ (.A(_09109_),
+ sky130_fd_sc_hd__nor2_1 _14273_ (.A(_08890_),
+    .B(_08924_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09110_));
- sky130_fd_sc_hd__nor2_1 _14283_ (.A(_08950_),
-    .B(_09001_),
+    .Y(_09110_));
+ sky130_fd_sc_hd__clkbuf_4 _14274_ (.A(_09110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_09111_));
- sky130_fd_sc_hd__clkbuf_4 _14284_ (.A(_09111_),
+    .X(_09111_));
+ sky130_fd_sc_hd__buf_2 _14275_ (.A(_09111_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09112_));
- sky130_fd_sc_hd__nor2_1 _14285_ (.A(_08934_),
-    .B(_08916_),
+ sky130_fd_sc_hd__nor2_1 _14276_ (.A(_08873_),
+    .B(_08969_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_09113_));
- sky130_fd_sc_hd__buf_2 _14286_ (.A(_09113_),
+ sky130_fd_sc_hd__buf_4 _14277_ (.A(_09113_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09114_));
- sky130_fd_sc_hd__clkbuf_4 _14287_ (.A(_09114_),
+ sky130_fd_sc_hd__buf_4 _14278_ (.A(_09114_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09115_));
- sky130_fd_sc_hd__a22o_1 _14288_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[37][0] ),
+ sky130_fd_sc_hd__a22o_1 _14279_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[41][0] ),
     .A2(_09112_),
     .B1(_09115_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[16][0] ),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[27][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09116_));
- sky130_fd_sc_hd__a221o_1 _14289_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[3][0] ),
-    .A2(_09107_),
-    .B1(_09110_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[30][0] ),
+ sky130_fd_sc_hd__a221o_1 _14280_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[2][0] ),
+    .A2(_09106_),
+    .B1(_09109_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[20][0] ),
     .C1(_09116_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09117_));
- sky130_fd_sc_hd__or4_1 _14290_ (.A(_09082_),
-    .B(_09095_),
-    .C(_09105_),
-    .D(_09117_),
+ sky130_fd_sc_hd__nor2_1 _14281_ (.A(_08916_),
+    .B(_08983_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09118_));
- sky130_fd_sc_hd__nor2_2 _14291_ (.A(_08912_),
-    .B(_09046_),
+    .Y(_09118_));
+ sky130_fd_sc_hd__buf_2 _14282_ (.A(_09118_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_09119_));
- sky130_fd_sc_hd__buf_2 _14292_ (.A(_09119_),
+    .X(_09119_));
+ sky130_fd_sc_hd__buf_2 _14283_ (.A(_09119_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09120_));
- sky130_fd_sc_hd__nor2_1 _14293_ (.A(_08910_),
-    .B(_08946_),
+ sky130_fd_sc_hd__nor2_1 _14284_ (.A(_08889_),
+    .B(_09061_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_09121_));
- sky130_fd_sc_hd__buf_2 _14294_ (.A(_09121_),
+ sky130_fd_sc_hd__buf_2 _14285_ (.A(_09121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09122_));
- sky130_fd_sc_hd__clkbuf_4 _14295_ (.A(_09122_),
+ sky130_fd_sc_hd__buf_2 _14286_ (.A(_09122_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09123_));
- sky130_fd_sc_hd__nor2_2 _14296_ (.A(_08936_),
-    .B(_09046_),
+ sky130_fd_sc_hd__nor2_1 _14287_ (.A(_09015_),
+    .B(_08942_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_09124_));
- sky130_fd_sc_hd__nor2_2 _14297_ (.A(_09007_),
-    .B(_08971_),
+ sky130_fd_sc_hd__clkbuf_2 _14288_ (.A(_09124_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_09125_));
- sky130_fd_sc_hd__clkbuf_4 _14298_ (.A(_09125_),
+    .X(_09125_));
+ sky130_fd_sc_hd__buf_2 _14289_ (.A(_09125_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09126_));
- sky130_fd_sc_hd__a22o_1 _14299_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[23][0] ),
-    .A2(_09124_),
-    .B1(_09126_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[11][0] ),
+ sky130_fd_sc_hd__nor2_1 _14290_ (.A(_09043_),
+    .B(_08924_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09127_));
- sky130_fd_sc_hd__a221o_1 _14300_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[7][0] ),
-    .A2(_09120_),
-    .B1(_09123_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[2][0] ),
-    .C1(_09127_),
+    .Y(_09127_));
+ sky130_fd_sc_hd__buf_2 _14291_ (.A(_09127_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09128_));
- sky130_fd_sc_hd__nor2_2 _14301_ (.A(_08912_),
-    .B(_08962_),
+ sky130_fd_sc_hd__a22o_1 _14292_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[29][0] ),
+    .A2(_09126_),
+    .B1(_09128_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[57][0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_09129_));
- sky130_fd_sc_hd__clkbuf_4 _14302_ (.A(_09129_),
+    .X(_09129_));
+ sky130_fd_sc_hd__a221o_1 _14293_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[53][0] ),
+    .A2(_09120_),
+    .B1(_09123_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[42][0] ),
+    .C1(_09129_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09130_));
- sky130_fd_sc_hd__nor2_1 _14303_ (.A(_09044_),
-    .B(_09036_),
+ sky130_fd_sc_hd__or4_1 _14294_ (.A(_09090_),
+    .B(_09103_),
+    .C(_09117_),
+    .D(_09130_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_09131_));
- sky130_fd_sc_hd__clkbuf_4 _14304_ (.A(_09131_),
+    .X(_09131_));
+ sky130_fd_sc_hd__or3_2 _14295_ (.A(_09024_),
+    .B(_09081_),
+    .C(_09131_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09132_));
- sky130_fd_sc_hd__nor2_1 _14305_ (.A(_08968_),
-    .B(_08946_),
+ sky130_fd_sc_hd__a2111o_1 _14296_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[31][0] ),
+    .A2(_08883_),
+    .B1(_08894_),
+    .C1(_08978_),
+    .D1(_09132_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_09133_));
- sky130_fd_sc_hd__buf_2 _14306_ (.A(_09133_),
+    .X(_09133_));
+ sky130_fd_sc_hd__o211a_2 _14297_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[0][0] ),
+    .A2(_08870_),
+    .B1(_09133_),
+    .C1(_05661_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09134_));
- sky130_fd_sc_hd__nor2_1 _14307_ (.A(_09032_),
-    .B(_09008_),
+ sky130_fd_sc_hd__nand3b_1 _14298_ (.A_N(_08855_),
+    .B(_05626_),
+    .C(_06084_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_09135_));
- sky130_fd_sc_hd__clkbuf_4 _14308_ (.A(_09135_),
+ sky130_fd_sc_hd__nor2_1 _14299_ (.A(_05651_),
+    .B(_09135_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09136_));
- sky130_fd_sc_hd__a22o_1 _14309_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[34][0] ),
-    .A2(_09134_),
+    .Y(_09136_));
+ sky130_fd_sc_hd__a22o_1 _14300_ (.A1(\u_usb_host.u_core.usb_ctrl_enable_sof_out_w ),
+    .A2(_06109_),
     .B1(_09136_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[62][0] ),
+    .B2(\u_usb_host.u_core.intr_sof_q ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09137_));
- sky130_fd_sc_hd__a221o_1 _14310_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[1][0] ),
-    .A2(_09130_),
-    .B1(_09132_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[35][0] ),
-    .C1(_09137_),
+ sky130_fd_sc_hd__clkbuf_1 _14301_ (.A(\u_usb_host.u_core.status_rx_count_w[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09138_));
- sky130_fd_sc_hd__nor2_1 _14311_ (.A(_08935_),
-    .B(_08993_),
+ sky130_fd_sc_hd__or2_2 _14302_ (.A(_05647_),
+    .B(_05650_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_09139_));
- sky130_fd_sc_hd__clkbuf_4 _14312_ (.A(_09139_),
+    .X(_09139_));
+ sky130_fd_sc_hd__nor2_1 _14303_ (.A(_09135_),
+    .B(_09139_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09140_));
- sky130_fd_sc_hd__nor2_1 _14313_ (.A(_09007_),
-    .B(_08993_),
+    .Y(_09140_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14304_ (.A(_09140_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_09141_));
- sky130_fd_sc_hd__clkbuf_4 _14314_ (.A(_09141_),
+    .X(_09141_));
+ sky130_fd_sc_hd__or3b_2 _14305_ (.A(_05626_),
+    .B(_08855_),
+    .C_N(_06084_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09142_));
- sky130_fd_sc_hd__nor2_1 _14315_ (.A(_08968_),
-    .B(_08990_),
+ sky130_fd_sc_hd__nor2_1 _14306_ (.A(_09139_),
+    .B(_09142_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_09143_));
- sky130_fd_sc_hd__buf_2 _14316_ (.A(_09143_),
+ sky130_fd_sc_hd__clkbuf_2 _14307_ (.A(_09143_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09144_));
- sky130_fd_sc_hd__nor2_1 _14317_ (.A(_09029_),
-    .B(_08990_),
+ sky130_fd_sc_hd__nor2_2 _14308_ (.A(_05651_),
+    .B(_09142_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_09145_));
- sky130_fd_sc_hd__clkbuf_4 _14318_ (.A(_09145_),
+ sky130_fd_sc_hd__nor2_1 _14309_ (.A(_05644_),
+    .B(_09139_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09146_));
- sky130_fd_sc_hd__a22o_1 _14319_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[36][0] ),
-    .A2(_09144_),
-    .B1(_09146_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[20][0] ),
+    .Y(_09146_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14310_ (.A(_09146_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09147_));
- sky130_fd_sc_hd__a221o_1 _14320_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[28][0] ),
-    .A2(_09140_),
-    .B1(_09142_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[12][0] ),
-    .C1(_09147_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09148_));
- sky130_fd_sc_hd__nor2_1 _14321_ (.A(_09025_),
-    .B(_09046_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_09149_));
- sky130_fd_sc_hd__clkbuf_4 _14322_ (.A(_09149_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09150_));
- sky130_fd_sc_hd__nor2_2 _14323_ (.A(_09032_),
-    .B(_08971_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_09151_));
- sky130_fd_sc_hd__clkbuf_4 _14324_ (.A(_09151_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09152_));
- sky130_fd_sc_hd__nor2_2 _14325_ (.A(_08940_),
-    .B(_09018_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_09153_));
- sky130_fd_sc_hd__clkbuf_2 _14326_ (.A(_09153_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09154_));
- sky130_fd_sc_hd__clkbuf_2 _14327_ (.A(_09154_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09155_));
- sky130_fd_sc_hd__nor2_2 _14328_ (.A(_08977_),
-    .B(_09039_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_09156_));
- sky130_fd_sc_hd__clkbuf_2 _14329_ (.A(_09156_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09157_));
- sky130_fd_sc_hd__clkbuf_2 _14330_ (.A(_09157_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09158_));
- sky130_fd_sc_hd__a22o_1 _14331_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[10][0] ),
-    .A2(_09155_),
-    .B1(_09158_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[25][0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09159_));
- sky130_fd_sc_hd__a221o_1 _14332_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[55][0] ),
-    .A2(_09150_),
-    .B1(_09152_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[59][0] ),
-    .C1(_09159_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09160_));
- sky130_fd_sc_hd__or4_1 _14333_ (.A(_09128_),
-    .B(_09138_),
-    .C(_09148_),
-    .D(_09160_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09161_));
- sky130_fd_sc_hd__or3_2 _14334_ (.A(_09071_),
-    .B(_09118_),
-    .C(_09161_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09162_));
- sky130_fd_sc_hd__a2111o_1 _14335_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[47][0] ),
-    .A2(_08932_),
-    .B1(_08944_),
-    .C1(_09024_),
-    .D1(_09162_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09163_));
- sky130_fd_sc_hd__o211a_2 _14336_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[0][0] ),
-    .A2(_08920_),
-    .B1(_09163_),
-    .C1(_05679_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09164_));
- sky130_fd_sc_hd__nand3b_1 _14337_ (.A_N(_08905_),
-    .B(_05645_),
-    .C(_06049_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_09165_));
- sky130_fd_sc_hd__nor2_1 _14338_ (.A(_05669_),
-    .B(_09165_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_09166_));
- sky130_fd_sc_hd__clkbuf_2 _14339_ (.A(\u_usb_host.u_core.status_rx_count_w[0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09167_));
- sky130_fd_sc_hd__or2_2 _14340_ (.A(_05665_),
-    .B(_05668_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09168_));
- sky130_fd_sc_hd__nor2_2 _14341_ (.A(_09165_),
-    .B(_09168_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_09169_));
- sky130_fd_sc_hd__nor2_1 _14342_ (.A(_05662_),
-    .B(_09168_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_09170_));
- sky130_fd_sc_hd__a22o_1 _14343_ (.A1(_09167_),
-    .A2(_09169_),
-    .B1(_09170_),
+ sky130_fd_sc_hd__a22o_1 _14311_ (.A1(\u_usb_host.u_core.u_sie.utmi_linestate_i[0] ),
+    .A2(_09145_),
+    .B1(_09147_),
     .B2(\u_usb_host.u_core.usb_irq_mask_sof_out_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09171_));
- sky130_fd_sc_hd__a21o_1 _14344_ (.A1(\u_usb_host.u_core.intr_sof_q ),
-    .A2(_09166_),
-    .B1(_09171_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09172_));
- sky130_fd_sc_hd__or3b_1 _14345_ (.A(_05645_),
-    .B(_08905_),
-    .C_N(_06049_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09173_));
- sky130_fd_sc_hd__nor2_1 _14346_ (.A(_05669_),
-    .B(_09173_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_09174_));
- sky130_fd_sc_hd__nor2_1 _14347_ (.A(_09168_),
-    .B(_09173_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_09175_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14348_ (.A(_09175_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09176_));
- sky130_fd_sc_hd__a22o_1 _14349_ (.A1(\u_usb_host.u_core.u_sie.utmi_linestate_i[0] ),
-    .A2(_09174_),
-    .B1(_09176_),
+    .X(_09148_));
+ sky130_fd_sc_hd__a221o_1 _14312_ (.A1(_09138_),
+    .A2(_09141_),
+    .B1(_09144_),
     .B2(\u_usb_host.u_core.u_sie.data_len_i[0] ),
+    .C1(_09148_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09177_));
- sky130_fd_sc_hd__a211o_1 _14350_ (.A1(\u_usb_host.u_core.usb_ctrl_enable_sof_out_w ),
-    .A2(_06074_),
-    .B1(_09172_),
-    .C1(_09177_),
+    .X(_09149_));
+ sky130_fd_sc_hd__or3_2 _14313_ (.A(_09134_),
+    .B(_09137_),
+    .C(_09149_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09178_));
- sky130_fd_sc_hd__or2_2 _14351_ (.A(_09164_),
-    .B(_09178_),
+    .X(_09150_));
+ sky130_fd_sc_hd__a22o_1 _14314_ (.A1(\u_usb_host.reg_rdata[0] ),
+    .A2(_08853_),
+    .B1(_08857_),
+    .B2(_09150_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09179_));
- sky130_fd_sc_hd__a22o_1 _14352_ (.A1(\u_usb_host.reg_rdata[0] ),
-    .A2(_08902_),
-    .B1(_08907_),
-    .B2(_09179_),
+    .X(_00712_));
+ sky130_fd_sc_hd__clkbuf_2 _14315_ (.A(_09141_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00720_));
- sky130_fd_sc_hd__clkbuf_1 _14353_ (.A(_09169_),
+    .X(_09151_));
+ sky130_fd_sc_hd__clkbuf_2 _14316_ (.A(_09144_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09180_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14354_ (.A(_09180_),
+    .X(_09152_));
+ sky130_fd_sc_hd__a22o_1 _14317_ (.A1(\u_usb_host.u_core.u_sie.utmi_linestate_i[1] ),
+    .A2(_09145_),
+    .B1(_09147_),
+    .B2(\u_usb_host.u_core.usb_irq_mask_done_out_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09181_));
- sky130_fd_sc_hd__buf_2 _14355_ (.A(_09181_),
+    .X(_09153_));
+ sky130_fd_sc_hd__a21o_1 _14318_ (.A1(\u_usb_host.u_core.u_sie.data_len_i[1] ),
+    .A2(_09152_),
+    .B1(_09153_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09182_));
- sky130_fd_sc_hd__clkbuf_2 _14356_ (.A(_09176_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09183_));
- sky130_fd_sc_hd__clkbuf_2 _14357_ (.A(_09170_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09184_));
- sky130_fd_sc_hd__buf_2 _14358_ (.A(_09174_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09185_));
- sky130_fd_sc_hd__a22o_1 _14359_ (.A1(\u_usb_host.u_core.usb_irq_mask_done_out_w ),
-    .A2(_09184_),
-    .B1(_09185_),
-    .B2(\u_usb_host.u_core.u_sie.utmi_linestate_i[1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09186_));
- sky130_fd_sc_hd__a21o_1 _14360_ (.A1(\u_usb_host.u_core.u_sie.data_len_i[1] ),
-    .A2(_09183_),
-    .B1(_09186_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09187_));
- sky130_fd_sc_hd__a22o_1 _14361_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[31][1] ),
-    .A2(_08939_),
-    .B1(_08943_),
+    .X(_09154_));
+ sky130_fd_sc_hd__a22o_1 _14319_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[31][1] ),
+    .A2(_08883_),
+    .B1(_08887_),
     .B2(\u_usb_host.u_core.u_fifo_rx.ram[15][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09188_));
- sky130_fd_sc_hd__clkbuf_4 _14362_ (.A(_09064_),
+    .X(_09155_));
+ sky130_fd_sc_hd__clkbuf_4 _14320_ (.A(_08961_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09189_));
- sky130_fd_sc_hd__a22o_1 _14363_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[26][1] ),
-    .A2(_09189_),
-    .B1(_09060_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[45][1] ),
+    .X(_09156_));
+ sky130_fd_sc_hd__a22o_1 _14321_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[25][1] ),
+    .A2(_08927_),
+    .B1(_09156_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[34][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09190_));
- sky130_fd_sc_hd__a221o_1 _14364_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[29][1] ),
-    .A2(_08982_),
-    .B1(_09097_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[21][1] ),
-    .C1(_09190_),
+    .X(_09157_));
+ sky130_fd_sc_hd__a221o_2 _14322_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[33][1] ),
+    .A2(_08975_),
+    .B1(_09007_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[22][1] ),
+    .C1(_09157_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09191_));
- sky130_fd_sc_hd__a22o_1 _14365_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[5][1] ),
-    .A2(_09004_),
-    .B1(_09053_),
+    .X(_09158_));
+ sky130_fd_sc_hd__buf_2 _14323_ (.A(_09055_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09159_));
+ sky130_fd_sc_hd__buf_4 _14324_ (.A(_08970_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09160_));
+ sky130_fd_sc_hd__a22o_1 _14325_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[43][1] ),
+    .A2(_09160_),
+    .B1(_09068_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[26][1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09161_));
+ sky130_fd_sc_hd__a211o_1 _14326_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[61][1] ),
+    .A2(_09159_),
+    .B1(_09161_),
+    .C1(_08939_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09162_));
+ sky130_fd_sc_hd__buf_2 _14327_ (.A(_09128_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09163_));
+ sky130_fd_sc_hd__clkbuf_4 _14328_ (.A(_09051_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09164_));
+ sky130_fd_sc_hd__a22o_1 _14329_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[46][1] ),
+    .A2(_09164_),
+    .B1(_09126_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[29][1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09165_));
+ sky130_fd_sc_hd__a221o_1 _14330_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[13][1] ),
+    .A2(_08945_),
+    .B1(_09163_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[57][1] ),
+    .C1(_09165_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09166_));
+ sky130_fd_sc_hd__a22o_1 _14331_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[1][1] ),
+    .A2(_09005_),
+    .B1(_09109_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[20][1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09167_));
+ sky130_fd_sc_hd__a221o_1 _14332_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[12][1] ),
+    .A2(_08915_),
+    .B1(_09120_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[53][1] ),
+    .C1(_09167_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09168_));
+ sky130_fd_sc_hd__or4_1 _14333_ (.A(_09158_),
+    .B(_09162_),
+    .C(_09166_),
+    .D(_09168_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09169_));
+ sky130_fd_sc_hd__a22o_1 _14334_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[60][1] ),
+    .A2(_09074_),
+    .B1(_09082_),
     .B2(\u_usb_host.u_core.u_fifo_rx.ram[32][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09192_));
- sky130_fd_sc_hd__a211o_1 _14366_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[8][1] ),
-    .A2(_09056_),
-    .B1(_09192_),
-    .C1(_08976_),
+    .X(_09170_));
+ sky130_fd_sc_hd__a221o_1 _14335_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[62][1] ),
+    .A2(_09042_),
+    .B1(_09064_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[58][1] ),
+    .C1(_09170_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09193_));
- sky130_fd_sc_hd__clkbuf_4 _14367_ (.A(_09076_),
+    .X(_09171_));
+ sky130_fd_sc_hd__clkbuf_4 _14336_ (.A(_08987_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09194_));
- sky130_fd_sc_hd__a22o_1 _14368_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[50][1] ),
-    .A2(_08949_),
-    .B1(_08996_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[44][1] ),
+    .X(_09172_));
+ sky130_fd_sc_hd__a22o_1 _14337_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[8][1] ),
+    .A2(_09172_),
+    .B1(_09098_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[16][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09195_));
- sky130_fd_sc_hd__a221o_1 _14369_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[57][1] ),
-    .A2(_09194_),
-    .B1(_09132_),
+    .X(_09173_));
+ sky130_fd_sc_hd__a221o_1 _14338_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[44][1] ),
+    .A2(_08935_),
+    .B1(_08994_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[28][1] ),
+    .C1(_09173_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09174_));
+ sky130_fd_sc_hd__a22o_1 _14339_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[3][1] ),
+    .A2(_08930_),
+    .B1(_09036_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[36][1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09175_));
+ sky130_fd_sc_hd__a221o_1 _14340_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[54][1] ),
+    .A2(_09034_),
+    .B1(_09072_),
     .B2(\u_usb_host.u_core.u_fifo_rx.ram[35][1] ),
-    .C1(_09195_),
+    .C1(_09175_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09196_));
- sky130_fd_sc_hd__clkbuf_4 _14370_ (.A(_09102_),
+    .X(_09176_));
+ sky130_fd_sc_hd__a2111o_1 _14341_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[63][1] ),
+    .A2(_08981_),
+    .B1(_09171_),
+    .C1(_09174_),
+    .D1(_09176_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09197_));
- sky130_fd_sc_hd__a22o_1 _14371_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[17][1] ),
-    .A2(_09031_),
-    .B1(_09197_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[13][1] ),
+    .X(_09177_));
+ sky130_fd_sc_hd__buf_2 _14342_ (.A(_09059_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09198_));
- sky130_fd_sc_hd__a221o_1 _14372_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[56][1] ),
-    .A2(_09080_),
-    .B1(_09110_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[30][1] ),
-    .C1(_09198_),
+    .X(_09178_));
+ sky130_fd_sc_hd__clkbuf_4 _14343_ (.A(_09084_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09199_));
- sky130_fd_sc_hd__or4_2 _14373_ (.A(_09191_),
-    .B(_09193_),
-    .C(_09196_),
-    .D(_09199_),
+    .X(_09179_));
+ sky130_fd_sc_hd__a22o_1 _14344_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[18][1] ),
+    .A2(_09178_),
+    .B1(_09179_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[4][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09200_));
- sky130_fd_sc_hd__a211o_1 _14374_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[47][1] ),
-    .A2(_08932_),
-    .B1(_09188_),
-    .C1(_09200_),
+    .X(_09180_));
+ sky130_fd_sc_hd__a221o_1 _14345_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[6][1] ),
+    .A2(_08902_),
+    .B1(_09021_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[56][1] ),
+    .C1(_09180_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09201_));
- sky130_fd_sc_hd__clkbuf_4 _14375_ (.A(_09106_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09202_));
- sky130_fd_sc_hd__a22o_1 _14376_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[9][1] ),
-    .A2(_09068_),
-    .B1(_09202_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[3][1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09203_));
- sky130_fd_sc_hd__a221o_1 _14377_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[19][1] ),
-    .A2(_09051_),
-    .B1(_09150_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[55][1] ),
-    .C1(_09203_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09204_));
- sky130_fd_sc_hd__a22o_1 _14378_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[4][1] ),
-    .A2(_08992_),
-    .B1(_09075_),
+    .X(_09181_));
+ sky130_fd_sc_hd__a22o_1 _14346_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[52][1] ),
+    .A2(_08920_),
+    .B1(_08955_),
     .B2(\u_usb_host.u_core.u_fifo_rx.ram[40][1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .X(_09182_));
+ sky130_fd_sc_hd__a221o_1 _14347_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[50][1] ),
+    .A2(_08949_),
+    .B1(_08986_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[37][1] ),
+    .C1(_09182_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09183_));
+ sky130_fd_sc_hd__a22o_1 _14348_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[9][1] ),
+    .A2(_08990_),
+    .B1(_09026_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[45][1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09184_));
+ sky130_fd_sc_hd__a221o_1 _14349_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[30][1] ),
+    .A2(_09058_),
+    .B1(_09088_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[55][1] ),
+    .C1(_09184_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09185_));
+ sky130_fd_sc_hd__a22o_1 _14350_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[49][1] ),
+    .A2(_09003_),
+    .B1(_09012_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[24][1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09186_));
+ sky130_fd_sc_hd__a221o_1 _14351_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[48][1] ),
+    .A2(_09011_),
+    .B1(_09106_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[2][1] ),
+    .C1(_09186_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09187_));
+ sky130_fd_sc_hd__or4_1 _14352_ (.A(_09181_),
+    .B(_09183_),
+    .C(_09185_),
+    .D(_09187_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09188_));
+ sky130_fd_sc_hd__a22o_1 _14353_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[17][1] ),
+    .A2(_09048_),
+    .B1(_09077_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[10][1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09189_));
+ sky130_fd_sc_hd__a221o_1 _14354_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[19][1] ),
+    .A2(_08966_),
+    .B1(_09091_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[23][1] ),
+    .C1(_09189_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09190_));
+ sky130_fd_sc_hd__a22o_1 _14355_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[11][1] ),
+    .A2(_09094_),
+    .B1(_09123_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[42][1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09191_));
+ sky130_fd_sc_hd__a221o_1 _14356_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[39][1] ),
+    .A2(_08999_),
+    .B1(_09046_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[59][1] ),
+    .C1(_09191_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09192_));
+ sky130_fd_sc_hd__a22o_1 _14357_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[51][1] ),
+    .A2(_08909_),
+    .B1(_08952_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[38][1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09193_));
+ sky130_fd_sc_hd__a221o_1 _14358_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[21][1] ),
+    .A2(_09017_),
+    .B1(_09031_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[14][1] ),
+    .C1(_09193_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09194_));
+ sky130_fd_sc_hd__clkbuf_4 _14359_ (.A(_09086_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09195_));
+ sky130_fd_sc_hd__a22o_1 _14360_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[5][1] ),
+    .A2(_09101_),
+    .B1(_09114_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[27][1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09196_));
+ sky130_fd_sc_hd__a221o_1 _14361_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[7][1] ),
+    .A2(_09195_),
+    .B1(_09112_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[41][1] ),
+    .C1(_09196_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09197_));
+ sky130_fd_sc_hd__or4_1 _14362_ (.A(_09190_),
+    .B(_09192_),
+    .C(_09194_),
+    .D(_09197_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09198_));
+ sky130_fd_sc_hd__or3_2 _14363_ (.A(_09177_),
+    .B(_09188_),
+    .C(_09198_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09199_));
+ sky130_fd_sc_hd__a2111o_1 _14364_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[47][1] ),
+    .A2(_08893_),
+    .B1(_09155_),
+    .C1(_09169_),
+    .D1(_09199_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09200_));
+ sky130_fd_sc_hd__o211a_4 _14365_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[0][1] ),
+    .A2(_08870_),
+    .B1(_09200_),
+    .C1(_05660_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09201_));
+ sky130_fd_sc_hd__a221o_1 _14366_ (.A1(\u_usb_host.u_core.usb_ctrl_phy_opmode_out_w[0] ),
+    .A2(_06109_),
+    .B1(_09136_),
+    .B2(\u_usb_host.u_core.intr_done_q ),
+    .C1(_09201_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09202_));
+ sky130_fd_sc_hd__a211o_1 _14367_ (.A1(_05263_),
+    .A2(_09151_),
+    .B1(_09154_),
+    .C1(_09202_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09203_));
+ sky130_fd_sc_hd__a22o_1 _14368_ (.A1(\u_usb_host.reg_rdata[1] ),
+    .A2(_08853_),
+    .B1(_08857_),
+    .B2(_09203_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00713_));
+ sky130_fd_sc_hd__a22o_1 _14369_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[31][2] ),
+    .A2(_08883_),
+    .B1(_08887_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[15][2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09204_));
+ sky130_fd_sc_hd__a22o_1 _14370_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[51][2] ),
+    .A2(_08910_),
+    .B1(_09060_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[18][2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .X(_09205_));
- sky130_fd_sc_hd__a221o_1 _14379_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[48][1] ),
-    .A2(_09014_),
-    .B1(_09063_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[54][1] ),
+ sky130_fd_sc_hd__a221o_1 _14371_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[34][2] ),
+    .A2(_08962_),
+    .B1(_09058_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[30][2] ),
     .C1(_09205_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09206_));
- sky130_fd_sc_hd__clkbuf_4 _14380_ (.A(_09089_),
+ sky130_fd_sc_hd__a22o_1 _14372_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[59][2] ),
+    .A2(_09046_),
+    .B1(_09112_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[41][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09207_));
- sky130_fd_sc_hd__a22o_1 _14381_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[42][1] ),
-    .A2(_09021_),
+ sky130_fd_sc_hd__a211o_1 _14373_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[25][2] ),
+    .A2(_08927_),
     .B1(_09207_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[24][1] ),
+    .C1(_08939_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09208_));
- sky130_fd_sc_hd__a221o_1 _14382_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[41][1] ),
-    .A2(_09041_),
-    .B1(_09035_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[58][1] ),
-    .C1(_09208_),
+ sky130_fd_sc_hd__clkbuf_4 _14374_ (.A(_09083_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09209_));
- sky130_fd_sc_hd__a2111o_1 _14383_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[63][1] ),
-    .A2(_09027_),
-    .B1(_09204_),
-    .C1(_09206_),
-    .D1(_09209_),
+ sky130_fd_sc_hd__a22o_1 _14375_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[32][2] ),
+    .A2(_09209_),
+    .B1(_09085_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[4][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09210_));
- sky130_fd_sc_hd__clkbuf_4 _14384_ (.A(_08972_),
+ sky130_fd_sc_hd__a221o_1 _14376_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[19][2] ),
+    .A2(_08966_),
+    .B1(_08975_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[33][2] ),
+    .C1(_09210_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09211_));
- sky130_fd_sc_hd__a22o_1 _14385_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[43][1] ),
-    .A2(_09211_),
-    .B1(_09129_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[1][1] ),
+ sky130_fd_sc_hd__a22o_1 _14377_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[58][2] ),
+    .A2(_09064_),
+    .B1(_09078_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[10][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09212_));
- sky130_fd_sc_hd__a221o_1 _14386_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[52][1] ),
-    .A2(_09093_),
-    .B1(_09088_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[46][1] ),
+ sky130_fd_sc_hd__a221o_1 _14378_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[17][2] ),
+    .A2(_09049_),
+    .B1(_09123_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[42][2] ),
     .C1(_09212_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09213_));
- sky130_fd_sc_hd__buf_2 _14387_ (.A(_09101_),
+ sky130_fd_sc_hd__or4_1 _14379_ (.A(_09206_),
+    .B(_09208_),
+    .C(_09211_),
+    .D(_09213_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09214_));
- sky130_fd_sc_hd__clkbuf_4 _14388_ (.A(_09133_),
+ sky130_fd_sc_hd__a22o_1 _14380_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[50][2] ),
+    .A2(_08949_),
+    .B1(_09013_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[24][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09215_));
- sky130_fd_sc_hd__a22o_1 _14389_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[51][1] ),
-    .A2(_09038_),
-    .B1(_09112_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[37][1] ),
+ sky130_fd_sc_hd__a221o_1 _14381_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[44][2] ),
+    .A2(_08935_),
+    .B1(_09018_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[21][2] ),
+    .C1(_09215_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09216_));
- sky130_fd_sc_hd__a221o_1 _14390_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[61][1] ),
-    .A2(_09214_),
-    .B1(_09215_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[34][1] ),
-    .C1(_09216_),
+ sky130_fd_sc_hd__a22o_1 _14382_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[40][2] ),
+    .A2(_08956_),
+    .B1(_09098_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[16][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09217_));
- sky130_fd_sc_hd__a22o_1 _14391_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[2][1] ),
-    .A2(_09123_),
-    .B1(_09140_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[28][1] ),
+ sky130_fd_sc_hd__a221o_1 _14383_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[6][2] ),
+    .A2(_08902_),
+    .B1(_09007_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[22][2] ),
+    .C1(_09217_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09218_));
- sky130_fd_sc_hd__a221o_1 _14392_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[14][1] ),
-    .A2(_09010_),
-    .B1(_09085_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[6][1] ),
-    .C1(_09218_),
+ sky130_fd_sc_hd__a22o_1 _14384_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[11][2] ),
+    .A2(_09095_),
+    .B1(_09115_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[27][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09219_));
- sky130_fd_sc_hd__a22o_1 _14393_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[16][1] ),
-    .A2(_09115_),
-    .B1(_09142_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[12][1] ),
+ sky130_fd_sc_hd__a221o_1 _14385_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[14][2] ),
+    .A2(_09031_),
+    .B1(_09195_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[7][2] ),
+    .C1(_09219_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09220_));
- sky130_fd_sc_hd__a221o_1 _14394_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[18][1] ),
-    .A2(_08999_),
-    .B1(_09144_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[36][1] ),
-    .C1(_09220_),
+ sky130_fd_sc_hd__a2111o_1 _14386_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[63][2] ),
+    .A2(_08982_),
+    .B1(_09216_),
+    .C1(_09218_),
+    .D1(_09220_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09221_));
- sky130_fd_sc_hd__or4_1 _14395_ (.A(_09213_),
-    .B(_09217_),
-    .C(_09219_),
-    .D(_09221_),
+ sky130_fd_sc_hd__a22o_1 _14387_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[36][2] ),
+    .A2(_09036_),
+    .B1(_09108_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[20][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09222_));
- sky130_fd_sc_hd__a22o_1 _14396_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[60][1] ),
-    .A2(_09073_),
-    .B1(_09119_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[7][1] ),
+ sky130_fd_sc_hd__a221o_1 _14388_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[48][2] ),
+    .A2(_09011_),
+    .B1(_09027_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[45][2] ),
+    .C1(_09222_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09223_));
- sky130_fd_sc_hd__a221o_1 _14397_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[39][1] ),
-    .A2(_09047_),
-    .B1(_09126_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[11][1] ),
-    .C1(_09223_),
+ sky130_fd_sc_hd__a22o_1 _14389_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[49][2] ),
+    .A2(_09003_),
+    .B1(_09101_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[5][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09224_));
- sky130_fd_sc_hd__a22o_1 _14398_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[22][1] ),
-    .A2(_08960_),
-    .B1(_09017_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[53][1] ),
+ sky130_fd_sc_hd__a221o_1 _14390_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[46][2] ),
+    .A2(_09164_),
+    .B1(_09075_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[60][2] ),
+    .C1(_09224_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09225_));
- sky130_fd_sc_hd__a221o_1 _14399_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[49][1] ),
-    .A2(_08965_),
-    .B1(_09136_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[62][1] ),
-    .C1(_09225_),
+ sky130_fd_sc_hd__a22o_1 _14391_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[9][2] ),
+    .A2(_08991_),
+    .B1(_09128_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[57][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09226_));
- sky130_fd_sc_hd__a22o_1 _14400_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[38][1] ),
-    .A2(_08958_),
-    .B1(_08984_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[33][1] ),
+ sky130_fd_sc_hd__a221o_1 _14392_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[12][2] ),
+    .A2(_08915_),
+    .B1(_09120_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[53][2] ),
+    .C1(_09226_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09227_));
- sky130_fd_sc_hd__a221o_1 _14401_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[27][1] ),
-    .A2(_09100_),
-    .B1(_09146_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[20][1] ),
-    .C1(_09227_),
+ sky130_fd_sc_hd__a22o_1 _14393_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[28][2] ),
+    .A2(_08994_),
+    .B1(_08988_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[8][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09228_));
- sky130_fd_sc_hd__buf_4 _14402_ (.A(_09124_),
+ sky130_fd_sc_hd__a221o_1 _14394_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[43][2] ),
+    .A2(_08971_),
+    .B1(_09072_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[35][2] ),
+    .C1(_09228_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09229_));
- sky130_fd_sc_hd__a22o_1 _14403_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[10][1] ),
-    .A2(_09155_),
-    .B1(_09152_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[59][1] ),
+ sky130_fd_sc_hd__or4_1 _14395_ (.A(_09223_),
+    .B(_09225_),
+    .C(_09227_),
+    .D(_09229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09230_));
- sky130_fd_sc_hd__a221o_1 _14404_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[23][1] ),
-    .A2(_09229_),
-    .B1(_09158_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[25][1] ),
-    .C1(_09230_),
+ sky130_fd_sc_hd__clkbuf_4 _14396_ (.A(_09004_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09231_));
- sky130_fd_sc_hd__or4_2 _14405_ (.A(_09224_),
-    .B(_09226_),
-    .C(_09228_),
-    .D(_09231_),
+ sky130_fd_sc_hd__buf_2 _14397_ (.A(_09087_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09232_));
- sky130_fd_sc_hd__or3_2 _14406_ (.A(_09210_),
-    .B(_09222_),
-    .C(_09232_),
+ sky130_fd_sc_hd__a22o_1 _14398_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[3][2] ),
+    .A2(_08930_),
+    .B1(_09034_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[54][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09233_));
- sky130_fd_sc_hd__o221a_4 _14407_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[0][1] ),
-    .A2(_08920_),
-    .B1(_09201_),
-    .B2(_09233_),
-    .C1(_05678_),
+ sky130_fd_sc_hd__a221o_1 _14399_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[1][2] ),
+    .A2(_09231_),
+    .B1(_09232_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[55][2] ),
+    .C1(_09233_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09234_));
- sky130_fd_sc_hd__a221o_1 _14408_ (.A1(\u_usb_host.u_core.usb_ctrl_phy_opmode_out_w[0] ),
-    .A2(_06074_),
-    .B1(_09166_),
-    .B2(\u_usb_host.u_core.intr_done_q ),
-    .C1(_09234_),
+ sky130_fd_sc_hd__a22o_1 _14400_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[37][2] ),
+    .A2(_08986_),
+    .B1(_09068_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[26][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09235_));
- sky130_fd_sc_hd__a211o_1 _14409_ (.A1(_05283_),
-    .A2(_09182_),
-    .B1(_09187_),
+ sky130_fd_sc_hd__a221o_1 _14401_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[38][2] ),
+    .A2(_08952_),
+    .B1(_09106_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[2][2] ),
     .C1(_09235_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09236_));
- sky130_fd_sc_hd__a22o_1 _14410_ (.A1(\u_usb_host.reg_rdata[1] ),
-    .A2(_08902_),
-    .B1(_08907_),
-    .B2(_09236_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00721_));
- sky130_fd_sc_hd__a22o_1 _14411_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[31][2] ),
-    .A2(_08939_),
-    .B1(_08943_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[15][2] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09237_));
- sky130_fd_sc_hd__a22o_1 _14412_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[43][2] ),
-    .A2(_08973_),
-    .B1(_08982_),
+ sky130_fd_sc_hd__a22o_1 _14402_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[52][2] ),
+    .A2(_08920_),
+    .B1(_09126_),
     .B2(\u_usb_host.u_core.u_fifo_rx.ram[29][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .X(_09237_));
+ sky130_fd_sc_hd__a221o_1 _14403_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[39][2] ),
+    .A2(_09000_),
+    .B1(_09042_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[62][2] ),
+    .C1(_09237_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .X(_09238_));
- sky130_fd_sc_hd__a221o_1 _14413_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[6][2] ),
-    .A2(_09085_),
-    .B1(_09126_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[11][2] ),
-    .C1(_09238_),
+ sky130_fd_sc_hd__a22o_1 _14404_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[13][2] ),
+    .A2(_08944_),
+    .B1(_09054_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[61][2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09239_));
- sky130_fd_sc_hd__a22o_1 _14414_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[42][2] ),
+ sky130_fd_sc_hd__a221o_1 _14405_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[56][2] ),
     .A2(_09021_),
-    .B1(_09123_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[2][2] ),
+    .B1(_09092_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[23][2] ),
+    .C1(_09239_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09240_));
- sky130_fd_sc_hd__a211o_1 _14415_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[12][2] ),
-    .A2(_09142_),
-    .B1(_09240_),
-    .C1(_08976_),
+ sky130_fd_sc_hd__or4_1 _14406_ (.A(_09234_),
+    .B(_09236_),
+    .C(_09238_),
+    .D(_09240_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09241_));
- sky130_fd_sc_hd__a22o_1 _14416_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[39][2] ),
-    .A2(_09048_),
-    .B1(_09207_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[24][2] ),
+ sky130_fd_sc_hd__or3_2 _14407_ (.A(_09221_),
+    .B(_09230_),
+    .C(_09241_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09242_));
- sky130_fd_sc_hd__a221o_1 _14417_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[56][2] ),
-    .A2(_09080_),
-    .B1(_09110_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[30][2] ),
-    .C1(_09242_),
+ sky130_fd_sc_hd__a2111o_1 _14408_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[47][2] ),
+    .A2(_08893_),
+    .B1(_09204_),
+    .C1(_09214_),
+    .D1(_09242_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09243_));
- sky130_fd_sc_hd__clkbuf_4 _14418_ (.A(_09214_),
+ sky130_fd_sc_hd__o211a_2 _14409_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[0][2] ),
+    .A2(_08870_),
+    .B1(_09243_),
+    .C1(_05661_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09244_));
- sky130_fd_sc_hd__a22o_1 _14419_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[18][2] ),
-    .A2(_08999_),
-    .B1(_09144_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[36][2] ),
+ sky130_fd_sc_hd__a22o_1 _14410_ (.A1(\u_usb_host.u_core.usb_ctrl_phy_opmode_out_w[1] ),
+    .A2(_05653_),
+    .B1(_09136_),
+    .B2(\u_usb_host.u_core.intr_err_q ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09245_));
- sky130_fd_sc_hd__a221o_1 _14420_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[61][2] ),
-    .A2(_09244_),
-    .B1(_09107_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[3][2] ),
-    .C1(_09245_),
+ sky130_fd_sc_hd__a22o_1 _14411_ (.A1(\u_usb_host.u_core.usb_err_q ),
+    .A2(_09145_),
+    .B1(_09146_),
+    .B2(\u_usb_host.u_core.usb_irq_mask_err_out_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09246_));
- sky130_fd_sc_hd__or4_2 _14421_ (.A(_09239_),
-    .B(_09241_),
-    .C(_09243_),
-    .D(_09246_),
+ sky130_fd_sc_hd__a221o_1 _14412_ (.A1(_05265_),
+    .A2(_09141_),
+    .B1(_09144_),
+    .B2(\u_usb_host.u_core.u_sie.data_len_i[2] ),
+    .C1(_09246_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09247_));
- sky130_fd_sc_hd__a211o_1 _14422_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[47][2] ),
-    .A2(_08932_),
-    .B1(_09237_),
-    .C1(_09247_),
+ sky130_fd_sc_hd__or3_2 _14413_ (.A(_09244_),
+    .B(_09245_),
+    .C(_09247_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09248_));
- sky130_fd_sc_hd__a22o_1 _14423_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[44][2] ),
-    .A2(_08996_),
-    .B1(_09146_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[20][2] ),
+ sky130_fd_sc_hd__a22o_1 _14414_ (.A1(\u_usb_host.reg_rdata[2] ),
+    .A2(_08853_),
+    .B1(_08857_),
+    .B2(_09248_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00714_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14415_ (.A(_09143_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09249_));
- sky130_fd_sc_hd__a221o_1 _14424_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[58][2] ),
-    .A2(_09035_),
-    .B1(_09150_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[55][2] ),
-    .C1(_09249_),
+ sky130_fd_sc_hd__clkbuf_2 _14416_ (.A(_09140_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09250_));
- sky130_fd_sc_hd__a22o_1 _14425_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[49][2] ),
-    .A2(_08965_),
-    .B1(_08984_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[33][2] ),
+ sky130_fd_sc_hd__buf_2 _14417_ (.A(_09000_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09251_));
- sky130_fd_sc_hd__a221o_1 _14426_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[22][2] ),
-    .A2(_08960_),
-    .B1(_09014_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[48][2] ),
-    .C1(_09251_),
+ sky130_fd_sc_hd__clkbuf_2 _14418_ (.A(_09092_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09252_));
- sky130_fd_sc_hd__buf_2 _14427_ (.A(_09120_),
+ sky130_fd_sc_hd__buf_2 _14419_ (.A(_09195_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09253_));
- sky130_fd_sc_hd__a22o_1 _14428_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[8][2] ),
-    .A2(_09056_),
-    .B1(_09140_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[28][2] ),
+ sky130_fd_sc_hd__buf_2 _14420_ (.A(_09010_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09254_));
- sky130_fd_sc_hd__a221o_1 _14429_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[46][2] ),
-    .A2(_09088_),
-    .B1(_09253_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[7][2] ),
-    .C1(_09254_),
+ sky130_fd_sc_hd__a22o_1 _14421_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[48][3] ),
+    .A2(_09254_),
+    .B1(_09085_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[4][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09255_));
- sky130_fd_sc_hd__a2111o_1 _14430_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[63][2] ),
-    .A2(_09028_),
-    .B1(_09250_),
-    .C1(_09252_),
-    .D1(_09255_),
+ sky130_fd_sc_hd__a221o_1 _14422_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[43][3] ),
+    .A2(_08971_),
+    .B1(_08981_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[63][3] ),
+    .C1(_09255_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09256_));
- sky130_fd_sc_hd__a22o_1 _14431_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[17][2] ),
-    .A2(_09031_),
-    .B1(_09152_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[59][2] ),
+ sky130_fd_sc_hd__a22o_1 _14423_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[8][3] ),
+    .A2(_08988_),
+    .B1(_09060_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[18][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09257_));
- sky130_fd_sc_hd__a221o_1 _14432_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[60][2] ),
-    .A2(_09073_),
-    .B1(_09112_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[37][2] ),
+ sky130_fd_sc_hd__a221o_1 _14424_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[34][3] ),
+    .A2(_08962_),
+    .B1(_09014_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[24][3] ),
     .C1(_09257_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09258_));
- sky130_fd_sc_hd__a22o_1 _14433_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[13][2] ),
-    .A2(_09197_),
-    .B1(_09130_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[1][2] ),
+ sky130_fd_sc_hd__clkbuf_2 _14425_ (.A(_09006_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09259_));
- sky130_fd_sc_hd__a221o_1 _14434_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[51][2] ),
-    .A2(_09038_),
-    .B1(_09093_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[52][2] ),
-    .C1(_09259_),
+ sky130_fd_sc_hd__a22o_1 _14426_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[44][3] ),
+    .A2(_08934_),
+    .B1(_08948_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[50][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09260_));
- sky130_fd_sc_hd__a22o_1 _14435_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[38][2] ),
-    .A2(_08958_),
-    .B1(_09060_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[45][2] ),
+ sky130_fd_sc_hd__a211o_1 _14427_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[22][3] ),
+    .A2(_09259_),
+    .B1(_09260_),
+    .C1(_08938_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09261_));
- sky130_fd_sc_hd__a221o_1 _14436_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[5][2] ),
-    .A2(_09004_),
-    .B1(_09132_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[35][2] ),
+ sky130_fd_sc_hd__a221o_1 _14428_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[31][3] ),
+    .A2(_08882_),
+    .B1(_09232_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[55][3] ),
     .C1(_09261_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09262_));
- sky130_fd_sc_hd__a22o_1 _14437_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[26][2] ),
-    .A2(_09065_),
-    .B1(_09215_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[34][2] ),
+ sky130_fd_sc_hd__a2111o_1 _14429_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[7][3] ),
+    .A2(_09253_),
+    .B1(_09256_),
+    .C1(_09258_),
+    .D1(_09262_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09263_));
- sky130_fd_sc_hd__a221o_1 _14438_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[53][2] ),
-    .A2(_09017_),
-    .B1(_09075_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[40][2] ),
+ sky130_fd_sc_hd__a221o_2 _14430_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[39][3] ),
+    .A2(_09251_),
+    .B1(_09252_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[23][3] ),
     .C1(_09263_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09264_));
- sky130_fd_sc_hd__or4_1 _14439_ (.A(_09258_),
-    .B(_09260_),
-    .C(_09262_),
-    .D(_09264_),
+ sky130_fd_sc_hd__a22o_1 _14431_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[3][3] ),
+    .A2(_08931_),
+    .B1(_09069_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[26][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09265_));
- sky130_fd_sc_hd__a22o_1 _14440_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[32][2] ),
-    .A2(_09053_),
-    .B1(_09063_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[54][2] ),
+ sky130_fd_sc_hd__a221o_1 _14432_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[61][3] ),
+    .A2(_09159_),
+    .B1(_09209_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[32][3] ),
+    .C1(_09265_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09266_));
- sky130_fd_sc_hd__a221o_1 _14441_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[19][2] ),
-    .A2(_09051_),
-    .B1(_09229_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[23][2] ),
-    .C1(_09266_),
+ sky130_fd_sc_hd__a22o_1 _14433_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[13][3] ),
+    .A2(_08945_),
+    .B1(_09027_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[45][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09267_));
- sky130_fd_sc_hd__a22o_1 _14442_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[50][2] ),
-    .A2(_08949_),
-    .B1(_09115_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[16][2] ),
+ sky130_fd_sc_hd__a22o_1 _14434_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[9][3] ),
+    .A2(_08991_),
+    .B1(_09125_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[29][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09268_));
- sky130_fd_sc_hd__a221o_1 _14443_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[4][2] ),
-    .A2(_08992_),
-    .B1(_09068_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[9][2] ),
+ sky130_fd_sc_hd__a221o_1 _14435_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[14][3] ),
+    .A2(_09030_),
+    .B1(_09163_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[57][3] ),
     .C1(_09268_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09269_));
- sky130_fd_sc_hd__a22o_1 _14444_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[57][2] ),
-    .A2(_09194_),
-    .B1(_09100_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[27][2] ),
+ sky130_fd_sc_hd__a22o_1 _14436_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[28][3] ),
+    .A2(_08993_),
+    .B1(_08985_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[37][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09270_));
- sky130_fd_sc_hd__a221o_1 _14445_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[14][2] ),
-    .A2(_09010_),
-    .B1(_09097_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[21][2] ),
+ sky130_fd_sc_hd__a221o_1 _14437_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[21][3] ),
+    .A2(_09018_),
+    .B1(_09071_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[35][3] ),
     .C1(_09270_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09271_));
- sky130_fd_sc_hd__a22o_1 _14446_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[10][2] ),
-    .A2(_09155_),
-    .B1(_09158_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[25][2] ),
+ sky130_fd_sc_hd__a2111o_1 _14438_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[1][3] ),
+    .A2(_09231_),
+    .B1(_09267_),
+    .C1(_09269_),
+    .D1(_09271_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09272_));
- sky130_fd_sc_hd__a221o_1 _14447_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[41][2] ),
-    .A2(_09041_),
-    .B1(_09136_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[62][2] ),
-    .C1(_09272_),
+ sky130_fd_sc_hd__a22o_1 _14439_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[6][3] ),
+    .A2(_08901_),
+    .B1(_09105_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[2][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09273_));
- sky130_fd_sc_hd__or4_1 _14448_ (.A(_09267_),
-    .B(_09269_),
-    .C(_09271_),
-    .D(_09273_),
+ sky130_fd_sc_hd__a221o_1 _14440_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[12][3] ),
+    .A2(_08914_),
+    .B1(_08910_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[51][3] ),
+    .C1(_09273_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09274_));
- sky130_fd_sc_hd__or3_2 _14449_ (.A(_09256_),
-    .B(_09265_),
-    .C(_09274_),
+ sky130_fd_sc_hd__a22o_1 _14441_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[54][3] ),
+    .A2(_09033_),
+    .B1(_09119_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[53][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09275_));
- sky130_fd_sc_hd__o221a_2 _14450_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[0][2] ),
-    .A2(_08920_),
-    .B1(_09248_),
-    .B2(_09275_),
-    .C1(_05679_),
+ sky130_fd_sc_hd__a221o_1 _14442_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[17][3] ),
+    .A2(_09049_),
+    .B1(_09111_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[41][3] ),
+    .C1(_09275_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09276_));
- sky130_fd_sc_hd__a22o_1 _14451_ (.A1(\u_usb_host.u_core.usb_ctrl_phy_opmode_out_w[1] ),
-    .A2(_05671_),
-    .B1(_09166_),
-    .B2(\u_usb_host.u_core.intr_err_q ),
+ sky130_fd_sc_hd__a22o_1 _14443_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[16][3] ),
+    .A2(_09097_),
+    .B1(_09122_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[42][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09277_));
- sky130_fd_sc_hd__clkbuf_1 _14452_ (.A(\u_usb_host.u_core.status_rx_count_w[2] ),
+ sky130_fd_sc_hd__a221o_1 _14444_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[62][3] ),
+    .A2(_09041_),
+    .B1(_09075_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[60][3] ),
+    .C1(_09277_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09278_));
- sky130_fd_sc_hd__buf_2 _14453_ (.A(_09169_),
+ sky130_fd_sc_hd__a22o_1 _14445_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[59][3] ),
+    .A2(_09045_),
+    .B1(_09114_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[27][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09279_));
- sky130_fd_sc_hd__a22o_1 _14454_ (.A1(\u_usb_host.u_core.usb_irq_mask_err_out_w ),
-    .A2(_09184_),
-    .B1(_09175_),
-    .B2(\u_usb_host.u_core.u_sie.data_len_i[2] ),
+ sky130_fd_sc_hd__a221o_1 _14446_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[25][3] ),
+    .A2(_08926_),
+    .B1(_09078_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[10][3] ),
+    .C1(_09279_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09280_));
- sky130_fd_sc_hd__a221o_1 _14455_ (.A1(_09278_),
-    .A2(_09279_),
-    .B1(_09185_),
-    .B2(\u_usb_host.u_core.usb_err_q ),
-    .C1(_09280_),
+ sky130_fd_sc_hd__or4_1 _14447_ (.A(_09274_),
+    .B(_09276_),
+    .C(_09278_),
+    .D(_09280_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09281_));
- sky130_fd_sc_hd__or3_2 _14456_ (.A(_09276_),
-    .B(_09277_),
-    .C(_09281_),
+ sky130_fd_sc_hd__a22o_1 _14448_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[38][3] ),
+    .A2(_08951_),
+    .B1(_09002_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[49][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09282_));
- sky130_fd_sc_hd__a22o_1 _14457_ (.A1(\u_usb_host.reg_rdata[2] ),
-    .A2(_08902_),
-    .B1(_08907_),
-    .B2(_09282_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00722_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14458_ (.A(_09176_),
+ sky130_fd_sc_hd__a221o_1 _14449_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[33][3] ),
+    .A2(_08974_),
+    .B1(_09095_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[11][3] ),
+    .C1(_09282_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09283_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14459_ (.A(\u_usb_host.u_core.status_rx_count_w[3] ),
+ sky130_fd_sc_hd__a22o_1 _14450_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[56][3] ),
+    .A2(_09020_),
+    .B1(_09100_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[5][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09284_));
- sky130_fd_sc_hd__clkbuf_2 _14460_ (.A(_09048_),
+ sky130_fd_sc_hd__a221o_1 _14451_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[40][3] ),
+    .A2(_08956_),
+    .B1(_09063_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[58][3] ),
+    .C1(_09284_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09285_));
- sky130_fd_sc_hd__clkbuf_2 _14461_ (.A(_09229_),
+ sky130_fd_sc_hd__a22o_1 _14452_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[15][3] ),
+    .A2(_08886_),
+    .B1(_08919_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[52][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09286_));
- sky130_fd_sc_hd__buf_2 _14462_ (.A(_08991_),
+ sky130_fd_sc_hd__a221o_1 _14453_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[47][3] ),
+    .A2(_08892_),
+    .B1(_09164_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[46][3] ),
+    .C1(_09286_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09287_));
- sky130_fd_sc_hd__a22o_1 _14463_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[4][3] ),
-    .A2(_09287_),
-    .B1(_09013_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[48][3] ),
+ sky130_fd_sc_hd__a22o_1 _14454_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[19][3] ),
+    .A2(_08965_),
+    .B1(_09057_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[30][3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09288_));
- sky130_fd_sc_hd__a221o_1 _14464_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[43][3] ),
-    .A2(_08973_),
-    .B1(_09027_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[63][3] ),
+ sky130_fd_sc_hd__a221o_1 _14455_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[36][3] ),
+    .A2(_09037_),
+    .B1(_09109_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[20][3] ),
     .C1(_09288_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09289_));
- sky130_fd_sc_hd__buf_2 _14465_ (.A(_09055_),
+ sky130_fd_sc_hd__or4_1 _14456_ (.A(_09283_),
+    .B(_09285_),
+    .C(_09287_),
+    .D(_09289_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09290_));
- sky130_fd_sc_hd__a22o_1 _14466_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[18][3] ),
-    .A2(_08998_),
-    .B1(_09290_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[8][3] ),
+ sky130_fd_sc_hd__or4_2 _14457_ (.A(_09266_),
+    .B(_09272_),
+    .C(_09281_),
+    .D(_09290_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09291_));
- sky130_fd_sc_hd__a221o_1 _14467_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[24][3] ),
-    .A2(_09207_),
-    .B1(_09215_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[34][3] ),
-    .C1(_09291_),
+ sky130_fd_sc_hd__o221a_4 _14458_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[0][3] ),
+    .A2(_08869_),
+    .B1(_09264_),
+    .B2(_09291_),
+    .C1(_05659_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09292_));
- sky130_fd_sc_hd__clkbuf_4 _14468_ (.A(_09149_),
+ sky130_fd_sc_hd__a221o_1 _14459_ (.A1(\u_usb_host.u_core.usb_ctrl_phy_xcvrselect_out_w[0] ),
+    .A2(_05653_),
+    .B1(_09250_),
+    .B2(_05264_),
+    .C1(_09292_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09293_));
- sky130_fd_sc_hd__clkbuf_4 _14469_ (.A(_08959_),
+ sky130_fd_sc_hd__a221o_2 _14460_ (.A1(\u_usb_host.u_core.u_sie.data_len_i[3] ),
+    .A2(_09249_),
+    .B1(_09147_),
+    .B2(\u_usb_host.u_core.usb_irq_mask_device_detect_out_w ),
+    .C1(_09293_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09294_));
- sky130_fd_sc_hd__a22o_1 _14470_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[50][3] ),
-    .A2(_08948_),
-    .B1(_08995_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[44][3] ),
+ sky130_fd_sc_hd__nor2_8 _14461_ (.A(_06116_),
+    .B(_06845_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09295_));
- sky130_fd_sc_hd__a211o_1 _14471_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[22][3] ),
-    .A2(_09294_),
-    .B1(_08975_),
-    .C1(_09295_),
+    .Y(_09295_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14462_ (.A(_09295_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09296_));
- sky130_fd_sc_hd__a221o_1 _14472_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[31][3] ),
-    .A2(_08938_),
-    .B1(_09293_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[55][3] ),
-    .C1(_09296_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14463_ (.A(_09296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09297_));
- sky130_fd_sc_hd__a2111o_1 _14473_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[7][3] ),
-    .A2(_09253_),
-    .B1(_09289_),
-    .C1(_09292_),
-    .D1(_09297_),
+ sky130_fd_sc_hd__mux2_1 _14464_ (.A0(\u_usb_host.reg_rdata[3] ),
+    .A1(_09294_),
+    .S(_09297_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09298_));
- sky130_fd_sc_hd__a221o_2 _14474_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[39][3] ),
-    .A2(_09285_),
-    .B1(_09286_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[23][3] ),
-    .C1(_09298_),
+ sky130_fd_sc_hd__clkbuf_1 _14465_ (.A(_09298_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00715_));
+ sky130_fd_sc_hd__clkbuf_2 _14466_ (.A(_09297_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09299_));
- sky130_fd_sc_hd__clkbuf_2 _14475_ (.A(_09052_),
+ sky130_fd_sc_hd__a22o_1 _14467_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[15][4] ),
+    .A2(_08887_),
+    .B1(_08893_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[47][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09300_));
- sky130_fd_sc_hd__a22o_1 _14476_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[26][3] ),
-    .A2(_09065_),
-    .B1(_09107_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[3][3] ),
+ sky130_fd_sc_hd__a22o_1 _14468_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[36][4] ),
+    .A2(_09037_),
+    .B1(_09164_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[46][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09301_));
- sky130_fd_sc_hd__a221o_1 _14477_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[32][3] ),
-    .A2(_09300_),
-    .B1(_09244_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[61][3] ),
+ sky130_fd_sc_hd__a221o_1 _14469_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[19][4] ),
+    .A2(_08966_),
+    .B1(_09034_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[54][4] ),
     .C1(_09301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09302_));
- sky130_fd_sc_hd__buf_2 _14478_ (.A(_09130_),
+ sky130_fd_sc_hd__a22o_1 _14470_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[44][4] ),
+    .A2(_08935_),
+    .B1(_09085_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[4][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09303_));
- sky130_fd_sc_hd__buf_2 _14479_ (.A(_09059_),
+ sky130_fd_sc_hd__a211o_1 _14471_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[32][4] ),
+    .A2(_09209_),
+    .B1(_09303_),
+    .C1(_08939_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09304_));
- sky130_fd_sc_hd__a22o_1 _14480_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[45][3] ),
-    .A2(_09304_),
-    .B1(_09197_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[13][3] ),
+ sky130_fd_sc_hd__a22o_1 _14472_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[52][4] ),
+    .A2(_08920_),
+    .B1(_08949_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[50][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09305_));
- sky130_fd_sc_hd__buf_2 _14481_ (.A(_09009_),
+ sky130_fd_sc_hd__a221o_1 _14473_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[16][4] ),
+    .A2(_09098_),
+    .B1(_09109_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[20][4] ),
+    .C1(_09305_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09306_));
- sky130_fd_sc_hd__a22o_1 _14482_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[29][3] ),
-    .A2(_08981_),
-    .B1(_09067_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[9][3] ),
+ sky130_fd_sc_hd__a22o_2 _14474_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[6][4] ),
+    .A2(_08902_),
+    .B1(_09231_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[1][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09307_));
- sky130_fd_sc_hd__a221o_1 _14483_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[14][3] ),
-    .A2(_09306_),
-    .B1(_09194_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[57][3] ),
+ sky130_fd_sc_hd__a221o_1 _14475_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[13][4] ),
+    .A2(_08945_),
+    .B1(_09027_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[45][4] ),
     .C1(_09307_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09308_));
- sky130_fd_sc_hd__clkbuf_4 _14484_ (.A(_09096_),
+ sky130_fd_sc_hd__or4_2 _14476_ (.A(_09302_),
+    .B(_09304_),
+    .C(_09306_),
+    .D(_09308_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09309_));
- sky130_fd_sc_hd__clkbuf_4 _14485_ (.A(_09131_),
+ sky130_fd_sc_hd__a22o_1 _14477_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[59][4] ),
+    .A2(_09046_),
+    .B1(_09163_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[57][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09310_));
- sky130_fd_sc_hd__clkbuf_4 _14486_ (.A(_09111_),
+ sky130_fd_sc_hd__a221o_1 _14478_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[39][4] ),
+    .A2(_09251_),
+    .B1(_09159_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[61][4] ),
+    .C1(_09310_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09311_));
- sky130_fd_sc_hd__clkbuf_4 _14487_ (.A(_09139_),
+ sky130_fd_sc_hd__a22o_1 _14479_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[11][4] ),
+    .A2(_09095_),
+    .B1(_09106_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[2][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09312_));
- sky130_fd_sc_hd__a22o_1 _14488_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[37][3] ),
-    .A2(_09311_),
-    .B1(_09312_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[28][3] ),
+ sky130_fd_sc_hd__a221o_1 _14480_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[3][4] ),
+    .A2(_08931_),
+    .B1(_09123_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[42][4] ),
+    .C1(_09312_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09313_));
- sky130_fd_sc_hd__a221o_1 _14489_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[21][3] ),
-    .A2(_09309_),
-    .B1(_09310_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[35][3] ),
-    .C1(_09313_),
+ sky130_fd_sc_hd__a22o_1 _14481_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[12][4] ),
+    .A2(_08915_),
+    .B1(_09011_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[48][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09314_));
- sky130_fd_sc_hd__a2111o_1 _14490_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[1][3] ),
-    .A2(_09303_),
-    .B1(_09305_),
-    .C1(_09308_),
-    .D1(_09314_),
+ sky130_fd_sc_hd__a221o_1 _14482_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[22][4] ),
+    .A2(_09007_),
+    .B1(_09042_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[62][4] ),
+    .C1(_09314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09315_));
- sky130_fd_sc_hd__clkbuf_2 _14491_ (.A(_09037_),
+ sky130_fd_sc_hd__a2111o_1 _14483_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[63][4] ),
+    .A2(_08982_),
+    .B1(_09311_),
+    .C1(_09313_),
+    .D1(_09315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09316_));
- sky130_fd_sc_hd__clkbuf_2 _14492_ (.A(_09141_),
+ sky130_fd_sc_hd__a22o_1 _14484_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[56][4] ),
+    .A2(_09021_),
+    .B1(_09064_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[58][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09317_));
- sky130_fd_sc_hd__a22o_1 _14493_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[6][3] ),
-    .A2(_09084_),
-    .B1(_09122_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[2][3] ),
+ sky130_fd_sc_hd__a221o_1 _14485_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[5][4] ),
+    .A2(_09101_),
+    .B1(_09115_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[27][4] ),
+    .C1(_09317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09318_));
- sky130_fd_sc_hd__a221o_1 _14494_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[51][3] ),
-    .A2(_09316_),
-    .B1(_09317_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[12][3] ),
-    .C1(_09318_),
+ sky130_fd_sc_hd__a22o_1 _14486_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[9][4] ),
+    .A2(_08991_),
+    .B1(_09069_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[26][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09319_));
- sky130_fd_sc_hd__clkbuf_2 _14495_ (.A(_09040_),
+ sky130_fd_sc_hd__a221o_1 _14487_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[49][4] ),
+    .A2(_09003_),
+    .B1(_09126_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[29][4] ),
+    .C1(_09319_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09320_));
- sky130_fd_sc_hd__clkbuf_2 _14496_ (.A(_09030_),
+ sky130_fd_sc_hd__a22o_1 _14488_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[60][4] ),
+    .A2(_09075_),
+    .B1(_09092_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[23][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09321_));
- sky130_fd_sc_hd__a22o_1 _14497_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[53][3] ),
-    .A2(_09016_),
-    .B1(_09062_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[54][3] ),
+ sky130_fd_sc_hd__a221o_1 _14489_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[21][4] ),
+    .A2(_09018_),
+    .B1(_09232_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[55][4] ),
+    .C1(_09321_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09322_));
- sky130_fd_sc_hd__a221o_1 _14498_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[41][3] ),
-    .A2(_09320_),
-    .B1(_09321_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[17][3] ),
-    .C1(_09322_),
+ sky130_fd_sc_hd__a22o_1 _14490_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[14][4] ),
+    .A2(_09031_),
+    .B1(_09195_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[7][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09323_));
- sky130_fd_sc_hd__clkbuf_2 _14499_ (.A(_09072_),
+ sky130_fd_sc_hd__a221o_1 _14491_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[38][4] ),
+    .A2(_08952_),
+    .B1(_09072_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[35][4] ),
+    .C1(_09323_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09324_));
- sky130_fd_sc_hd__clkbuf_2 _14500_ (.A(_09135_),
+ sky130_fd_sc_hd__or4_1 _14492_ (.A(_09318_),
+    .B(_09320_),
+    .C(_09322_),
+    .D(_09324_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09325_));
- sky130_fd_sc_hd__a22o_1 _14501_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[42][3] ),
-    .A2(_09020_),
-    .B1(_09114_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[16][3] ),
+ sky130_fd_sc_hd__a22o_1 _14493_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[24][4] ),
+    .A2(_09014_),
+    .B1(_09058_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[30][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09326_));
- sky130_fd_sc_hd__a221o_1 _14502_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[60][3] ),
-    .A2(_09324_),
-    .B1(_09325_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[62][3] ),
+ sky130_fd_sc_hd__a221o_1 _14494_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[51][4] ),
+    .A2(_08910_),
+    .B1(_09078_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[10][4] ),
     .C1(_09326_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09327_));
- sky130_fd_sc_hd__clkbuf_2 _14503_ (.A(_09151_),
+ sky130_fd_sc_hd__a22o_1 _14495_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[37][4] ),
+    .A2(_08986_),
+    .B1(_09120_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[53][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09328_));
- sky130_fd_sc_hd__a22o_1 _14504_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[10][3] ),
-    .A2(_09154_),
-    .B1(_09157_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[25][3] ),
+ sky130_fd_sc_hd__a221o_1 _14496_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[33][4] ),
+    .A2(_08975_),
+    .B1(_08988_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[8][4] ),
+    .C1(_09328_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09329_));
- sky130_fd_sc_hd__a221o_1 _14505_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[27][3] ),
-    .A2(_09099_),
-    .B1(_09328_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[59][3] ),
-    .C1(_09329_),
+ sky130_fd_sc_hd__a22o_1 _14497_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[43][4] ),
+    .A2(_08971_),
+    .B1(_09060_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[18][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09330_));
- sky130_fd_sc_hd__or4_1 _14506_ (.A(_09319_),
-    .B(_09323_),
-    .C(_09327_),
-    .D(_09330_),
+ sky130_fd_sc_hd__a221o_1 _14498_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[28][4] ),
+    .A2(_08994_),
+    .B1(_09049_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[17][4] ),
+    .C1(_09330_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09331_));
- sky130_fd_sc_hd__buf_2 _14507_ (.A(_08983_),
+ sky130_fd_sc_hd__a22o_1 _14499_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[40][4] ),
+    .A2(_08956_),
+    .B1(_08962_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[34][4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09332_));
- sky130_fd_sc_hd__buf_2 _14508_ (.A(_09125_),
+ sky130_fd_sc_hd__a221o_1 _14500_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[25][4] ),
+    .A2(_08927_),
+    .B1(_09112_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[41][4] ),
+    .C1(_09332_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09333_));
- sky130_fd_sc_hd__a22o_1 _14509_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[49][3] ),
-    .A2(_08964_),
-    .B1(_08957_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[38][3] ),
+ sky130_fd_sc_hd__or4_1 _14501_ (.A(_09327_),
+    .B(_09329_),
+    .C(_09331_),
+    .D(_09333_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09334_));
- sky130_fd_sc_hd__a221o_1 _14510_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[33][3] ),
-    .A2(_09332_),
-    .B1(_09333_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[11][3] ),
-    .C1(_09334_),
+ sky130_fd_sc_hd__or3_2 _14502_ (.A(_09316_),
+    .B(_09325_),
+    .C(_09334_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09335_));
- sky130_fd_sc_hd__buf_2 _14511_ (.A(_09074_),
+ sky130_fd_sc_hd__a2111o_1 _14503_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[31][4] ),
+    .A2(_08883_),
+    .B1(_09300_),
+    .C1(_09309_),
+    .D1(_09335_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09336_));
- sky130_fd_sc_hd__a22o_1 _14512_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[5][3] ),
-    .A2(_09003_),
-    .B1(_09079_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[56][3] ),
+ sky130_fd_sc_hd__o211a_4 _14504_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[0][4] ),
+    .A2(_08870_),
+    .B1(_09336_),
+    .C1(_05661_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09337_));
- sky130_fd_sc_hd__a221o_1 _14513_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[58][3] ),
-    .A2(_09034_),
-    .B1(_09336_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[40][3] ),
-    .C1(_09337_),
+ sky130_fd_sc_hd__a221o_1 _14505_ (.A1(_05269_),
+    .A2(_09141_),
+    .B1(_09152_),
+    .B2(\u_usb_host.u_core.u_sie.data_len_i[4] ),
+    .C1(_08851_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09338_));
- sky130_fd_sc_hd__a22o_1 _14514_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[15][3] ),
-    .A2(_08942_),
-    .B1(_09092_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[52][3] ),
+ sky130_fd_sc_hd__a21o_1 _14506_ (.A1(\u_usb_host.u_core.usb_ctrl_phy_xcvrselect_out_w[1] ),
+    .A2(_06109_),
+    .B1(_09338_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09339_));
- sky130_fd_sc_hd__a221o_1 _14515_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[47][3] ),
-    .A2(_08931_),
-    .B1(_09087_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[46][3] ),
-    .C1(_09339_),
+ sky130_fd_sc_hd__o22a_1 _14507_ (.A1(\u_usb_host.reg_rdata[4] ),
+    .A2(_09299_),
+    .B1(_09337_),
+    .B2(_09339_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09340_));
- sky130_fd_sc_hd__buf_2 _14516_ (.A(_09143_),
+    .X(_00716_));
+ sky130_fd_sc_hd__nor2_2 _14508_ (.A(_06092_),
+    .B(_09139_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_09340_));
+ sky130_fd_sc_hd__clkbuf_1 _14509_ (.A(_09340_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09341_));
- sky130_fd_sc_hd__buf_2 _14517_ (.A(_09145_),
+ sky130_fd_sc_hd__a22o_1 _14510_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[48][5] ),
+    .A2(_09254_),
+    .B1(_09179_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[4][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09342_));
- sky130_fd_sc_hd__a22o_1 _14518_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[19][3] ),
-    .A2(_09050_),
-    .B1(_09109_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[30][3] ),
+ sky130_fd_sc_hd__a221o_1 _14511_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[43][5] ),
+    .A2(_09160_),
+    .B1(_08981_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[63][5] ),
+    .C1(_09342_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09343_));
- sky130_fd_sc_hd__a221o_1 _14519_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[36][3] ),
-    .A2(_09341_),
-    .B1(_09342_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[20][3] ),
-    .C1(_09343_),
+ sky130_fd_sc_hd__a22o_1 _14512_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[8][5] ),
+    .A2(_09172_),
+    .B1(_09178_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[18][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09344_));
- sky130_fd_sc_hd__or4_1 _14520_ (.A(_09335_),
-    .B(_09338_),
-    .C(_09340_),
-    .D(_09344_),
+ sky130_fd_sc_hd__a221o_1 _14513_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[34][5] ),
+    .A2(_09156_),
+    .B1(_09014_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[24][5] ),
+    .C1(_09344_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09345_));
- sky130_fd_sc_hd__or4_2 _14521_ (.A(_09302_),
-    .B(_09315_),
-    .C(_09331_),
-    .D(_09345_),
+ sky130_fd_sc_hd__a22o_1 _14514_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[44][5] ),
+    .A2(_08934_),
+    .B1(_08948_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[50][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09346_));
- sky130_fd_sc_hd__o221a_4 _14522_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[0][3] ),
-    .A2(_08919_),
-    .B1(_09299_),
-    .B2(_09346_),
-    .C1(_05678_),
+ sky130_fd_sc_hd__a211o_1 _14515_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[22][5] ),
+    .A2(_09259_),
+    .B1(_09346_),
+    .C1(_08938_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09347_));
- sky130_fd_sc_hd__a221o_1 _14523_ (.A1(\u_usb_host.u_core.usb_ctrl_phy_xcvrselect_out_w[0] ),
-    .A2(_05671_),
-    .B1(_09180_),
-    .B2(_09284_),
+ sky130_fd_sc_hd__a221o_1 _14516_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[31][5] ),
+    .A2(_08882_),
+    .B1(_09232_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[55][5] ),
     .C1(_09347_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09348_));
- sky130_fd_sc_hd__a221o_1 _14524_ (.A1(\u_usb_host.u_core.usb_irq_mask_device_detect_out_w ),
-    .A2(_09184_),
-    .B1(_09283_),
-    .B2(\u_usb_host.u_core.u_sie.data_len_i[3] ),
-    .C1(_09348_),
+ sky130_fd_sc_hd__a2111o_1 _14517_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[7][5] ),
+    .A2(_09253_),
+    .B1(_09343_),
+    .C1(_09345_),
+    .D1(_09348_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09349_));
- sky130_fd_sc_hd__nor2_8 _14525_ (.A(_06081_),
-    .B(_06834_),
+ sky130_fd_sc_hd__a221o_1 _14518_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[39][5] ),
+    .A2(_09251_),
+    .B1(_09252_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[23][5] ),
+    .C1(_09349_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_09350_));
- sky130_fd_sc_hd__clkbuf_2 _14526_ (.A(_09350_),
+    .X(_09350_));
+ sky130_fd_sc_hd__a22o_1 _14519_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[3][5] ),
+    .A2(_08931_),
+    .B1(_09069_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[26][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09351_));
- sky130_fd_sc_hd__clkbuf_2 _14527_ (.A(_09351_),
+ sky130_fd_sc_hd__a221o_1 _14520_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[61][5] ),
+    .A2(_09159_),
+    .B1(_09209_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[32][5] ),
+    .C1(_09351_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09352_));
- sky130_fd_sc_hd__mux2_1 _14528_ (.A0(\u_usb_host.reg_rdata[3] ),
-    .A1(_09349_),
-    .S(_09352_),
+ sky130_fd_sc_hd__a22o_1 _14521_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[13][5] ),
+    .A2(_08944_),
+    .B1(_09026_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[45][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09353_));
- sky130_fd_sc_hd__clkbuf_1 _14529_ (.A(_09353_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00723_));
- sky130_fd_sc_hd__clkbuf_2 _14530_ (.A(_09352_),
+ sky130_fd_sc_hd__a22o_1 _14522_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[9][5] ),
+    .A2(_08990_),
+    .B1(_09125_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[29][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09354_));
- sky130_fd_sc_hd__a22o_1 _14531_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[47][4] ),
-    .A2(_08932_),
-    .B1(_08943_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[15][4] ),
+ sky130_fd_sc_hd__a221o_1 _14523_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[14][5] ),
+    .A2(_09030_),
+    .B1(_09163_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[57][5] ),
+    .C1(_09354_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09355_));
- sky130_fd_sc_hd__a22o_1 _14532_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[22][4] ),
-    .A2(_08960_),
-    .B1(_09126_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[11][4] ),
+ sky130_fd_sc_hd__a22o_1 _14524_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[28][5] ),
+    .A2(_08993_),
+    .B1(_08985_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[37][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09356_));
- sky130_fd_sc_hd__a221o_1 _14533_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[3][4] ),
-    .A2(_09107_),
-    .B1(_09136_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[62][4] ),
+ sky130_fd_sc_hd__a221o_1 _14525_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[21][5] ),
+    .A2(_09017_),
+    .B1(_09071_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[35][5] ),
     .C1(_09356_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09357_));
- sky130_fd_sc_hd__a22o_1 _14534_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[50][4] ),
-    .A2(_08949_),
-    .B1(_09140_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[28][4] ),
+ sky130_fd_sc_hd__a2111o_1 _14526_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[1][5] ),
+    .A2(_09231_),
+    .B1(_09353_),
+    .C1(_09355_),
+    .D1(_09357_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09358_));
- sky130_fd_sc_hd__a211o_1 _14535_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[27][4] ),
-    .A2(_09100_),
-    .B1(_09358_),
-    .C1(_08976_),
+ sky130_fd_sc_hd__a22o_1 _14527_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[6][5] ),
+    .A2(_08901_),
+    .B1(_09105_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[2][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09359_));
- sky130_fd_sc_hd__a22o_1 _14536_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[44][4] ),
-    .A2(_08996_),
-    .B1(_09073_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[60][4] ),
+ sky130_fd_sc_hd__a221o_1 _14528_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[12][5] ),
+    .A2(_08914_),
+    .B1(_08909_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[51][5] ),
+    .C1(_09359_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09360_));
- sky130_fd_sc_hd__a221o_1 _14537_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[8][4] ),
-    .A2(_09056_),
-    .B1(_09068_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[9][4] ),
-    .C1(_09360_),
+ sky130_fd_sc_hd__a22o_1 _14529_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[54][5] ),
+    .A2(_09033_),
+    .B1(_09119_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[53][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09361_));
- sky130_fd_sc_hd__a22o_1 _14538_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[38][4] ),
-    .A2(_08958_),
-    .B1(_09155_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[10][4] ),
+ sky130_fd_sc_hd__a221o_1 _14530_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[17][5] ),
+    .A2(_09048_),
+    .B1(_09111_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[41][5] ),
+    .C1(_09361_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09362_));
- sky130_fd_sc_hd__a221o_1 _14539_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[16][4] ),
-    .A2(_09115_),
-    .B1(_09146_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[20][4] ),
-    .C1(_09362_),
+ sky130_fd_sc_hd__a22o_1 _14531_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[16][5] ),
+    .A2(_09097_),
+    .B1(_09122_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[42][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09363_));
- sky130_fd_sc_hd__or4_1 _14540_ (.A(_09357_),
-    .B(_09359_),
-    .C(_09361_),
-    .D(_09363_),
+ sky130_fd_sc_hd__a221o_1 _14532_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[62][5] ),
+    .A2(_09041_),
+    .B1(_09074_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[60][5] ),
+    .C1(_09363_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09364_));
- sky130_fd_sc_hd__a22o_1 _14541_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[46][4] ),
-    .A2(_09088_),
-    .B1(_09197_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[13][4] ),
+ sky130_fd_sc_hd__a22o_1 _14533_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[25][5] ),
+    .A2(_08926_),
+    .B1(_09077_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[10][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09365_));
- sky130_fd_sc_hd__a221o_1 _14542_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[35][4] ),
-    .A2(_09132_),
-    .B1(_09150_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[55][4] ),
+ sky130_fd_sc_hd__a221o_1 _14534_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[59][5] ),
+    .A2(_09045_),
+    .B1(_09115_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[27][5] ),
     .C1(_09365_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09366_));
- sky130_fd_sc_hd__a22o_1 _14543_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[49][4] ),
-    .A2(_08965_),
-    .B1(_09158_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[25][4] ),
+ sky130_fd_sc_hd__or4_2 _14535_ (.A(_09360_),
+    .B(_09362_),
+    .C(_09364_),
+    .D(_09366_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09367_));
- sky130_fd_sc_hd__a221o_1 _14544_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[6][4] ),
-    .A2(_09085_),
-    .B1(_09229_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[23][4] ),
-    .C1(_09367_),
+ sky130_fd_sc_hd__a22o_1 _14536_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[38][5] ),
+    .A2(_08951_),
+    .B1(_09002_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[49][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09368_));
- sky130_fd_sc_hd__a22o_1 _14545_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[26][4] ),
-    .A2(_09065_),
-    .B1(_09060_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[45][4] ),
+ sky130_fd_sc_hd__a221o_1 _14537_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[33][5] ),
+    .A2(_08974_),
+    .B1(_09094_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[11][5] ),
+    .C1(_09368_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09369_));
- sky130_fd_sc_hd__a221o_1 _14546_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[29][4] ),
-    .A2(_08982_),
-    .B1(_09035_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[58][4] ),
-    .C1(_09369_),
+ sky130_fd_sc_hd__a22o_1 _14538_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[56][5] ),
+    .A2(_09020_),
+    .B1(_09100_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[5][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09370_));
- sky130_fd_sc_hd__a2111o_1 _14547_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[63][4] ),
-    .A2(_09028_),
-    .B1(_09366_),
-    .C1(_09368_),
-    .D1(_09370_),
+ sky130_fd_sc_hd__a221o_2 _14539_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[40][5] ),
+    .A2(_08955_),
+    .B1(_09063_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[58][5] ),
+    .C1(_09370_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09371_));
- sky130_fd_sc_hd__a22o_1 _14548_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[42][4] ),
-    .A2(_09021_),
-    .B1(_09215_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[34][4] ),
+ sky130_fd_sc_hd__a22o_1 _14540_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[15][5] ),
+    .A2(_08886_),
+    .B1(_08919_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[52][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09372_));
- sky130_fd_sc_hd__a221o_1 _14549_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[52][4] ),
-    .A2(_09093_),
-    .B1(_09142_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[12][4] ),
+ sky130_fd_sc_hd__a221o_1 _14541_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[47][5] ),
+    .A2(_08892_),
+    .B1(_09051_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[46][5] ),
     .C1(_09372_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09373_));
- sky130_fd_sc_hd__a22o_1 _14550_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[19][4] ),
-    .A2(_09051_),
-    .B1(_09123_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[2][4] ),
+ sky130_fd_sc_hd__a22o_1 _14542_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[19][5] ),
+    .A2(_08965_),
+    .B1(_09057_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[30][5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09374_));
- sky130_fd_sc_hd__a221o_1 _14551_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[17][4] ),
-    .A2(_09031_),
-    .B1(_09244_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[61][4] ),
+ sky130_fd_sc_hd__a221o_1 _14543_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[36][5] ),
+    .A2(_09037_),
+    .B1(_09108_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[20][5] ),
     .C1(_09374_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09375_));
- sky130_fd_sc_hd__a22o_1 _14552_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[53][4] ),
-    .A2(_09017_),
-    .B1(_09112_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[37][4] ),
+ sky130_fd_sc_hd__or4_1 _14544_ (.A(_09369_),
+    .B(_09371_),
+    .C(_09373_),
+    .D(_09375_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09376_));
- sky130_fd_sc_hd__a221o_1 _14553_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[24][4] ),
-    .A2(_09207_),
-    .B1(_09253_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[7][4] ),
-    .C1(_09376_),
+ sky130_fd_sc_hd__or4_2 _14545_ (.A(_09352_),
+    .B(_09358_),
+    .C(_09367_),
+    .D(_09376_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09377_));
- sky130_fd_sc_hd__a22o_1 _14554_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[41][4] ),
-    .A2(_09041_),
-    .B1(_09110_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[30][4] ),
+ sky130_fd_sc_hd__o221a_4 _14546_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[0][5] ),
+    .A2(_08868_),
+    .B1(_09350_),
+    .B2(_09377_),
+    .C1(_05659_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09378_));
- sky130_fd_sc_hd__a221o_1 _14555_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[40][4] ),
-    .A2(_09075_),
-    .B1(_09152_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[59][4] ),
+ sky130_fd_sc_hd__a221o_1 _14547_ (.A1(\u_usb_host.u_core.usb_ctrl_phy_termselect_out_w ),
+    .A2(_05653_),
+    .B1(_09250_),
+    .B2(_05268_),
     .C1(_09378_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09379_));
- sky130_fd_sc_hd__or4_1 _14556_ (.A(_09373_),
-    .B(_09375_),
-    .C(_09377_),
-    .D(_09379_),
+ sky130_fd_sc_hd__a221o_1 _14548_ (.A1(\u_usb_host.u_core.u_sie.data_len_i[5] ),
+    .A2(_09249_),
+    .B1(_09341_),
+    .B2(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[0] ),
+    .C1(_09379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09380_));
- sky130_fd_sc_hd__a22o_1 _14557_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[4][4] ),
-    .A2(_08992_),
-    .B1(_09144_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[36][4] ),
+ sky130_fd_sc_hd__mux2_1 _14549_ (.A0(\u_usb_host.reg_rdata[5] ),
+    .A1(_09380_),
+    .S(_09297_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09381_));
- sky130_fd_sc_hd__a221o_1 _14558_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[33][4] ),
-    .A2(_08984_),
-    .B1(_08999_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[18][4] ),
-    .C1(_09381_),
+ sky130_fd_sc_hd__clkbuf_1 _14550_ (.A(_09381_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00717_));
+ sky130_fd_sc_hd__a22o_1 _14551_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[39][6] ),
+    .A2(_09251_),
+    .B1(_09252_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[23][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09382_));
- sky130_fd_sc_hd__a22o_1 _14559_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[54][4] ),
-    .A2(_09063_),
-    .B1(_09038_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[51][4] ),
+ sky130_fd_sc_hd__a22o_1 _14552_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[48][6] ),
+    .A2(_09254_),
+    .B1(_09179_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[4][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09383_));
- sky130_fd_sc_hd__a221o_1 _14560_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[43][4] ),
-    .A2(_08973_),
-    .B1(_09014_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[48][4] ),
+ sky130_fd_sc_hd__a221o_1 _14553_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[43][6] ),
+    .A2(_09160_),
+    .B1(_08980_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[63][6] ),
     .C1(_09383_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09384_));
- sky130_fd_sc_hd__a22o_1 _14561_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[32][4] ),
-    .A2(_09053_),
-    .B1(_09303_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[1][4] ),
+ sky130_fd_sc_hd__a22o_1 _14554_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[8][6] ),
+    .A2(_09172_),
+    .B1(_09178_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[18][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09385_));
- sky130_fd_sc_hd__a221o_1 _14562_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[14][4] ),
-    .A2(_09010_),
-    .B1(_09194_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[57][4] ),
+ sky130_fd_sc_hd__a221o_1 _14555_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[34][6] ),
+    .A2(_09156_),
+    .B1(_09013_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[24][6] ),
     .C1(_09385_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09386_));
- sky130_fd_sc_hd__a22o_1 _14563_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[56][4] ),
-    .A2(_09080_),
-    .B1(_09097_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[21][4] ),
+ sky130_fd_sc_hd__a22o_1 _14556_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[44][6] ),
+    .A2(_08934_),
+    .B1(_08948_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[50][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09387_));
- sky130_fd_sc_hd__a221o_1 _14564_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[5][4] ),
-    .A2(_09004_),
-    .B1(_09048_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[39][4] ),
-    .C1(_09387_),
+ sky130_fd_sc_hd__a211o_1 _14557_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[22][6] ),
+    .A2(_09259_),
+    .B1(_09387_),
+    .C1(_08938_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09388_));
- sky130_fd_sc_hd__or4_1 _14565_ (.A(_09382_),
-    .B(_09384_),
-    .C(_09386_),
-    .D(_09388_),
+ sky130_fd_sc_hd__a221o_1 _14558_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[31][6] ),
+    .A2(_08882_),
+    .B1(_09088_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[55][6] ),
+    .C1(_09388_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09389_));
- sky130_fd_sc_hd__or3_1 _14566_ (.A(_09371_),
-    .B(_09380_),
-    .C(_09389_),
+ sky130_fd_sc_hd__a2111o_1 _14559_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[7][6] ),
+    .A2(_09253_),
+    .B1(_09384_),
+    .C1(_09386_),
+    .D1(_09389_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09390_));
- sky130_fd_sc_hd__a2111o_2 _14567_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[31][4] ),
-    .A2(_08939_),
-    .B1(_09355_),
-    .C1(_09364_),
-    .D1(_09390_),
+ sky130_fd_sc_hd__a22o_1 _14560_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[3][6] ),
+    .A2(_08930_),
+    .B1(_09068_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[26][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09391_));
- sky130_fd_sc_hd__o211a_4 _14568_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[0][4] ),
-    .A2(_08920_),
-    .B1(_09391_),
-    .C1(_05679_),
+ sky130_fd_sc_hd__a221o_1 _14561_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[61][6] ),
+    .A2(_09055_),
+    .B1(_09083_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[32][6] ),
+    .C1(_09391_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09392_));
- sky130_fd_sc_hd__a221o_1 _14569_ (.A1(_05291_),
-    .A2(_09279_),
-    .B1(_09183_),
-    .B2(\u_usb_host.u_core.u_sie.data_len_i[4] ),
-    .C1(_08900_),
+ sky130_fd_sc_hd__a22o_1 _14562_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[13][6] ),
+    .A2(_08944_),
+    .B1(_09026_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[45][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09393_));
- sky130_fd_sc_hd__a21o_1 _14570_ (.A1(\u_usb_host.u_core.usb_ctrl_phy_xcvrselect_out_w[1] ),
-    .A2(_06074_),
-    .B1(_09393_),
+ sky130_fd_sc_hd__a22o_1 _14563_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[14][6] ),
+    .A2(_09029_),
+    .B1(_09127_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[57][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09394_));
- sky130_fd_sc_hd__o22a_1 _14571_ (.A1(\u_usb_host.reg_rdata[4] ),
-    .A2(_09354_),
-    .B1(_09392_),
-    .B2(_09394_),
+ sky130_fd_sc_hd__a221o_1 _14564_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[9][6] ),
+    .A2(_08990_),
+    .B1(_09125_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[29][6] ),
+    .C1(_09394_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00724_));
- sky130_fd_sc_hd__nor2_4 _14572_ (.A(_06057_),
-    .B(_09168_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_09395_));
- sky130_fd_sc_hd__clkbuf_1 _14573_ (.A(_09395_),
+    .X(_09395_));
+ sky130_fd_sc_hd__a22o_1 _14565_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[28][6] ),
+    .A2(_08993_),
+    .B1(_08985_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[37][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09396_));
- sky130_fd_sc_hd__a22o_1 _14574_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[4][5] ),
-    .A2(_09287_),
-    .B1(_09013_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[48][5] ),
+ sky130_fd_sc_hd__a221o_1 _14566_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[21][6] ),
+    .A2(_09017_),
+    .B1(_09071_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[35][6] ),
+    .C1(_09396_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09397_));
- sky130_fd_sc_hd__a221o_1 _14575_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[43][5] ),
-    .A2(_09211_),
-    .B1(_09027_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[63][5] ),
-    .C1(_09397_),
+ sky130_fd_sc_hd__a2111o_1 _14567_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[1][6] ),
+    .A2(_09005_),
+    .B1(_09393_),
+    .C1(_09395_),
+    .D1(_09397_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09398_));
- sky130_fd_sc_hd__a22o_1 _14576_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[18][5] ),
-    .A2(_08998_),
-    .B1(_09290_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[8][5] ),
+ sky130_fd_sc_hd__a22o_1 _14568_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[6][6] ),
+    .A2(_08901_),
+    .B1(_09105_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[2][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09399_));
- sky130_fd_sc_hd__a221o_1 _14577_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[24][5] ),
-    .A2(_09090_),
-    .B1(_09134_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[34][5] ),
+ sky130_fd_sc_hd__a221o_1 _14569_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[12][6] ),
+    .A2(_08914_),
+    .B1(_08909_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[51][6] ),
     .C1(_09399_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09400_));
- sky130_fd_sc_hd__a22o_1 _14578_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[50][5] ),
-    .A2(_08948_),
-    .B1(_08995_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[44][5] ),
+ sky130_fd_sc_hd__a22o_1 _14570_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[54][6] ),
+    .A2(_09033_),
+    .B1(_09119_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[53][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09401_));
- sky130_fd_sc_hd__a211o_1 _14579_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[22][5] ),
-    .A2(_09294_),
-    .B1(_08975_),
+ sky130_fd_sc_hd__a221o_1 _14571_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[17][6] ),
+    .A2(_09048_),
+    .B1(_09111_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[41][6] ),
     .C1(_09401_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09402_));
- sky130_fd_sc_hd__a221o_2 _14580_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[31][5] ),
-    .A2(_08938_),
-    .B1(_09293_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[55][5] ),
-    .C1(_09402_),
+ sky130_fd_sc_hd__a22o_1 _14572_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[16][6] ),
+    .A2(_09097_),
+    .B1(_09122_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[42][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09403_));
- sky130_fd_sc_hd__a2111o_1 _14581_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[7][5] ),
-    .A2(_09253_),
-    .B1(_09398_),
-    .C1(_09400_),
-    .D1(_09403_),
+ sky130_fd_sc_hd__a221o_1 _14573_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[62][6] ),
+    .A2(_09041_),
+    .B1(_09074_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[60][6] ),
+    .C1(_09403_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09404_));
- sky130_fd_sc_hd__a221o_2 _14582_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[39][5] ),
-    .A2(_09285_),
-    .B1(_09286_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[23][5] ),
-    .C1(_09404_),
+ sky130_fd_sc_hd__a22o_1 _14574_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[25][6] ),
+    .A2(_08926_),
+    .B1(_09077_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[10][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09405_));
- sky130_fd_sc_hd__a22o_1 _14583_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[26][5] ),
-    .A2(_09189_),
-    .B1(_09202_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[3][5] ),
+ sky130_fd_sc_hd__a221o_1 _14575_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[59][6] ),
+    .A2(_09045_),
+    .B1(_09114_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[27][6] ),
+    .C1(_09405_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09406_));
- sky130_fd_sc_hd__a221o_1 _14584_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[32][5] ),
-    .A2(_09300_),
-    .B1(_09244_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[61][5] ),
-    .C1(_09406_),
+ sky130_fd_sc_hd__or4_2 _14576_ (.A(_09400_),
+    .B(_09402_),
+    .C(_09404_),
+    .D(_09406_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09407_));
- sky130_fd_sc_hd__a22o_1 _14585_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[45][5] ),
-    .A2(_09304_),
-    .B1(_09103_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[13][5] ),
+ sky130_fd_sc_hd__a22o_1 _14577_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[38][6] ),
+    .A2(_08951_),
+    .B1(_09002_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[49][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09408_));
- sky130_fd_sc_hd__a22o_1 _14586_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[29][5] ),
-    .A2(_08981_),
-    .B1(_09067_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[9][5] ),
+ sky130_fd_sc_hd__a221o_1 _14578_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[33][6] ),
+    .A2(_08974_),
+    .B1(_09094_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[11][6] ),
+    .C1(_09408_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09409_));
- sky130_fd_sc_hd__a221o_1 _14587_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[14][5] ),
-    .A2(_09306_),
-    .B1(_09077_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[57][5] ),
-    .C1(_09409_),
+ sky130_fd_sc_hd__a22o_1 _14579_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[56][6] ),
+    .A2(_09020_),
+    .B1(_09100_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[5][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09410_));
- sky130_fd_sc_hd__a22o_1 _14588_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[37][5] ),
-    .A2(_09311_),
-    .B1(_09312_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[28][5] ),
+ sky130_fd_sc_hd__a221o_1 _14580_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[40][6] ),
+    .A2(_08955_),
+    .B1(_09063_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[58][6] ),
+    .C1(_09410_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09411_));
- sky130_fd_sc_hd__a221o_2 _14589_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[21][5] ),
-    .A2(_09309_),
-    .B1(_09310_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[35][5] ),
-    .C1(_09411_),
+ sky130_fd_sc_hd__a22o_1 _14581_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[15][6] ),
+    .A2(_08886_),
+    .B1(_08919_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[52][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09412_));
- sky130_fd_sc_hd__a2111o_1 _14590_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[1][5] ),
-    .A2(_09303_),
-    .B1(_09408_),
-    .C1(_09410_),
-    .D1(_09412_),
+ sky130_fd_sc_hd__a221o_1 _14582_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[47][6] ),
+    .A2(_08892_),
+    .B1(_09051_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[46][6] ),
+    .C1(_09412_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09413_));
- sky130_fd_sc_hd__a22o_1 _14591_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[6][5] ),
-    .A2(_09084_),
-    .B1(_09122_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[2][5] ),
+ sky130_fd_sc_hd__a22o_1 _14583_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[19][6] ),
+    .A2(_08965_),
+    .B1(_09057_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[30][6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09414_));
- sky130_fd_sc_hd__a221o_1 _14592_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[51][5] ),
-    .A2(_09316_),
-    .B1(_09317_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[12][5] ),
+ sky130_fd_sc_hd__a221o_1 _14584_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[36][6] ),
+    .A2(_09036_),
+    .B1(_09108_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[20][6] ),
     .C1(_09414_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09415_));
- sky130_fd_sc_hd__a22o_1 _14593_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[53][5] ),
-    .A2(_09016_),
-    .B1(_09062_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[54][5] ),
+ sky130_fd_sc_hd__or4_1 _14585_ (.A(_09409_),
+    .B(_09411_),
+    .C(_09413_),
+    .D(_09415_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09416_));
- sky130_fd_sc_hd__a221o_1 _14594_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[41][5] ),
-    .A2(_09320_),
-    .B1(_09321_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[17][5] ),
-    .C1(_09416_),
+ sky130_fd_sc_hd__or4_1 _14586_ (.A(_09392_),
+    .B(_09398_),
+    .C(_09407_),
+    .D(_09416_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09417_));
- sky130_fd_sc_hd__a22o_1 _14595_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[42][5] ),
-    .A2(_09020_),
-    .B1(_09114_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[16][5] ),
+ sky130_fd_sc_hd__or3_4 _14587_ (.A(_09382_),
+    .B(_09390_),
+    .C(_09417_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09418_));
- sky130_fd_sc_hd__a221o_1 _14596_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[60][5] ),
-    .A2(_09324_),
-    .B1(_09325_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[62][5] ),
-    .C1(_09418_),
+ sky130_fd_sc_hd__o211a_4 _14588_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[0][6] ),
+    .A2(_08869_),
+    .B1(_09418_),
+    .C1(_05660_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09419_));
- sky130_fd_sc_hd__a22o_1 _14597_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[10][5] ),
-    .A2(_09154_),
-    .B1(_09157_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[25][5] ),
+ sky130_fd_sc_hd__a221o_1 _14589_ (.A1(\u_usb_host.u_core.usb_ctrl_phy_dppulldown_out_w ),
+    .A2(_05652_),
+    .B1(_09140_),
+    .B2(_05270_),
+    .C1(_09419_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09420_));
- sky130_fd_sc_hd__a221o_1 _14598_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[27][5] ),
-    .A2(_09099_),
-    .B1(_09328_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[59][5] ),
+ sky130_fd_sc_hd__a221o_1 _14590_ (.A1(\u_usb_host.u_core.u_sie.data_len_i[6] ),
+    .A2(_09249_),
+    .B1(_09341_),
+    .B2(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[1] ),
     .C1(_09420_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09421_));
- sky130_fd_sc_hd__or4_1 _14599_ (.A(_09415_),
-    .B(_09417_),
-    .C(_09419_),
-    .D(_09421_),
+ sky130_fd_sc_hd__mux2_1 _14591_ (.A0(\u_usb_host.reg_rdata[6] ),
+    .A1(_09421_),
+    .S(_09297_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09422_));
- sky130_fd_sc_hd__a22o_1 _14600_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[49][5] ),
-    .A2(_08964_),
-    .B1(_08957_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[38][5] ),
+ sky130_fd_sc_hd__clkbuf_1 _14592_ (.A(_09422_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00718_));
+ sky130_fd_sc_hd__a22o_1 _14593_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[39][7] ),
+    .A2(_09000_),
+    .B1(_09252_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[23][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09423_));
- sky130_fd_sc_hd__a221o_1 _14601_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[33][5] ),
-    .A2(_09332_),
-    .B1(_09333_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[11][5] ),
-    .C1(_09423_),
+ sky130_fd_sc_hd__a22o_1 _14594_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[48][7] ),
+    .A2(_09254_),
+    .B1(_09179_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[4][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09424_));
- sky130_fd_sc_hd__a22o_1 _14602_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[5][5] ),
-    .A2(_09003_),
-    .B1(_09079_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[56][5] ),
+ sky130_fd_sc_hd__a221o_1 _14595_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[43][7] ),
+    .A2(_09160_),
+    .B1(_08980_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[63][7] ),
+    .C1(_09424_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09425_));
- sky130_fd_sc_hd__a221o_1 _14603_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[58][5] ),
-    .A2(_09034_),
-    .B1(_09336_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[40][5] ),
-    .C1(_09425_),
+ sky130_fd_sc_hd__a22o_1 _14596_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[8][7] ),
+    .A2(_09172_),
+    .B1(_09178_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[18][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09426_));
- sky130_fd_sc_hd__a22o_1 _14604_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[15][5] ),
-    .A2(_08942_),
-    .B1(_09092_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[52][5] ),
+ sky130_fd_sc_hd__a221o_1 _14597_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[34][7] ),
+    .A2(_09156_),
+    .B1(_09013_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[24][7] ),
+    .C1(_09426_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09427_));
- sky130_fd_sc_hd__a221o_2 _14605_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[47][5] ),
-    .A2(_08931_),
-    .B1(_09087_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[46][5] ),
-    .C1(_09427_),
+ sky130_fd_sc_hd__a22o_1 _14598_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[44][7] ),
+    .A2(_08933_),
+    .B1(_08947_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[50][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09428_));
- sky130_fd_sc_hd__a22o_1 _14606_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[19][5] ),
-    .A2(_09050_),
-    .B1(_09109_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[30][5] ),
+ sky130_fd_sc_hd__a211o_1 _14599_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[22][7] ),
+    .A2(_09259_),
+    .B1(_09428_),
+    .C1(_08937_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09429_));
- sky130_fd_sc_hd__a221o_1 _14607_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[36][5] ),
-    .A2(_09341_),
-    .B1(_09342_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[20][5] ),
+ sky130_fd_sc_hd__a221o_1 _14600_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[31][7] ),
+    .A2(_08881_),
+    .B1(_09088_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[55][7] ),
     .C1(_09429_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09430_));
- sky130_fd_sc_hd__or4_2 _14608_ (.A(_09424_),
-    .B(_09426_),
-    .C(_09428_),
-    .D(_09430_),
+ sky130_fd_sc_hd__a2111o_1 _14601_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[7][7] ),
+    .A2(_09253_),
+    .B1(_09425_),
+    .C1(_09427_),
+    .D1(_09430_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09431_));
- sky130_fd_sc_hd__or4_2 _14609_ (.A(_09407_),
-    .B(_09413_),
-    .C(_09422_),
-    .D(_09431_),
+ sky130_fd_sc_hd__a22o_1 _14602_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[3][7] ),
+    .A2(_08929_),
+    .B1(_09067_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[26][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09432_));
- sky130_fd_sc_hd__o221a_4 _14610_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[0][5] ),
-    .A2(_08919_),
-    .B1(_09405_),
-    .B2(_09432_),
-    .C1(_05678_),
+ sky130_fd_sc_hd__a221o_1 _14603_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[61][7] ),
+    .A2(_09055_),
+    .B1(_09083_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[32][7] ),
+    .C1(_09432_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09433_));
- sky130_fd_sc_hd__a221o_1 _14611_ (.A1(\u_usb_host.u_core.usb_ctrl_phy_termselect_out_w ),
-    .A2(_05670_),
-    .B1(_09180_),
-    .B2(_05290_),
-    .C1(_09433_),
+ sky130_fd_sc_hd__a22o_1 _14604_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[13][7] ),
+    .A2(_08943_),
+    .B1(_09025_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[45][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09434_));
- sky130_fd_sc_hd__a221o_1 _14612_ (.A1(\u_usb_host.u_core.u_sie.data_len_i[5] ),
-    .A2(_09283_),
-    .B1(_09396_),
-    .B2(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[0] ),
-    .C1(_09434_),
+ sky130_fd_sc_hd__a22o_1 _14605_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[9][7] ),
+    .A2(_08989_),
+    .B1(_09124_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[29][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09435_));
- sky130_fd_sc_hd__mux2_1 _14613_ (.A0(\u_usb_host.reg_rdata[5] ),
-    .A1(_09435_),
-    .S(_09352_),
+ sky130_fd_sc_hd__a221o_1 _14606_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[14][7] ),
+    .A2(_09030_),
+    .B1(_09128_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[57][7] ),
+    .C1(_09435_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09436_));
- sky130_fd_sc_hd__clkbuf_1 _14614_ (.A(_09436_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00725_));
- sky130_fd_sc_hd__a22o_1 _14615_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[4][6] ),
-    .A2(_09287_),
-    .B1(_09013_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[48][6] ),
+ sky130_fd_sc_hd__a22o_1 _14607_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[28][7] ),
+    .A2(_08992_),
+    .B1(_08984_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[37][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09437_));
- sky130_fd_sc_hd__a221o_1 _14616_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[43][6] ),
-    .A2(_09211_),
-    .B1(_09026_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[63][6] ),
+ sky130_fd_sc_hd__a221o_1 _14608_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[21][7] ),
+    .A2(_09016_),
+    .B1(_09070_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[35][7] ),
     .C1(_09437_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09438_));
- sky130_fd_sc_hd__a22o_1 _14617_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[18][6] ),
-    .A2(_08998_),
-    .B1(_09290_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[8][6] ),
+ sky130_fd_sc_hd__a2111o_1 _14609_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[1][7] ),
+    .A2(_09005_),
+    .B1(_09434_),
+    .C1(_09436_),
+    .D1(_09438_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09439_));
- sky130_fd_sc_hd__a221o_1 _14618_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[24][6] ),
-    .A2(_09090_),
-    .B1(_09134_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[34][6] ),
-    .C1(_09439_),
+ sky130_fd_sc_hd__a22o_1 _14610_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[6][7] ),
+    .A2(_08900_),
+    .B1(_09104_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[2][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09440_));
- sky130_fd_sc_hd__a22o_1 _14619_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[50][6] ),
-    .A2(_08948_),
-    .B1(_08995_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[44][6] ),
+ sky130_fd_sc_hd__a221o_1 _14611_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[12][7] ),
+    .A2(_08913_),
+    .B1(_08908_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[51][7] ),
+    .C1(_09440_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09441_));
- sky130_fd_sc_hd__a211o_1 _14620_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[22][6] ),
-    .A2(_09294_),
-    .B1(_08975_),
-    .C1(_09441_),
+ sky130_fd_sc_hd__a22o_1 _14612_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[54][7] ),
+    .A2(_09032_),
+    .B1(_09118_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[53][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09442_));
- sky130_fd_sc_hd__a221o_2 _14621_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[31][6] ),
-    .A2(_08938_),
-    .B1(_09293_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[55][6] ),
+ sky130_fd_sc_hd__a221o_1 _14613_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[17][7] ),
+    .A2(_09047_),
+    .B1(_09110_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[41][7] ),
     .C1(_09442_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09443_));
- sky130_fd_sc_hd__a2111o_1 _14622_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[7][6] ),
-    .A2(_09120_),
-    .B1(_09438_),
-    .C1(_09440_),
-    .D1(_09443_),
+ sky130_fd_sc_hd__a22o_1 _14614_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[16][7] ),
+    .A2(_09096_),
+    .B1(_09121_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[42][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09444_));
- sky130_fd_sc_hd__a221o_2 _14623_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[39][6] ),
-    .A2(_09285_),
-    .B1(_09286_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[23][6] ),
+ sky130_fd_sc_hd__a221o_1 _14615_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[62][7] ),
+    .A2(_09040_),
+    .B1(_09073_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[60][7] ),
     .C1(_09444_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09445_));
- sky130_fd_sc_hd__a22o_1 _14624_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[26][6] ),
-    .A2(_09189_),
-    .B1(_09202_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[3][6] ),
+ sky130_fd_sc_hd__a22o_1 _14616_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[25][7] ),
+    .A2(_08925_),
+    .B1(_09076_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[10][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09446_));
- sky130_fd_sc_hd__a221o_1 _14625_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[32][6] ),
-    .A2(_09300_),
-    .B1(_09214_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[61][6] ),
+ sky130_fd_sc_hd__a221o_1 _14617_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[59][7] ),
+    .A2(_09044_),
+    .B1(_09113_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[27][7] ),
     .C1(_09446_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09447_));
- sky130_fd_sc_hd__a22o_1 _14626_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[45][6] ),
-    .A2(_09304_),
-    .B1(_09103_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[13][6] ),
+ sky130_fd_sc_hd__or4_1 _14618_ (.A(_09441_),
+    .B(_09443_),
+    .C(_09445_),
+    .D(_09447_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09448_));
- sky130_fd_sc_hd__a22o_1 _14627_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[29][6] ),
-    .A2(_08981_),
-    .B1(_09067_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[9][6] ),
+ sky130_fd_sc_hd__a22o_1 _14619_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[38][7] ),
+    .A2(_08950_),
+    .B1(_09001_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[49][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09449_));
- sky130_fd_sc_hd__a221o_1 _14628_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[14][6] ),
-    .A2(_09306_),
-    .B1(_09077_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[57][6] ),
+ sky130_fd_sc_hd__a221o_1 _14620_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[33][7] ),
+    .A2(_08973_),
+    .B1(_09093_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[11][7] ),
     .C1(_09449_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09450_));
- sky130_fd_sc_hd__a22o_1 _14629_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[37][6] ),
-    .A2(_09311_),
-    .B1(_09312_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[28][6] ),
+ sky130_fd_sc_hd__a22o_1 _14621_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[56][7] ),
+    .A2(_09019_),
+    .B1(_09099_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[5][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09451_));
- sky130_fd_sc_hd__a221o_2 _14630_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[21][6] ),
-    .A2(_09309_),
-    .B1(_09310_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[35][6] ),
+ sky130_fd_sc_hd__a221o_1 _14622_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[40][7] ),
+    .A2(_08954_),
+    .B1(_09062_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[58][7] ),
     .C1(_09451_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09452_));
- sky130_fd_sc_hd__a2111o_1 _14631_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[1][6] ),
-    .A2(_09303_),
-    .B1(_09448_),
-    .C1(_09450_),
-    .D1(_09452_),
+ sky130_fd_sc_hd__a22o_1 _14623_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[15][7] ),
+    .A2(_08885_),
+    .B1(_08918_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[52][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09453_));
- sky130_fd_sc_hd__a22o_1 _14632_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[6][6] ),
-    .A2(_09084_),
-    .B1(_09122_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[2][6] ),
+ sky130_fd_sc_hd__a221o_1 _14624_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[47][7] ),
+    .A2(_08891_),
+    .B1(_09050_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[46][7] ),
+    .C1(_09453_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09454_));
- sky130_fd_sc_hd__a221o_1 _14633_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[51][6] ),
-    .A2(_09316_),
-    .B1(_09317_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[12][6] ),
-    .C1(_09454_),
+ sky130_fd_sc_hd__a22o_1 _14625_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[19][7] ),
+    .A2(_08964_),
+    .B1(_09056_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[30][7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09455_));
- sky130_fd_sc_hd__a22o_1 _14634_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[53][6] ),
-    .A2(_09016_),
-    .B1(_09062_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[54][6] ),
+ sky130_fd_sc_hd__a221o_1 _14626_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[36][7] ),
+    .A2(_09035_),
+    .B1(_09107_),
+    .B2(\u_usb_host.u_core.u_fifo_rx.ram[20][7] ),
+    .C1(_09455_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09456_));
- sky130_fd_sc_hd__a221o_1 _14635_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[41][6] ),
-    .A2(_09320_),
-    .B1(_09321_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[17][6] ),
-    .C1(_09456_),
+ sky130_fd_sc_hd__or4_1 _14627_ (.A(_09450_),
+    .B(_09452_),
+    .C(_09454_),
+    .D(_09456_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09457_));
- sky130_fd_sc_hd__a22o_1 _14636_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[42][6] ),
-    .A2(_09020_),
-    .B1(_09114_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[16][6] ),
+ sky130_fd_sc_hd__or4_1 _14628_ (.A(_09433_),
+    .B(_09439_),
+    .C(_09448_),
+    .D(_09457_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09458_));
- sky130_fd_sc_hd__a221o_1 _14637_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[60][6] ),
-    .A2(_09324_),
-    .B1(_09325_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[62][6] ),
-    .C1(_09458_),
+ sky130_fd_sc_hd__or3_2 _14629_ (.A(_09423_),
+    .B(_09431_),
+    .C(_09458_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09459_));
- sky130_fd_sc_hd__a22o_1 _14638_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[10][6] ),
-    .A2(_09154_),
-    .B1(_09157_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[25][6] ),
+ sky130_fd_sc_hd__o211a_4 _14630_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[0][7] ),
+    .A2(_08869_),
+    .B1(_09459_),
+    .C1(_05660_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09460_));
- sky130_fd_sc_hd__a221o_1 _14639_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[27][6] ),
-    .A2(_09099_),
-    .B1(_09328_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[59][6] ),
+ sky130_fd_sc_hd__a221o_1 _14631_ (.A1(\u_usb_host.u_core.usb_ctrl_phy_dmpulldown_out_w ),
+    .A2(_05652_),
+    .B1(_09140_),
+    .B2(\u_usb_host.u_core.status_rx_count_w[7] ),
     .C1(_09460_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09461_));
- sky130_fd_sc_hd__or4_1 _14640_ (.A(_09455_),
-    .B(_09457_),
-    .C(_09459_),
-    .D(_09461_),
+ sky130_fd_sc_hd__a221o_1 _14632_ (.A1(\u_usb_host.u_core.u_sie.data_len_i[7] ),
+    .A2(_09249_),
+    .B1(_09341_),
+    .B2(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[2] ),
+    .C1(_09461_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09462_));
- sky130_fd_sc_hd__a22o_1 _14641_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[49][6] ),
-    .A2(_08964_),
-    .B1(_08957_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[38][6] ),
+ sky130_fd_sc_hd__mux2_1 _14633_ (.A0(\u_usb_host.reg_rdata[7] ),
+    .A1(_09462_),
+    .S(_09296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09463_));
- sky130_fd_sc_hd__a221o_1 _14642_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[33][6] ),
-    .A2(_09332_),
-    .B1(_09333_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[11][6] ),
-    .C1(_09463_),
+ sky130_fd_sc_hd__clkbuf_1 _14634_ (.A(_09463_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00719_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14635_ (.A(_09340_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09464_));
- sky130_fd_sc_hd__a22o_1 _14643_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[5][6] ),
-    .A2(_09003_),
-    .B1(_09079_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[56][6] ),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14636_ (.A(_09464_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09465_));
- sky130_fd_sc_hd__a221o_1 _14644_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[58][6] ),
-    .A2(_09034_),
-    .B1(_09336_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[40][6] ),
-    .C1(_09465_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09466_));
- sky130_fd_sc_hd__a22o_1 _14645_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[15][6] ),
-    .A2(_08942_),
-    .B1(_09092_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[52][6] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09467_));
- sky130_fd_sc_hd__a221o_2 _14646_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[47][6] ),
-    .A2(_08931_),
-    .B1(_09087_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[46][6] ),
-    .C1(_09467_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09468_));
- sky130_fd_sc_hd__a22o_1 _14647_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[19][6] ),
-    .A2(_09050_),
-    .B1(_09109_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[30][6] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09469_));
- sky130_fd_sc_hd__a221o_1 _14648_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[36][6] ),
-    .A2(_09341_),
-    .B1(_09342_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[20][6] ),
-    .C1(_09469_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09470_));
- sky130_fd_sc_hd__or4_1 _14649_ (.A(_09464_),
-    .B(_09466_),
-    .C(_09468_),
-    .D(_09470_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09471_));
- sky130_fd_sc_hd__or4_4 _14650_ (.A(_09447_),
-    .B(_09453_),
-    .C(_09462_),
-    .D(_09471_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09472_));
- sky130_fd_sc_hd__o221a_4 _14651_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[0][6] ),
-    .A2(_08919_),
-    .B1(_09445_),
-    .B2(_09472_),
-    .C1(_05677_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09473_));
- sky130_fd_sc_hd__a221o_1 _14652_ (.A1(\u_usb_host.u_core.usb_ctrl_phy_dppulldown_out_w ),
-    .A2(_05670_),
-    .B1(_09180_),
-    .B2(_05292_),
-    .C1(_09473_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09474_));
- sky130_fd_sc_hd__a221o_1 _14653_ (.A1(\u_usb_host.u_core.u_sie.data_len_i[6] ),
-    .A2(_09283_),
-    .B1(_09396_),
-    .B2(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[1] ),
-    .C1(_09474_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09475_));
- sky130_fd_sc_hd__mux2_1 _14654_ (.A0(\u_usb_host.reg_rdata[6] ),
-    .A1(_09475_),
-    .S(_09352_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09476_));
- sky130_fd_sc_hd__clkbuf_1 _14655_ (.A(_09476_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00726_));
- sky130_fd_sc_hd__a22o_1 _14656_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[4][7] ),
-    .A2(_09287_),
-    .B1(_09012_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[48][7] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09477_));
- sky130_fd_sc_hd__a221o_1 _14657_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[43][7] ),
-    .A2(_09211_),
-    .B1(_09026_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[63][7] ),
-    .C1(_09477_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09478_));
- sky130_fd_sc_hd__a22o_1 _14658_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[18][7] ),
-    .A2(_08997_),
-    .B1(_09290_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[8][7] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09479_));
- sky130_fd_sc_hd__a221o_1 _14659_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[24][7] ),
-    .A2(_09090_),
-    .B1(_09134_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[34][7] ),
-    .C1(_09479_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09480_));
- sky130_fd_sc_hd__a22o_1 _14660_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[50][7] ),
-    .A2(_08947_),
-    .B1(_08994_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[44][7] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09481_));
- sky130_fd_sc_hd__a211o_1 _14661_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[22][7] ),
-    .A2(_09294_),
-    .B1(_08974_),
-    .C1(_09481_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09482_));
- sky130_fd_sc_hd__a221o_1 _14662_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[31][7] ),
-    .A2(_08937_),
-    .B1(_09293_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[55][7] ),
-    .C1(_09482_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09483_));
- sky130_fd_sc_hd__a2111o_1 _14663_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[7][7] ),
-    .A2(_09120_),
-    .B1(_09478_),
-    .C1(_09480_),
-    .D1(_09483_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09484_));
- sky130_fd_sc_hd__a221o_2 _14664_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[39][7] ),
-    .A2(_09285_),
-    .B1(_09286_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[23][7] ),
-    .C1(_09484_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09485_));
- sky130_fd_sc_hd__a22o_1 _14665_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[26][7] ),
-    .A2(_09189_),
-    .B1(_09202_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[3][7] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09486_));
- sky130_fd_sc_hd__a221o_1 _14666_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[32][7] ),
-    .A2(_09300_),
-    .B1(_09214_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[61][7] ),
-    .C1(_09486_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09487_));
- sky130_fd_sc_hd__a22o_1 _14667_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[45][7] ),
-    .A2(_09304_),
-    .B1(_09103_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[13][7] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09488_));
- sky130_fd_sc_hd__a22o_1 _14668_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[29][7] ),
-    .A2(_08980_),
-    .B1(_09066_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[9][7] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09489_));
- sky130_fd_sc_hd__a221o_1 _14669_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[14][7] ),
-    .A2(_09306_),
-    .B1(_09077_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[57][7] ),
-    .C1(_09489_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09490_));
- sky130_fd_sc_hd__a22o_1 _14670_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[37][7] ),
-    .A2(_09311_),
-    .B1(_09312_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[28][7] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09491_));
- sky130_fd_sc_hd__a221o_2 _14671_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[21][7] ),
-    .A2(_09309_),
-    .B1(_09310_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[35][7] ),
-    .C1(_09491_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09492_));
- sky130_fd_sc_hd__a2111o_1 _14672_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[1][7] ),
-    .A2(_09130_),
-    .B1(_09488_),
-    .C1(_09490_),
-    .D1(_09492_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09493_));
- sky130_fd_sc_hd__a22o_1 _14673_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[6][7] ),
-    .A2(_09083_),
-    .B1(_09121_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[2][7] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09494_));
- sky130_fd_sc_hd__a221o_1 _14674_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[51][7] ),
-    .A2(_09316_),
-    .B1(_09317_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[12][7] ),
-    .C1(_09494_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09495_));
- sky130_fd_sc_hd__a22o_1 _14675_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[53][7] ),
-    .A2(_09015_),
-    .B1(_09061_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[54][7] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09496_));
- sky130_fd_sc_hd__a221o_1 _14676_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[41][7] ),
-    .A2(_09320_),
-    .B1(_09321_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[17][7] ),
-    .C1(_09496_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09497_));
- sky130_fd_sc_hd__a22o_1 _14677_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[42][7] ),
-    .A2(_09019_),
-    .B1(_09113_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[16][7] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09498_));
- sky130_fd_sc_hd__a221o_1 _14678_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[60][7] ),
-    .A2(_09324_),
-    .B1(_09325_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[62][7] ),
-    .C1(_09498_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09499_));
- sky130_fd_sc_hd__a22o_1 _14679_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[10][7] ),
-    .A2(_09153_),
-    .B1(_09156_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[25][7] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09500_));
- sky130_fd_sc_hd__a221o_1 _14680_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[27][7] ),
-    .A2(_09098_),
-    .B1(_09328_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[59][7] ),
-    .C1(_09500_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09501_));
- sky130_fd_sc_hd__or4_1 _14681_ (.A(_09495_),
-    .B(_09497_),
-    .C(_09499_),
-    .D(_09501_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09502_));
- sky130_fd_sc_hd__a22o_1 _14682_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[49][7] ),
-    .A2(_08963_),
-    .B1(_08956_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[38][7] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09503_));
- sky130_fd_sc_hd__a221o_1 _14683_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[33][7] ),
-    .A2(_09332_),
-    .B1(_09333_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[11][7] ),
-    .C1(_09503_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09504_));
- sky130_fd_sc_hd__a22o_1 _14684_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[5][7] ),
-    .A2(_09002_),
-    .B1(_09078_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[56][7] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09505_));
- sky130_fd_sc_hd__a221o_1 _14685_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[58][7] ),
-    .A2(_09033_),
-    .B1(_09336_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[40][7] ),
-    .C1(_09505_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09506_));
- sky130_fd_sc_hd__a22o_1 _14686_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[15][7] ),
-    .A2(_08941_),
-    .B1(_09091_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[52][7] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09507_));
- sky130_fd_sc_hd__a221o_2 _14687_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[47][7] ),
-    .A2(_08930_),
-    .B1(_09086_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[46][7] ),
-    .C1(_09507_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09508_));
- sky130_fd_sc_hd__a22o_1 _14688_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[19][7] ),
-    .A2(_09049_),
-    .B1(_09108_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[30][7] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09509_));
- sky130_fd_sc_hd__a221o_1 _14689_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[36][7] ),
-    .A2(_09341_),
-    .B1(_09342_),
-    .B2(\u_usb_host.u_core.u_fifo_rx.ram[20][7] ),
-    .C1(_09509_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09510_));
- sky130_fd_sc_hd__or4_1 _14690_ (.A(_09504_),
-    .B(_09506_),
-    .C(_09508_),
-    .D(_09510_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09511_));
- sky130_fd_sc_hd__or4_4 _14691_ (.A(_09487_),
-    .B(_09493_),
-    .C(_09502_),
-    .D(_09511_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09512_));
- sky130_fd_sc_hd__o221a_4 _14692_ (.A1(\u_usb_host.u_core.u_fifo_rx.ram[0][7] ),
-    .A2(_08918_),
-    .B1(_09485_),
-    .B2(_09512_),
-    .C1(_05677_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09513_));
- sky130_fd_sc_hd__a221o_1 _14693_ (.A1(\u_usb_host.u_core.usb_ctrl_phy_dmpulldown_out_w ),
-    .A2(_05670_),
-    .B1(_09169_),
-    .B2(\u_usb_host.u_core.status_rx_count_w[7] ),
-    .C1(_09513_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09514_));
- sky130_fd_sc_hd__a221o_2 _14694_ (.A1(\u_usb_host.u_core.u_sie.data_len_i[7] ),
-    .A2(_09283_),
-    .B1(_09396_),
-    .B2(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[2] ),
-    .C1(_09514_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09515_));
- sky130_fd_sc_hd__mux2_1 _14695_ (.A0(\u_usb_host.reg_rdata[7] ),
-    .A1(_09515_),
-    .S(_09351_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09516_));
- sky130_fd_sc_hd__clkbuf_1 _14696_ (.A(_09516_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00727_));
- sky130_fd_sc_hd__clkbuf_1 _14697_ (.A(_09395_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09517_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14698_ (.A(_09517_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09518_));
- sky130_fd_sc_hd__a22o_1 _14699_ (.A1(_05286_),
-    .A2(_09182_),
-    .B1(_09518_),
+ sky130_fd_sc_hd__a22o_1 _14637_ (.A1(_05274_),
+    .A2(_09151_),
+    .B1(_09465_),
     .B2(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .X(_09466_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14638_ (.A(_09152_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09467_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14639_ (.A(_08850_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09468_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14640_ (.A(_09468_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09469_));
+ sky130_fd_sc_hd__a21o_1 _14641_ (.A1(\u_usb_host.u_core.u_sie.data_len_i[8] ),
+    .A2(_09467_),
+    .B1(_09469_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09470_));
+ sky130_fd_sc_hd__o22a_1 _14642_ (.A1(\u_usb_host.reg_rdata[8] ),
+    .A2(_09299_),
+    .B1(_09466_),
+    .B2(_09470_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00720_));
+ sky130_fd_sc_hd__clkbuf_1 _14643_ (.A(_09250_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09471_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14644_ (.A(_09471_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09472_));
+ sky130_fd_sc_hd__a22o_1 _14645_ (.A1(_05273_),
+    .A2(_09472_),
+    .B1(_09465_),
+    .B2(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09473_));
+ sky130_fd_sc_hd__a21o_1 _14646_ (.A1(\u_usb_host.u_core.u_sie.data_len_i[9] ),
+    .A2(_09467_),
+    .B1(_09469_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09474_));
+ sky130_fd_sc_hd__o22a_1 _14647_ (.A1(\u_usb_host.reg_rdata[9] ),
+    .A2(_09299_),
+    .B1(_09473_),
+    .B2(_09474_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00721_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14648_ (.A(_09296_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09475_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14649_ (.A(_09475_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09476_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14650_ (.A(_09464_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09477_));
+ sky130_fd_sc_hd__clkbuf_1 _14651_ (.A(_09468_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09478_));
+ sky130_fd_sc_hd__a21o_1 _14652_ (.A1(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[1] ),
+    .A2(_09477_),
+    .B1(_09478_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09479_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14653_ (.A(_09152_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09480_));
+ sky130_fd_sc_hd__a22o_1 _14654_ (.A1(_05275_),
+    .A2(_09151_),
+    .B1(_09480_),
+    .B2(\u_usb_host.u_core.u_sie.data_len_i[10] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09481_));
+ sky130_fd_sc_hd__o22a_1 _14655_ (.A1(\u_usb_host.reg_rdata[10] ),
+    .A2(_09476_),
+    .B1(_09479_),
+    .B2(_09481_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00722_));
+ sky130_fd_sc_hd__a22o_1 _14656_ (.A1(\u_usb_host.u_core.status_rx_count_w[11] ),
+    .A2(_09472_),
+    .B1(_09465_),
+    .B2(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09482_));
+ sky130_fd_sc_hd__a21o_1 _14657_ (.A1(\u_usb_host.u_core.u_sie.data_len_i[11] ),
+    .A2(_09467_),
+    .B1(_09469_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09483_));
+ sky130_fd_sc_hd__o22a_1 _14658_ (.A1(\u_usb_host.reg_rdata[11] ),
+    .A2(_09476_),
+    .B1(_09482_),
+    .B2(_09483_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00723_));
+ sky130_fd_sc_hd__a22o_1 _14659_ (.A1(_05272_),
+    .A2(_09472_),
+    .B1(_09465_),
+    .B2(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09484_));
+ sky130_fd_sc_hd__a21o_1 _14660_ (.A1(\u_usb_host.u_core.u_sie.data_len_i[12] ),
+    .A2(_09467_),
+    .B1(_09469_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09485_));
+ sky130_fd_sc_hd__o22a_1 _14661_ (.A1(\u_usb_host.reg_rdata[12] ),
+    .A2(_09476_),
+    .B1(_09484_),
+    .B2(_09485_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00724_));
+ sky130_fd_sc_hd__a21o_1 _14662_ (.A1(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[4] ),
+    .A2(_09477_),
+    .B1(_09478_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09486_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14663_ (.A(\u_usb_host.u_core.status_rx_count_w[13] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09487_));
+ sky130_fd_sc_hd__a22o_1 _14664_ (.A1(_09487_),
+    .A2(_09151_),
+    .B1(_09480_),
+    .B2(\u_usb_host.u_core.u_sie.data_len_i[13] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09488_));
+ sky130_fd_sc_hd__o22a_1 _14665_ (.A1(\u_usb_host.reg_rdata[13] ),
+    .A2(_09476_),
+    .B1(_09486_),
+    .B2(_09488_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00725_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14666_ (.A(_09475_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09489_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14667_ (.A(\u_usb_host.u_core.status_rx_count_w[14] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09490_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14668_ (.A(_09464_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09491_));
+ sky130_fd_sc_hd__a22o_1 _14669_ (.A1(_09490_),
+    .A2(_09472_),
+    .B1(_09491_),
+    .B2(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09492_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14670_ (.A(_09468_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09493_));
+ sky130_fd_sc_hd__a21o_1 _14671_ (.A1(\u_usb_host.u_core.u_sie.data_len_i[14] ),
+    .A2(_09480_),
+    .B1(_09493_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09494_));
+ sky130_fd_sc_hd__o22a_1 _14672_ (.A1(\u_usb_host.reg_rdata[14] ),
+    .A2(_09489_),
+    .B1(_09492_),
+    .B2(_09494_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00726_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14673_ (.A(_09471_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09495_));
+ sky130_fd_sc_hd__a21o_1 _14674_ (.A1(\u_usb_host.u_core.status_rx_count_w[15] ),
+    .A2(_09495_),
+    .B1(_09478_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09496_));
+ sky130_fd_sc_hd__a22o_1 _14675_ (.A1(\u_usb_host.u_core.u_sie.data_len_i[15] ),
+    .A2(_09480_),
+    .B1(_09477_),
+    .B2(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[6] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09497_));
+ sky130_fd_sc_hd__o22a_1 _14676_ (.A1(\u_usb_host.reg_rdata[15] ),
+    .A2(_09489_),
+    .B1(_09496_),
+    .B2(_09497_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00727_));
+ sky130_fd_sc_hd__clkbuf_2 _14677_ (.A(\u_usb_host.u_core.sof_time_q[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09498_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14678_ (.A(_09145_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09499_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14679_ (.A(_09499_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09500_));
+ sky130_fd_sc_hd__a22o_1 _14680_ (.A1(_09498_),
+    .A2(_09500_),
+    .B1(_09491_),
+    .B2(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09501_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14681_ (.A(_09250_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09502_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14682_ (.A(_09502_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09503_));
+ sky130_fd_sc_hd__a21o_1 _14683_ (.A1(\u_usb_host.u_core.status_response_w[0] ),
+    .A2(_09503_),
+    .B1(_09493_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09504_));
+ sky130_fd_sc_hd__o22a_1 _14684_ (.A1(\u_usb_host.reg_rdata[16] ),
+    .A2(_09489_),
+    .B1(_09501_),
+    .B2(_09504_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00728_));
+ sky130_fd_sc_hd__and2_1 _14685_ (.A(_09295_),
+    .B(_09499_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09505_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14686_ (.A(_09505_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09506_));
+ sky130_fd_sc_hd__clkbuf_2 _14687_ (.A(_09296_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09507_));
+ sky130_fd_sc_hd__clkbuf_2 _14688_ (.A(_09341_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09508_));
+ sky130_fd_sc_hd__a221o_1 _14689_ (.A1(\u_usb_host.u_core.status_response_w[1] ),
+    .A2(_09471_),
+    .B1(_09508_),
+    .B2(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[1] ),
+    .C1(_08851_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09509_));
+ sky130_fd_sc_hd__o21a_1 _14690_ (.A1(\u_usb_host.reg_rdata[17] ),
+    .A2(_09507_),
+    .B1(_09509_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09510_));
+ sky130_fd_sc_hd__a21o_1 _14691_ (.A1(_05939_),
+    .A2(_09506_),
+    .B1(_09510_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00729_));
+ sky130_fd_sc_hd__a22o_1 _14692_ (.A1(\u_usb_host.u_core.status_response_w[2] ),
+    .A2(_09502_),
+    .B1(_09491_),
+    .B2(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09511_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14693_ (.A(_09499_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09512_));
+ sky130_fd_sc_hd__a21o_1 _14694_ (.A1(\u_usb_host.u_core.sof_time_q[2] ),
+    .A2(_09512_),
+    .B1(_09493_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09513_));
+ sky130_fd_sc_hd__o22a_1 _14695_ (.A1(\u_usb_host.reg_rdata[18] ),
+    .A2(_09489_),
+    .B1(_09511_),
+    .B2(_09513_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00730_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14696_ (.A(_09475_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09514_));
+ sky130_fd_sc_hd__a22o_1 _14697_ (.A1(\u_usb_host.u_core.status_response_w[3] ),
+    .A2(_09502_),
+    .B1(_09491_),
+    .B2(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09515_));
+ sky130_fd_sc_hd__a21o_1 _14698_ (.A1(_05931_),
+    .A2(_09512_),
+    .B1(_09493_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09516_));
+ sky130_fd_sc_hd__o22a_1 _14699_ (.A1(\u_usb_host.reg_rdata[19] ),
+    .A2(_09514_),
+    .B1(_09515_),
+    .B2(_09516_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00731_));
+ sky130_fd_sc_hd__a21o_1 _14700_ (.A1(\u_usb_host.u_core.status_response_w[4] ),
+    .A2(_09495_),
+    .B1(_08852_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09517_));
+ sky130_fd_sc_hd__a22o_1 _14701_ (.A1(_05932_),
+    .A2(_09512_),
+    .B1(_09477_),
+    .B2(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09518_));
+ sky130_fd_sc_hd__o22a_1 _14702_ (.A1(\u_usb_host.reg_rdata[20] ),
+    .A2(_09514_),
+    .B1(_09517_),
+    .B2(_09518_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00732_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14703_ (.A(_09464_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .X(_09519_));
- sky130_fd_sc_hd__clkbuf_1 _14700_ (.A(_09183_),
+ sky130_fd_sc_hd__a22o_1 _14704_ (.A1(\u_usb_host.u_core.status_response_w[5] ),
+    .A2(_09502_),
+    .B1(_09519_),
+    .B2(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09520_));
- sky130_fd_sc_hd__clkbuf_1 _14701_ (.A(_08901_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14705_ (.A(_09468_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09521_));
- sky130_fd_sc_hd__a21o_1 _14702_ (.A1(\u_usb_host.u_core.u_sie.data_len_i[8] ),
-    .A2(_09520_),
+ sky130_fd_sc_hd__a21o_1 _14706_ (.A1(_05933_),
+    .A2(_09512_),
     .B1(_09521_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09522_));
- sky130_fd_sc_hd__o22a_1 _14703_ (.A1(\u_usb_host.reg_rdata[8] ),
-    .A2(_09354_),
-    .B1(_09519_),
+ sky130_fd_sc_hd__o22a_1 _14707_ (.A1(\u_usb_host.reg_rdata[21] ),
+    .A2(_09514_),
+    .B1(_09520_),
     .B2(_09522_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00728_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14704_ (.A(_09279_),
+    .X(_00733_));
+ sky130_fd_sc_hd__clkbuf_2 _14708_ (.A(\u_usb_host.u_core.sof_time_q[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09523_));
- sky130_fd_sc_hd__clkbuf_1 _14705_ (.A(_09523_),
+ sky130_fd_sc_hd__a22o_1 _14709_ (.A1(_09523_),
+    .A2(_09500_),
+    .B1(_09519_),
+    .B2(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09524_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14706_ (.A(_08900_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09525_));
- sky130_fd_sc_hd__a21o_1 _14707_ (.A1(_05285_),
-    .A2(_09524_),
-    .B1(_09525_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09526_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14708_ (.A(_09183_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09527_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14709_ (.A(_09517_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09528_));
- sky130_fd_sc_hd__a22o_1 _14710_ (.A1(\u_usb_host.u_core.u_sie.data_len_i[9] ),
-    .A2(_09527_),
-    .B1(_09528_),
-    .B2(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09529_));
- sky130_fd_sc_hd__o22a_1 _14711_ (.A1(\u_usb_host.reg_rdata[9] ),
-    .A2(_09354_),
-    .B1(_09526_),
-    .B2(_09529_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00729_));
- sky130_fd_sc_hd__clkbuf_1 _14712_ (.A(_09351_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09530_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14713_ (.A(_09530_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09531_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14714_ (.A(_09181_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09532_));
- sky130_fd_sc_hd__a22o_1 _14715_ (.A1(_05287_),
-    .A2(_09532_),
-    .B1(_09518_),
-    .B2(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09533_));
- sky130_fd_sc_hd__a21o_1 _14716_ (.A1(\u_usb_host.u_core.u_sie.data_len_i[10] ),
-    .A2(_09520_),
+ sky130_fd_sc_hd__a21o_1 _14710_ (.A1(\u_usb_host.u_core.status_response_w[6] ),
+    .A2(_09503_),
     .B1(_09521_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .X(_09525_));
+ sky130_fd_sc_hd__o22a_1 _14711_ (.A1(\u_usb_host.reg_rdata[22] ),
+    .A2(_09514_),
+    .B1(_09524_),
+    .B2(_09525_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00734_));
+ sky130_fd_sc_hd__a22o_1 _14712_ (.A1(_05935_),
+    .A2(_09500_),
+    .B1(_09519_),
+    .B2(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[7] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09526_));
+ sky130_fd_sc_hd__a21o_1 _14713_ (.A1(\u_usb_host.u_core.status_response_w[7] ),
+    .A2(_09503_),
+    .B1(_09521_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09527_));
+ sky130_fd_sc_hd__o22a_1 _14714_ (.A1(\u_usb_host.reg_rdata[23] ),
+    .A2(_09507_),
+    .B1(_09526_),
+    .B2(_09527_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00735_));
+ sky130_fd_sc_hd__clkbuf_1 _14715_ (.A(\u_usb_host.u_core.sof_time_q[8] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09528_));
+ sky130_fd_sc_hd__a22o_1 _14716_ (.A1(\u_usb_host.reg_rdata[24] ),
+    .A2(_08853_),
+    .B1(_09506_),
+    .B2(_09528_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00736_));
+ sky130_fd_sc_hd__a22o_1 _14717_ (.A1(\u_usb_host.reg_rdata[25] ),
+    .A2(_08854_),
+    .B1(_09506_),
+    .B2(_05942_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00737_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14718_ (.A(\u_usb_host.u_core.sof_time_q[10] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09529_));
+ sky130_fd_sc_hd__a22o_1 _14719_ (.A1(\u_usb_host.reg_rdata[26] ),
+    .A2(_08854_),
+    .B1(_09505_),
+    .B2(_09529_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00738_));
+ sky130_fd_sc_hd__a22o_1 _14720_ (.A1(\u_usb_host.reg_rdata[27] ),
+    .A2(_08854_),
+    .B1(_09505_),
+    .B2(_05930_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00739_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14721_ (.A(\u_usb_host.u_core.sof_time_q[12] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09530_));
+ sky130_fd_sc_hd__a22o_1 _14722_ (.A1(_09530_),
+    .A2(_09500_),
+    .B1(_09519_),
+    .B2(\u_usb_host.u_core.u_sie.data_idx_i ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09531_));
+ sky130_fd_sc_hd__a21o_1 _14723_ (.A1(_07896_),
+    .A2(_09495_),
+    .B1(_09521_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09532_));
+ sky130_fd_sc_hd__o22a_1 _14724_ (.A1(\u_usb_host.reg_rdata[28] ),
+    .A2(_09507_),
+    .B1(_09531_),
+    .B2(_09532_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00740_));
+ sky130_fd_sc_hd__a22o_1 _14725_ (.A1(_05929_),
+    .A2(_09499_),
+    .B1(_09508_),
+    .B2(\u_usb_host.u_core.usb_xfer_token_ack_out_w ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09533_));
+ sky130_fd_sc_hd__a21o_1 _14726_ (.A1(\u_usb_host.u_core.status_timeout_w ),
+    .A2(_09495_),
+    .B1(_09478_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .X(_09534_));
- sky130_fd_sc_hd__o22a_1 _14717_ (.A1(\u_usb_host.reg_rdata[10] ),
-    .A2(_09531_),
+ sky130_fd_sc_hd__o22a_1 _14727_ (.A1(\u_usb_host.reg_rdata[29] ),
+    .A2(_09507_),
     .B1(_09533_),
     .B2(_09534_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00730_));
- sky130_fd_sc_hd__a22o_1 _14718_ (.A1(\u_usb_host.u_core.status_rx_count_w[11] ),
-    .A2(_09532_),
-    .B1(_09518_),
-    .B2(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[2] ),
+    .X(_00741_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14728_ (.A(\u_usb_host.u_core.sof_time_q[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09535_));
- sky130_fd_sc_hd__a21o_1 _14719_ (.A1(\u_usb_host.u_core.u_sie.data_len_i[11] ),
-    .A2(_09520_),
-    .B1(_09521_),
+ sky130_fd_sc_hd__a221o_1 _14729_ (.A1(\u_usb_host.u_core.status_crc_err_w ),
+    .A2(_09471_),
+    .B1(_09508_),
+    .B2(\u_usb_host.u_core.usb_xfer_token_in_out_w ),
+    .C1(_08851_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09536_));
- sky130_fd_sc_hd__o22a_1 _14720_ (.A1(\u_usb_host.reg_rdata[11] ),
-    .A2(_09531_),
-    .B1(_09535_),
-    .B2(_09536_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00731_));
- sky130_fd_sc_hd__a21o_1 _14721_ (.A1(_05289_),
-    .A2(_09182_),
-    .B1(_09525_),
+ sky130_fd_sc_hd__o21a_1 _14730_ (.A1(\u_usb_host.reg_rdata[30] ),
+    .A2(_09475_),
+    .B1(_09536_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_09537_));
- sky130_fd_sc_hd__a22o_1 _14722_ (.A1(\u_usb_host.u_core.u_sie.data_len_i[12] ),
-    .A2(_09527_),
-    .B1(_09528_),
-    .B2(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[3] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09538_));
- sky130_fd_sc_hd__o22a_1 _14723_ (.A1(\u_usb_host.reg_rdata[12] ),
-    .A2(_09531_),
+ sky130_fd_sc_hd__a21o_1 _14731_ (.A1(_09535_),
+    .A2(_09506_),
     .B1(_09537_),
-    .B2(_09538_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00732_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14724_ (.A(\u_usb_host.u_core.status_rx_count_w[13] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09539_));
- sky130_fd_sc_hd__a22o_1 _14725_ (.A1(_09539_),
-    .A2(_09532_),
-    .B1(_09518_),
-    .B2(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[4] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09540_));
- sky130_fd_sc_hd__a21o_1 _14726_ (.A1(\u_usb_host.u_core.u_sie.data_len_i[13] ),
-    .A2(_09520_),
-    .B1(_09521_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09541_));
- sky130_fd_sc_hd__o22a_1 _14727_ (.A1(\u_usb_host.reg_rdata[13] ),
-    .A2(_09531_),
-    .B1(_09540_),
-    .B2(_09541_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00733_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14728_ (.A(_09530_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09542_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14729_ (.A(\u_usb_host.u_core.status_rx_count_w[14] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09543_));
- sky130_fd_sc_hd__clkbuf_1 _14730_ (.A(_09517_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09544_));
- sky130_fd_sc_hd__a22o_1 _14731_ (.A1(_09543_),
-    .A2(_09532_),
-    .B1(_09544_),
-    .B2(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[5] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09545_));
- sky130_fd_sc_hd__clkbuf_2 _14732_ (.A(_08901_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09546_));
- sky130_fd_sc_hd__a21o_1 _14733_ (.A1(\u_usb_host.u_core.u_sie.data_len_i[14] ),
-    .A2(_09527_),
-    .B1(_09546_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09547_));
- sky130_fd_sc_hd__o22a_1 _14734_ (.A1(\u_usb_host.reg_rdata[14] ),
-    .A2(_09542_),
-    .B1(_09545_),
-    .B2(_09547_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00734_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14735_ (.A(_09279_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09548_));
- sky130_fd_sc_hd__a22o_1 _14736_ (.A1(\u_usb_host.u_core.status_rx_count_w[15] ),
-    .A2(_09548_),
-    .B1(_09544_),
-    .B2(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[6] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09549_));
- sky130_fd_sc_hd__a21o_1 _14737_ (.A1(\u_usb_host.u_core.u_sie.data_len_i[15] ),
-    .A2(_09527_),
-    .B1(_09546_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09550_));
- sky130_fd_sc_hd__o22a_1 _14738_ (.A1(\u_usb_host.reg_rdata[15] ),
-    .A2(_09542_),
-    .B1(_09549_),
-    .B2(_09550_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00735_));
- sky130_fd_sc_hd__clkbuf_1 _14739_ (.A(\u_usb_host.u_core.sof_time_q[0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09551_));
- sky130_fd_sc_hd__and2_1 _14740_ (.A(_09350_),
-    .B(_09185_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09552_));
- sky130_fd_sc_hd__clkbuf_1 _14741_ (.A(_09552_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09553_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14742_ (.A(_09351_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09554_));
- sky130_fd_sc_hd__clkbuf_2 _14743_ (.A(_09396_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09555_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14744_ (.A(_08899_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09556_));
- sky130_fd_sc_hd__a221o_1 _14745_ (.A1(\u_usb_host.u_core.status_response_w[0] ),
-    .A2(_09523_),
-    .B1(_09555_),
-    .B2(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[0] ),
-    .C1(_09556_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09557_));
- sky130_fd_sc_hd__o21a_1 _14746_ (.A1(\u_usb_host.reg_rdata[16] ),
-    .A2(_09554_),
-    .B1(_09557_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09558_));
- sky130_fd_sc_hd__a21o_1 _14747_ (.A1(_09551_),
-    .A2(_09553_),
-    .B1(_09558_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00736_));
- sky130_fd_sc_hd__a221o_1 _14748_ (.A1(\u_usb_host.u_core.status_response_w[1] ),
-    .A2(_09523_),
-    .B1(_09555_),
-    .B2(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[1] ),
-    .C1(_09556_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09559_));
- sky130_fd_sc_hd__o21a_1 _14749_ (.A1(\u_usb_host.reg_rdata[17] ),
-    .A2(_09554_),
-    .B1(_09559_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09560_));
- sky130_fd_sc_hd__a21o_1 _14750_ (.A1(_05889_),
-    .A2(_09553_),
-    .B1(_09560_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00737_));
- sky130_fd_sc_hd__a22o_1 _14751_ (.A1(\u_usb_host.u_core.status_response_w[2] ),
-    .A2(_09548_),
-    .B1(_09544_),
-    .B2(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[2] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09561_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14752_ (.A(_09185_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09562_));
- sky130_fd_sc_hd__clkbuf_1 _14753_ (.A(_09562_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09563_));
- sky130_fd_sc_hd__a21o_1 _14754_ (.A1(\u_usb_host.u_core.sof_time_q[2] ),
-    .A2(_09563_),
-    .B1(_09546_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09564_));
- sky130_fd_sc_hd__o22a_1 _14755_ (.A1(\u_usb_host.reg_rdata[18] ),
-    .A2(_09542_),
-    .B1(_09561_),
-    .B2(_09564_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00738_));
- sky130_fd_sc_hd__a21o_1 _14756_ (.A1(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[3] ),
-    .A2(_09528_),
-    .B1(_09525_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09565_));
- sky130_fd_sc_hd__a22o_1 _14757_ (.A1(\u_usb_host.u_core.status_response_w[3] ),
-    .A2(_09182_),
-    .B1(_09563_),
-    .B2(_05890_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09566_));
- sky130_fd_sc_hd__o22a_1 _14758_ (.A1(\u_usb_host.reg_rdata[19] ),
-    .A2(_09542_),
-    .B1(_09565_),
-    .B2(_09566_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00739_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14759_ (.A(_09530_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09567_));
- sky130_fd_sc_hd__a22o_1 _14760_ (.A1(\u_usb_host.u_core.status_response_w[4] ),
-    .A2(_09548_),
-    .B1(_09544_),
-    .B2(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[4] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09568_));
- sky130_fd_sc_hd__a21o_1 _14761_ (.A1(_05891_),
-    .A2(_09563_),
-    .B1(_09546_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09569_));
- sky130_fd_sc_hd__o22a_1 _14762_ (.A1(\u_usb_host.reg_rdata[20] ),
-    .A2(_09567_),
-    .B1(_09568_),
-    .B2(_09569_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00740_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14763_ (.A(_09517_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09570_));
- sky130_fd_sc_hd__a22o_1 _14764_ (.A1(\u_usb_host.u_core.status_response_w[5] ),
-    .A2(_09548_),
-    .B1(_09570_),
-    .B2(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[5] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09571_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14765_ (.A(_09556_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09572_));
- sky130_fd_sc_hd__a21o_1 _14766_ (.A1(_05888_),
-    .A2(_09563_),
-    .B1(_09572_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09573_));
- sky130_fd_sc_hd__o22a_1 _14767_ (.A1(\u_usb_host.reg_rdata[21] ),
-    .A2(_09567_),
-    .B1(_09571_),
-    .B2(_09573_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00741_));
- sky130_fd_sc_hd__a22o_1 _14768_ (.A1(_05893_),
-    .A2(_09562_),
-    .B1(_09570_),
-    .B2(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[6] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09574_));
- sky130_fd_sc_hd__a21o_1 _14769_ (.A1(\u_usb_host.u_core.status_response_w[6] ),
-    .A2(_09524_),
-    .B1(_09572_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09575_));
- sky130_fd_sc_hd__o22a_1 _14770_ (.A1(\u_usb_host.reg_rdata[22] ),
-    .A2(_09567_),
-    .B1(_09574_),
-    .B2(_09575_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00742_));
- sky130_fd_sc_hd__a221o_1 _14771_ (.A1(\u_usb_host.u_core.status_response_w[7] ),
-    .A2(_09181_),
-    .B1(_09555_),
-    .B2(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[7] ),
-    .C1(_09556_),
+ sky130_fd_sc_hd__a22o_1 _14732_ (.A1(\u_usb_host.reg_rdata[31] ),
+    .A2(_08852_),
+    .B1(_09505_),
+    .B2(_05928_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09576_));
- sky130_fd_sc_hd__o21a_1 _14772_ (.A1(\u_usb_host.reg_rdata[23] ),
-    .A2(_09554_),
-    .B1(_09576_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09577_));
- sky130_fd_sc_hd__a21o_1 _14773_ (.A1(_05898_),
-    .A2(_09553_),
-    .B1(_09577_),
+    .X(_09538_));
+ sky130_fd_sc_hd__a31o_1 _14733_ (.A1(\u_usb_host.u_core.usb_rx_stat_start_pend_in_w ),
+    .A2(_09299_),
+    .A3(_09503_),
+    .B1(_09538_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00743_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14774_ (.A(_09552_),
+ sky130_fd_sc_hd__nor2_1 _14734_ (.A(_07377_),
+    .B(_07839_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09578_));
- sky130_fd_sc_hd__clkbuf_1 _14775_ (.A(\u_usb_host.u_core.sof_time_q[8] ),
+    .Y(_09539_));
+ sky130_fd_sc_hd__clkbuf_2 _14735_ (.A(_09539_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09579_));
- sky130_fd_sc_hd__a22o_1 _14776_ (.A1(\u_usb_host.reg_rdata[24] ),
-    .A2(_08902_),
-    .B1(_09578_),
-    .B2(_09579_),
+    .X(_09540_));
+ sky130_fd_sc_hd__mux2_1 _14736_ (.A0(\u_uart_core.u_txfifo.mem[4][0] ),
+    .A1(_07854_),
+    .S(_09540_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09541_));
+ sky130_fd_sc_hd__clkbuf_1 _14737_ (.A(_09541_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00744_));
- sky130_fd_sc_hd__clkbuf_1 _14777_ (.A(\u_usb_host.u_core.sof_time_q[9] ),
+ sky130_fd_sc_hd__mux2_1 _14738_ (.A0(\u_uart_core.u_txfifo.mem[4][1] ),
+    .A1(_07858_),
+    .S(_09540_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09580_));
- sky130_fd_sc_hd__a22o_1 _14778_ (.A1(\u_usb_host.reg_rdata[25] ),
-    .A2(_08903_),
-    .B1(_09578_),
-    .B2(_09580_),
+    .X(_09542_));
+ sky130_fd_sc_hd__clkbuf_1 _14739_ (.A(_09542_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00745_));
- sky130_fd_sc_hd__clkbuf_1 _14779_ (.A(\u_usb_host.u_core.sof_time_q[10] ),
+ sky130_fd_sc_hd__mux2_1 _14740_ (.A0(\u_uart_core.u_txfifo.mem[4][2] ),
+    .A1(_07860_),
+    .S(_09540_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09581_));
- sky130_fd_sc_hd__a22o_1 _14780_ (.A1(\u_usb_host.reg_rdata[26] ),
-    .A2(_08903_),
-    .B1(_09578_),
-    .B2(_09581_),
+    .X(_09543_));
+ sky130_fd_sc_hd__clkbuf_1 _14741_ (.A(_09543_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00746_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14781_ (.A(\u_usb_host.u_core.sof_time_q[11] ),
+ sky130_fd_sc_hd__clkbuf_4 _14742_ (.A(_07578_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09582_));
- sky130_fd_sc_hd__a22o_1 _14782_ (.A1(\u_usb_host.reg_rdata[27] ),
-    .A2(_08903_),
-    .B1(_09578_),
-    .B2(_09582_),
+    .X(_09544_));
+ sky130_fd_sc_hd__mux2_1 _14743_ (.A0(\u_uart_core.u_txfifo.mem[4][3] ),
+    .A1(_09544_),
+    .S(_09540_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09545_));
+ sky130_fd_sc_hd__clkbuf_1 _14744_ (.A(_09545_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00747_));
- sky130_fd_sc_hd__clkbuf_2 _14783_ (.A(_05895_),
+ sky130_fd_sc_hd__clkbuf_2 _14745_ (.A(_09539_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09583_));
- sky130_fd_sc_hd__a22o_1 _14784_ (.A1(_07911_),
-    .A2(_09523_),
-    .B1(_09562_),
-    .B2(_09583_),
+    .X(_09546_));
+ sky130_fd_sc_hd__mux2_1 _14746_ (.A0(\u_uart_core.u_txfifo.mem[4][4] ),
+    .A1(_07811_),
+    .S(_09546_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09584_));
- sky130_fd_sc_hd__a21o_1 _14785_ (.A1(\u_usb_host.u_core.u_sie.data_idx_i ),
-    .A2(_09528_),
-    .B1(_09572_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09585_));
- sky130_fd_sc_hd__o22a_1 _14786_ (.A1(\u_usb_host.reg_rdata[28] ),
-    .A2(_09567_),
-    .B1(_09584_),
-    .B2(_09585_),
+    .X(_09547_));
+ sky130_fd_sc_hd__clkbuf_1 _14747_ (.A(_09547_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00748_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14787_ (.A(_05896_),
+ sky130_fd_sc_hd__mux2_1 _14748_ (.A0(\u_uart_core.u_txfifo.mem[4][5] ),
+    .A1(_07848_),
+    .S(_09546_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09586_));
- sky130_fd_sc_hd__a221o_1 _14788_ (.A1(\u_usb_host.u_core.status_timeout_w ),
-    .A2(_09181_),
-    .B1(_09555_),
-    .B2(\u_usb_host.u_core.usb_xfer_token_ack_out_w ),
-    .C1(_08900_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09587_));
- sky130_fd_sc_hd__o21a_1 _14789_ (.A1(\u_usb_host.reg_rdata[29] ),
-    .A2(_09530_),
-    .B1(_09587_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09588_));
- sky130_fd_sc_hd__a21o_1 _14790_ (.A1(_09586_),
-    .A2(_09553_),
-    .B1(_09588_),
+    .X(_09548_));
+ sky130_fd_sc_hd__clkbuf_1 _14749_ (.A(_09548_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00749_));
- sky130_fd_sc_hd__a22o_1 _14791_ (.A1(_05904_),
-    .A2(_09562_),
-    .B1(_09570_),
-    .B2(\u_usb_host.u_core.usb_xfer_token_in_out_w ),
+ sky130_fd_sc_hd__mux2_1 _14750_ (.A0(\u_uart_core.u_txfifo.mem[4][6] ),
+    .A1(_07850_),
+    .S(_09546_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09589_));
- sky130_fd_sc_hd__a21o_1 _14792_ (.A1(\u_usb_host.u_core.status_crc_err_w ),
-    .A2(_09524_),
-    .B1(_09572_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09590_));
- sky130_fd_sc_hd__o22a_1 _14793_ (.A1(\u_usb_host.reg_rdata[30] ),
-    .A2(_09554_),
-    .B1(_09589_),
-    .B2(_09590_),
+    .X(_09549_));
+ sky130_fd_sc_hd__clkbuf_1 _14751_ (.A(_09549_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00750_));
- sky130_fd_sc_hd__a22o_1 _14794_ (.A1(\u_usb_host.reg_rdata[31] ),
-    .A2(_09525_),
-    .B1(_09552_),
-    .B2(_05906_),
+ sky130_fd_sc_hd__mux2_1 _14752_ (.A0(\u_uart_core.u_txfifo.mem[4][7] ),
+    .A1(_07852_),
+    .S(_09546_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09591_));
- sky130_fd_sc_hd__a31o_1 _14795_ (.A1(\u_usb_host.u_core.usb_rx_stat_start_pend_in_w ),
-    .A2(_09354_),
-    .A3(_09524_),
-    .B1(_09591_),
+    .X(_09550_));
+ sky130_fd_sc_hd__clkbuf_1 _14753_ (.A(_09550_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00751_));
- sky130_fd_sc_hd__nand2_2 _14796_ (.A(_07366_),
-    .B(_07881_),
+ sky130_fd_sc_hd__clkbuf_1 _14754_ (.A(\u_usb_host.u_async_wb.u_resp_if.wr_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_09592_));
- sky130_fd_sc_hd__clkbuf_2 _14797_ (.A(_09592_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09593_));
- sky130_fd_sc_hd__mux2_1 _14798_ (.A0(_06441_),
-    .A1(\u_uart_core.u_txfifo.mem[4][0] ),
-    .S(_09593_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09594_));
- sky130_fd_sc_hd__clkbuf_1 _14799_ (.A(_09594_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00752_));
- sky130_fd_sc_hd__mux2_1 _14800_ (.A0(_06446_),
-    .A1(\u_uart_core.u_txfifo.mem[4][1] ),
-    .S(_09593_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09595_));
- sky130_fd_sc_hd__clkbuf_1 _14801_ (.A(_09595_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00753_));
- sky130_fd_sc_hd__mux2_1 _14802_ (.A0(_06448_),
-    .A1(\u_uart_core.u_txfifo.mem[4][2] ),
-    .S(_09593_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09596_));
- sky130_fd_sc_hd__clkbuf_1 _14803_ (.A(_09596_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00754_));
- sky130_fd_sc_hd__mux2_1 _14804_ (.A0(_06450_),
-    .A1(\u_uart_core.u_txfifo.mem[4][3] ),
-    .S(_09593_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09597_));
- sky130_fd_sc_hd__clkbuf_1 _14805_ (.A(_09597_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00755_));
- sky130_fd_sc_hd__clkbuf_2 _14806_ (.A(_09592_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09598_));
- sky130_fd_sc_hd__mux2_1 _14807_ (.A0(_07767_),
-    .A1(\u_uart_core.u_txfifo.mem[4][4] ),
-    .S(_09598_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09599_));
- sky130_fd_sc_hd__clkbuf_1 _14808_ (.A(_09599_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00756_));
- sky130_fd_sc_hd__mux2_1 _14809_ (.A0(_06456_),
-    .A1(\u_uart_core.u_txfifo.mem[4][5] ),
-    .S(_09598_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09600_));
- sky130_fd_sc_hd__clkbuf_1 _14810_ (.A(_09600_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00757_));
- sky130_fd_sc_hd__mux2_1 _14811_ (.A0(_06458_),
-    .A1(\u_uart_core.u_txfifo.mem[4][6] ),
-    .S(_09598_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09601_));
- sky130_fd_sc_hd__clkbuf_1 _14812_ (.A(_09601_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00758_));
- sky130_fd_sc_hd__mux2_1 _14813_ (.A0(_06460_),
-    .A1(\u_uart_core.u_txfifo.mem[4][7] ),
-    .S(_09598_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09602_));
- sky130_fd_sc_hd__clkbuf_1 _14814_ (.A(_09602_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00759_));
- sky130_fd_sc_hd__a2bb2o_1 _14815_ (.A1_N(\u_usb_host.u_async_wb.u_resp_if.wr_ptr[1] ),
-    .A2_N(_06836_),
-    .B1(_06838_),
+    .X(_09551_));
+ sky130_fd_sc_hd__a2bb2o_1 _14755_ (.A1_N(_09551_),
+    .A2_N(_06847_),
+    .B1(_07428_),
     .B2(\u_usb_host.u_async_wb.u_resp_if.grey_wr_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00760_));
- sky130_fd_sc_hd__inv_2 _14816_ (.A(_07423_),
+    .X(_00752_));
+ sky130_fd_sc_hd__inv_2 _14756_ (.A(_07434_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_09603_));
- sky130_fd_sc_hd__and2_1 _14817_ (.A(_06832_),
-    .B(_06840_),
+    .Y(_09552_));
+ sky130_fd_sc_hd__nor2_1 _14757_ (.A(_09551_),
+    .B(_06850_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09604_));
- sky130_fd_sc_hd__a221o_1 _14818_ (.A1(\u_usb_host.u_async_wb.u_resp_if.grey_wr_ptr[1] ),
-    .A2(_06838_),
-    .B1(_09603_),
-    .B2(\u_usb_host.u_async_wb.u_resp_if.wr_ptr[1] ),
-    .C1(_09604_),
+    .Y(_09553_));
+ sky130_fd_sc_hd__a221o_1 _14758_ (.A1(\u_usb_host.u_async_wb.u_resp_if.grey_wr_ptr[1] ),
+    .A2(_07428_),
+    .B1(_09552_),
+    .B2(_09551_),
+    .C1(_09553_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00761_));
- sky130_fd_sc_hd__inv_2 _14819_ (.A(\u_usb_host.u_core.usb_irq_ack_done_out_w ),
+    .X(_00753_));
+ sky130_fd_sc_hd__inv_2 _14759_ (.A(\u_usb_host.u_core.usb_irq_ack_done_out_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_09605_));
- sky130_fd_sc_hd__a211o_1 _14820_ (.A1(_09605_),
+    .Y(_09554_));
+ sky130_fd_sc_hd__a211o_1 _14760_ (.A1(_09554_),
     .A2(\u_usb_host.u_core.intr_done_q ),
     .B1(\u_usb_host.u_core.status_tx_done_w ),
     .C1(\u_usb_host.u_core.status_rx_done_w ),
@@ -202207,2609 +201297,2743 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00762_));
- sky130_fd_sc_hd__inv_2 _14821_ (.A(\u_usb_host.u_core.usb_irq_ack_sof_out_w ),
+    .X(_00754_));
+ sky130_fd_sc_hd__inv_2 _14761_ (.A(\u_usb_host.u_core.usb_irq_ack_sof_out_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_09606_));
- sky130_fd_sc_hd__a21o_1 _14822_ (.A1(_09606_),
+    .Y(_09555_));
+ sky130_fd_sc_hd__a21o_1 _14762_ (.A1(_09555_),
     .A2(\u_usb_host.u_core.intr_sof_q ),
     .B1(\u_usb_host.u_core.sof_irq_q ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00763_));
- sky130_fd_sc_hd__inv_2 _14823_ (.A(\u_usb_host.u_core.usb_irq_ack_err_out_w ),
+    .X(_00755_));
+ sky130_fd_sc_hd__inv_2 _14763_ (.A(\u_usb_host.u_core.usb_irq_ack_err_out_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_09607_));
- sky130_fd_sc_hd__inv_2 _14824_ (.A(\u_usb_host.u_core.err_cond_q ),
+    .Y(_09556_));
+ sky130_fd_sc_hd__inv_2 _14764_ (.A(\u_usb_host.u_core.err_cond_q ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_09608_));
- sky130_fd_sc_hd__a22o_1 _14825_ (.A1(_09607_),
+    .Y(_09557_));
+ sky130_fd_sc_hd__a22o_1 _14765_ (.A1(_09556_),
     .A2(\u_usb_host.u_core.intr_err_q ),
     .B1(_00080_),
-    .B2(_09608_),
+    .B2(_09557_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00764_));
- sky130_fd_sc_hd__inv_2 _14826_ (.A(\u_usb_host.u_core.usb_irq_ack_device_detect_out_w ),
+    .X(_00756_));
+ sky130_fd_sc_hd__inv_2 _14766_ (.A(\u_usb_host.u_core.usb_irq_ack_device_detect_out_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_09609_));
- sky130_fd_sc_hd__a21o_1 _14827_ (.A1(_09609_),
+    .Y(_09558_));
+ sky130_fd_sc_hd__a21o_1 _14767_ (.A1(_09558_),
     .A2(\u_usb_host.u_core.device_det_q ),
-    .B1(_05682_),
+    .B1(_05664_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00765_));
- sky130_fd_sc_hd__o21ba_1 _14828_ (.A1(\u_usb_host.u_core.utmi_rxerror_i ),
+    .X(_00757_));
+ sky130_fd_sc_hd__o21ba_1 _14768_ (.A1(\u_usb_host.u_core.utmi_rxerror_i ),
     .A2(\u_usb_host.u_core.usb_err_q ),
     .B1_N(\u_usb_host.u_core.usb_ctrl_wr_q ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00766_));
- sky130_fd_sc_hd__clkbuf_2 _14829_ (.A(_05394_),
+    .X(_00758_));
+ sky130_fd_sc_hd__clkbuf_2 _14769_ (.A(_05372_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09610_));
- sky130_fd_sc_hd__xnor2_1 _14830_ (.A(\u_usb_host.u_core.sof_value_q[0] ),
-    .B(_09610_),
+    .X(_09559_));
+ sky130_fd_sc_hd__xnor2_1 _14770_ (.A(\u_usb_host.u_core.sof_value_q[0] ),
+    .B(_09559_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_00767_));
- sky130_fd_sc_hd__and3_1 _14831_ (.A(\u_usb_host.u_core.sof_value_q[1] ),
+    .Y(_00759_));
+ sky130_fd_sc_hd__and3_1 _14771_ (.A(\u_usb_host.u_core.sof_value_q[1] ),
     .B(\u_usb_host.u_core.sof_value_q[0] ),
-    .C(_05395_),
+    .C(_05373_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09611_));
- sky130_fd_sc_hd__a21oi_1 _14832_ (.A1(\u_usb_host.u_core.sof_value_q[0] ),
-    .A2(_05395_),
+    .X(_09560_));
+ sky130_fd_sc_hd__a21oi_1 _14772_ (.A1(\u_usb_host.u_core.sof_value_q[0] ),
+    .A2(_05373_),
     .B1(\u_usb_host.u_core.sof_value_q[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_09612_));
- sky130_fd_sc_hd__nor2_1 _14833_ (.A(_09611_),
-    .B(_09612_),
+    .Y(_09561_));
+ sky130_fd_sc_hd__nor2_1 _14773_ (.A(_09560_),
+    .B(_09561_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_00768_));
- sky130_fd_sc_hd__xor2_1 _14834_ (.A(\u_usb_host.u_core.sof_value_q[2] ),
-    .B(_09611_),
+    .Y(_00760_));
+ sky130_fd_sc_hd__xor2_1 _14774_ (.A(\u_usb_host.u_core.sof_value_q[2] ),
+    .B(_09560_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00769_));
- sky130_fd_sc_hd__and3_1 _14835_ (.A(\u_usb_host.u_core.sof_value_q[3] ),
+    .X(_00761_));
+ sky130_fd_sc_hd__and3_1 _14775_ (.A(\u_usb_host.u_core.sof_value_q[3] ),
     .B(\u_usb_host.u_core.sof_value_q[2] ),
-    .C(_09611_),
+    .C(_09560_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09613_));
- sky130_fd_sc_hd__and2_1 _14836_ (.A(\u_usb_host.u_core.sof_value_q[2] ),
-    .B(_09611_),
+    .X(_09562_));
+ sky130_fd_sc_hd__and2_1 _14776_ (.A(\u_usb_host.u_core.sof_value_q[2] ),
+    .B(_09560_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09614_));
- sky130_fd_sc_hd__nor2_1 _14837_ (.A(\u_usb_host.u_core.sof_value_q[3] ),
-    .B(_09614_),
+    .X(_09563_));
+ sky130_fd_sc_hd__nor2_1 _14777_ (.A(\u_usb_host.u_core.sof_value_q[3] ),
+    .B(_09563_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_09615_));
- sky130_fd_sc_hd__nor2_1 _14838_ (.A(_09613_),
-    .B(_09615_),
+    .Y(_09564_));
+ sky130_fd_sc_hd__nor2_1 _14778_ (.A(_09562_),
+    .B(_09564_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_00770_));
- sky130_fd_sc_hd__xor2_1 _14839_ (.A(\u_usb_host.u_core.sof_value_q[4] ),
-    .B(_09613_),
+    .Y(_00762_));
+ sky130_fd_sc_hd__xor2_1 _14779_ (.A(\u_usb_host.u_core.sof_value_q[4] ),
+    .B(_09562_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00771_));
- sky130_fd_sc_hd__and3_1 _14840_ (.A(\u_usb_host.u_core.sof_value_q[5] ),
+    .X(_00763_));
+ sky130_fd_sc_hd__and3_1 _14780_ (.A(\u_usb_host.u_core.sof_value_q[5] ),
     .B(\u_usb_host.u_core.sof_value_q[4] ),
-    .C(_09613_),
+    .C(_09562_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09616_));
- sky130_fd_sc_hd__a21oi_1 _14841_ (.A1(\u_usb_host.u_core.sof_value_q[4] ),
-    .A2(_09613_),
+    .X(_09565_));
+ sky130_fd_sc_hd__a21oi_1 _14781_ (.A1(\u_usb_host.u_core.sof_value_q[4] ),
+    .A2(_09562_),
     .B1(\u_usb_host.u_core.sof_value_q[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_09617_));
- sky130_fd_sc_hd__nor2_1 _14842_ (.A(_09616_),
-    .B(_09617_),
+    .Y(_09566_));
+ sky130_fd_sc_hd__nor2_1 _14782_ (.A(_09565_),
+    .B(_09566_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_00772_));
- sky130_fd_sc_hd__and2_1 _14843_ (.A(\u_usb_host.u_core.sof_value_q[6] ),
-    .B(_09616_),
+    .Y(_00764_));
+ sky130_fd_sc_hd__and2_1 _14783_ (.A(\u_usb_host.u_core.sof_value_q[6] ),
+    .B(_09565_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09618_));
- sky130_fd_sc_hd__nor2_1 _14844_ (.A(\u_usb_host.u_core.sof_value_q[6] ),
-    .B(_09616_),
+    .X(_09567_));
+ sky130_fd_sc_hd__nor2_1 _14784_ (.A(\u_usb_host.u_core.sof_value_q[6] ),
+    .B(_09565_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_09619_));
- sky130_fd_sc_hd__nor2_1 _14845_ (.A(_09618_),
-    .B(_09619_),
+    .Y(_09568_));
+ sky130_fd_sc_hd__nor2_1 _14785_ (.A(_09567_),
+    .B(_09568_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_00773_));
- sky130_fd_sc_hd__xor2_1 _14846_ (.A(\u_usb_host.u_core.sof_value_q[7] ),
-    .B(_09618_),
+    .Y(_00765_));
+ sky130_fd_sc_hd__xor2_1 _14786_ (.A(\u_usb_host.u_core.sof_value_q[7] ),
+    .B(_09567_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00774_));
- sky130_fd_sc_hd__and3_1 _14847_ (.A(\u_usb_host.u_core.sof_value_q[8] ),
+    .X(_00766_));
+ sky130_fd_sc_hd__and3_1 _14787_ (.A(\u_usb_host.u_core.sof_value_q[8] ),
     .B(\u_usb_host.u_core.sof_value_q[7] ),
-    .C(_09618_),
+    .C(_09567_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09620_));
- sky130_fd_sc_hd__a21oi_1 _14848_ (.A1(\u_usb_host.u_core.sof_value_q[7] ),
-    .A2(_09618_),
+    .X(_09569_));
+ sky130_fd_sc_hd__a21oi_1 _14788_ (.A1(\u_usb_host.u_core.sof_value_q[7] ),
+    .A2(_09567_),
     .B1(\u_usb_host.u_core.sof_value_q[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_09621_));
- sky130_fd_sc_hd__nor2_1 _14849_ (.A(_09620_),
-    .B(_09621_),
+    .Y(_09570_));
+ sky130_fd_sc_hd__nor2_1 _14789_ (.A(_09569_),
+    .B(_09570_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_00767_));
+ sky130_fd_sc_hd__nand2_1 _14790_ (.A(\u_usb_host.u_core.sof_value_q[9] ),
+    .B(_09569_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_09571_));
+ sky130_fd_sc_hd__or2_1 _14791_ (.A(\u_usb_host.u_core.sof_value_q[9] ),
+    .B(_09569_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09572_));
+ sky130_fd_sc_hd__and2_1 _14792_ (.A(_09571_),
+    .B(_09572_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09573_));
+ sky130_fd_sc_hd__clkbuf_1 _14793_ (.A(_09573_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00768_));
+ sky130_fd_sc_hd__xnor2_1 _14794_ (.A(\u_usb_host.u_core.sof_value_q[10] ),
+    .B(_09571_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_00769_));
+ sky130_fd_sc_hd__nand2b_1 _14795_ (.A_N(_05369_),
+    .B(_05371_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_09574_));
+ sky130_fd_sc_hd__nand2_1 _14796_ (.A(_09498_),
+    .B(_09574_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_00770_));
+ sky130_fd_sc_hd__and2_1 _14797_ (.A(_05939_),
+    .B(_09498_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09575_));
+ sky130_fd_sc_hd__nor2_1 _14798_ (.A(_05939_),
+    .B(_09498_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_09576_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14799_ (.A(_09574_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09577_));
+ sky130_fd_sc_hd__o21ai_1 _14800_ (.A1(_09575_),
+    .A2(_09576_),
+    .B1(_09577_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_00771_));
+ sky130_fd_sc_hd__nor2_1 _14801_ (.A(\u_usb_host.u_core.sof_time_q[2] ),
+    .B(_09575_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_09578_));
+ sky130_fd_sc_hd__o21ai_1 _14802_ (.A1(_05363_),
+    .A2(_09578_),
+    .B1(_09577_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_00772_));
+ sky130_fd_sc_hd__nor2_1 _14803_ (.A(_05931_),
+    .B(_05363_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_09579_));
+ sky130_fd_sc_hd__o21ai_1 _14804_ (.A1(_05364_),
+    .A2(_09579_),
+    .B1(_09574_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_00773_));
+ sky130_fd_sc_hd__xnor2_1 _14805_ (.A(_05932_),
+    .B(_05364_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_09580_));
+ sky130_fd_sc_hd__nand2_1 _14806_ (.A(_09577_),
+    .B(_09580_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_00774_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14807_ (.A(_05365_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09581_));
+ sky130_fd_sc_hd__a21oi_1 _14808_ (.A1(_05932_),
+    .A2(_05364_),
+    .B1(_05933_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_09582_));
+ sky130_fd_sc_hd__o21ai_1 _14809_ (.A1(_09581_),
+    .A2(_09582_),
+    .B1(_09574_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_00775_));
- sky130_fd_sc_hd__nand2_1 _14850_ (.A(\u_usb_host.u_core.sof_value_q[9] ),
-    .B(_09620_),
+ sky130_fd_sc_hd__xnor2_1 _14810_ (.A(_09523_),
+    .B(_09581_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_09622_));
- sky130_fd_sc_hd__or2_1 _14851_ (.A(\u_usb_host.u_core.sof_value_q[9] ),
-    .B(_09620_),
+    .Y(_09583_));
+ sky130_fd_sc_hd__nand2_1 _14811_ (.A(_09577_),
+    .B(_09583_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09623_));
- sky130_fd_sc_hd__and2_1 _14852_ (.A(_09622_),
-    .B(_09623_),
+    .Y(_00776_));
+ sky130_fd_sc_hd__and3_1 _14812_ (.A(_09523_),
+    .B(_05935_),
+    .C(_09581_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09624_));
- sky130_fd_sc_hd__clkbuf_1 _14853_ (.A(_09624_),
+    .X(_09584_));
+ sky130_fd_sc_hd__clkinv_2 _14813_ (.A(_09584_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00776_));
- sky130_fd_sc_hd__xnor2_1 _14854_ (.A(\u_usb_host.u_core.sof_value_q[10] ),
-    .B(_09622_),
+    .Y(_09585_));
+ sky130_fd_sc_hd__a21o_1 _14814_ (.A1(_09523_),
+    .A2(_09581_),
+    .B1(_05935_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_00777_));
- sky130_fd_sc_hd__nand2b_1 _14855_ (.A_N(_05391_),
-    .B(_05393_),
+    .X(_09586_));
+ sky130_fd_sc_hd__and3_1 _14815_ (.A(_05369_),
+    .B(_09585_),
+    .C(_09586_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_09625_));
- sky130_fd_sc_hd__nand2_1 _14856_ (.A(_09551_),
-    .B(_09625_),
+    .X(_09587_));
+ sky130_fd_sc_hd__clkbuf_1 _14816_ (.A(_09587_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00777_));
+ sky130_fd_sc_hd__and2_1 _14817_ (.A(_09528_),
+    .B(_09584_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09588_));
+ sky130_fd_sc_hd__o21ai_1 _14818_ (.A1(_09528_),
+    .A2(_09584_),
+    .B1(_09559_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_09589_));
+ sky130_fd_sc_hd__nor2_1 _14819_ (.A(_09588_),
+    .B(_09589_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_00778_));
- sky130_fd_sc_hd__and2_1 _14857_ (.A(_05889_),
-    .B(_09551_),
+ sky130_fd_sc_hd__and3_1 _14820_ (.A(_05942_),
+    .B(_09528_),
+    .C(_09584_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09626_));
- sky130_fd_sc_hd__nor2_1 _14858_ (.A(_05889_),
-    .B(_09551_),
+    .X(_09590_));
+ sky130_fd_sc_hd__o21ai_1 _14821_ (.A1(_05942_),
+    .A2(_09588_),
+    .B1(_09559_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_09627_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14859_ (.A(_09625_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09628_));
- sky130_fd_sc_hd__o21ai_1 _14860_ (.A1(_09626_),
-    .A2(_09627_),
-    .B1(_09628_),
+    .Y(_09591_));
+ sky130_fd_sc_hd__nor2_1 _14822_ (.A(_09590_),
+    .B(_09591_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_00779_));
- sky130_fd_sc_hd__nor2_1 _14861_ (.A(\u_usb_host.u_core.sof_time_q[2] ),
-    .B(_09626_),
+ sky130_fd_sc_hd__xor2_1 _14823_ (.A(_09529_),
+    .B(_09590_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_09629_));
- sky130_fd_sc_hd__o21ai_1 _14862_ (.A1(_05385_),
-    .A2(_09629_),
-    .B1(_09628_),
+    .X(_00780_));
+ sky130_fd_sc_hd__a21oi_1 _14824_ (.A1(_09529_),
+    .A2(_09590_),
+    .B1(_05930_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_00780_));
- sky130_fd_sc_hd__nor2_1 _14863_ (.A(_05890_),
-    .B(_05385_),
+    .Y(_09592_));
+ sky130_fd_sc_hd__and3_1 _14825_ (.A(_09529_),
+    .B(_05930_),
+    .C(_09590_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_09630_));
- sky130_fd_sc_hd__o21ai_1 _14864_ (.A1(_05386_),
-    .A2(_09630_),
-    .B1(_09625_),
+    .X(_09593_));
+ sky130_fd_sc_hd__nor3_1 _14826_ (.A(\u_usb_host.u_core.send_sof_w ),
+    .B(_09592_),
+    .C(_09593_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_00781_));
- sky130_fd_sc_hd__xnor2_1 _14865_ (.A(_05891_),
-    .B(_05386_),
+ sky130_fd_sc_hd__and2_1 _14827_ (.A(_09530_),
+    .B(_09593_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_09631_));
- sky130_fd_sc_hd__nand2_1 _14866_ (.A(_09628_),
-    .B(_09631_),
+    .X(_09594_));
+ sky130_fd_sc_hd__nor2_1 _14828_ (.A(_05373_),
+    .B(_09594_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_00782_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14867_ (.A(_05387_),
+    .Y(_09595_));
+ sky130_fd_sc_hd__o21a_1 _14829_ (.A1(_09530_),
+    .A2(_09593_),
+    .B1(_09595_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09632_));
- sky130_fd_sc_hd__a21oi_1 _14868_ (.A1(_05891_),
-    .A2(_05386_),
-    .B1(_05888_),
+    .X(_00782_));
+ sky130_fd_sc_hd__nor2_1 _14830_ (.A(_05929_),
+    .B(_09594_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_09633_));
- sky130_fd_sc_hd__o21ai_1 _14869_ (.A1(_09632_),
-    .A2(_09633_),
-    .B1(_09625_),
+    .Y(_09596_));
+ sky130_fd_sc_hd__and3_1 _14831_ (.A(_05929_),
+    .B(_09530_),
+    .C(_09593_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09597_));
+ sky130_fd_sc_hd__nor3_1 _14832_ (.A(\u_usb_host.u_core.send_sof_w ),
+    .B(_09596_),
+    .C(_09597_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_00783_));
- sky130_fd_sc_hd__xnor2_1 _14870_ (.A(_05893_),
-    .B(_09632_),
+ sky130_fd_sc_hd__xor2_1 _14833_ (.A(_09535_),
+    .B(_09597_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_09634_));
- sky130_fd_sc_hd__nand2_1 _14871_ (.A(_09628_),
-    .B(_09634_),
+    .X(_00784_));
+ sky130_fd_sc_hd__a21o_1 _14834_ (.A1(_09535_),
+    .A2(_09597_),
+    .B1(_05928_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_00784_));
- sky130_fd_sc_hd__and3_1 _14872_ (.A(\u_usb_host.u_core.sof_time_q[6] ),
-    .B(_05898_),
-    .C(_09632_),
+    .X(_09598_));
+ sky130_fd_sc_hd__nand3_1 _14835_ (.A(_09535_),
+    .B(_05928_),
+    .C(_09597_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09635_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14873_ (.A(_09635_),
+    .Y(_09599_));
+ sky130_fd_sc_hd__and3_1 _14836_ (.A(_09559_),
+    .B(_09598_),
+    .C(_09599_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09636_));
- sky130_fd_sc_hd__clkinv_2 _14874_ (.A(_09636_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_09637_));
- sky130_fd_sc_hd__a21o_1 _14875_ (.A1(_05893_),
-    .A2(_09632_),
-    .B1(_05898_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09638_));
- sky130_fd_sc_hd__and3_1 _14876_ (.A(_05391_),
-    .B(_09637_),
-    .C(_09638_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09639_));
- sky130_fd_sc_hd__clkbuf_1 _14877_ (.A(_09639_),
+    .X(_09600_));
+ sky130_fd_sc_hd__clkbuf_1 _14837_ (.A(_09600_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00785_));
- sky130_fd_sc_hd__and2_1 _14878_ (.A(_09579_),
-    .B(_09636_),
+ sky130_fd_sc_hd__inv_2 _14838_ (.A(\u_usb_host.u_core.fifo_flush_q ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09640_));
- sky130_fd_sc_hd__o21ai_1 _14879_ (.A1(_09579_),
-    .A2(_09636_),
-    .B1(_09610_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_09641_));
- sky130_fd_sc_hd__nor2_1 _14880_ (.A(_09640_),
-    .B(_09641_),
+    .Y(_09601_));
+ sky130_fd_sc_hd__a21oi_1 _14839_ (.A1(_09601_),
+    .A2(_05948_),
+    .B1(_05949_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_00786_));
- sky130_fd_sc_hd__and3_1 _14881_ (.A(_09580_),
-    .B(\u_usb_host.u_core.sof_time_q[8] ),
-    .C(_09635_),
+ sky130_fd_sc_hd__nor2_1 _14840_ (.A(_05949_),
+    .B(_05948_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_09642_));
- sky130_fd_sc_hd__o21ai_1 _14882_ (.A1(_09580_),
-    .A2(_09640_),
-    .B1(_05394_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_09643_));
- sky130_fd_sc_hd__nor2_1 _14883_ (.A(_09642_),
-    .B(_09643_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_00787_));
- sky130_fd_sc_hd__nor2_1 _14884_ (.A(_09581_),
-    .B(_09642_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_09644_));
- sky130_fd_sc_hd__and4_1 _14885_ (.A(_09580_),
-    .B(_09579_),
-    .C(_09581_),
-    .D(_09636_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09645_));
- sky130_fd_sc_hd__nor2_1 _14886_ (.A(_09644_),
-    .B(_09645_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_00788_));
- sky130_fd_sc_hd__and3_1 _14887_ (.A(_09581_),
-    .B(_09582_),
-    .C(_09642_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09646_));
- sky130_fd_sc_hd__inv_2 _14888_ (.A(_09646_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_09647_));
- sky130_fd_sc_hd__o211a_1 _14889_ (.A1(_09582_),
-    .A2(_09645_),
-    .B1(_09647_),
-    .C1(_09610_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00789_));
- sky130_fd_sc_hd__nor2_1 _14890_ (.A(_09583_),
-    .B(_09646_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_09648_));
- sky130_fd_sc_hd__and3_1 _14891_ (.A(_09582_),
-    .B(_05895_),
-    .C(_09645_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09649_));
- sky130_fd_sc_hd__nor3_1 _14892_ (.A(\u_usb_host.u_core.send_sof_w ),
-    .B(_09648_),
-    .C(_09649_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_00790_));
- sky130_fd_sc_hd__nand3_1 _14893_ (.A(_09586_),
-    .B(_09583_),
-    .C(_09646_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_09650_));
- sky130_fd_sc_hd__o211a_1 _14894_ (.A1(_09586_),
-    .A2(_09649_),
-    .B1(_09650_),
-    .C1(_09610_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00791_));
- sky130_fd_sc_hd__a21oi_1 _14895_ (.A1(_09586_),
-    .A2(_09649_),
-    .B1(_05904_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_09651_));
- sky130_fd_sc_hd__and4_1 _14896_ (.A(_05896_),
-    .B(_09583_),
-    .C(_05904_),
-    .D(_09646_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_09652_));
- sky130_fd_sc_hd__nor2_1 _14897_ (.A(_09651_),
-    .B(_09652_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_00792_));
- sky130_fd_sc_hd__a21oi_1 _14898_ (.A1(_05906_),
-    .A2(_09652_),
-    .B1(\u_usb_host.u_core.send_sof_w ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_09653_));
- sky130_fd_sc_hd__o21a_1 _14899_ (.A1(_05906_),
-    .A2(_09652_),
-    .B1(_09653_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00793_));
- sky130_fd_sc_hd__inv_2 _14900_ (.A(\u_usb_host.u_core.fifo_flush_q ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_02302_));
- sky130_fd_sc_hd__a21oi_1 _14901_ (.A1(_02302_),
-    .A2(_05909_),
-    .B1(_05910_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_00794_));
- sky130_fd_sc_hd__nor2_1 _14902_ (.A(_05910_),
-    .B(_05909_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_02303_));
- sky130_fd_sc_hd__a22o_1 _14903_ (.A1(\u_usb_host.u_core.usb_xfer_token_in_out_w ),
-    .A2(_02303_),
-    .B1(_05911_),
+    .Y(_09602_));
+ sky130_fd_sc_hd__a22o_1 _14841_ (.A1(\u_usb_host.u_core.usb_xfer_token_in_out_w ),
+    .A2(_09602_),
+    .B1(_05950_),
     .B2(\u_usb_host.u_core.in_transfer_q ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00795_));
- sky130_fd_sc_hd__a22o_1 _14904_ (.A1(_05320_),
+    .X(_00787_));
+ sky130_fd_sc_hd__a22o_1 _14842_ (.A1(_05300_),
     .A2(\u_usb_host.u_core.send_sof_w ),
-    .B1(_05911_),
-    .B2(_07909_),
+    .B1(_05950_),
+    .B2(_07894_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00796_));
- sky130_fd_sc_hd__a22o_1 _14905_ (.A1(\u_usb_host.u_core.usb_xfer_token_ack_out_w ),
-    .A2(_02303_),
-    .B1(_05911_),
+    .X(_00788_));
+ sky130_fd_sc_hd__a22o_1 _14843_ (.A1(\u_usb_host.u_core.usb_xfer_token_ack_out_w ),
+    .A2(_09602_),
+    .B1(_05950_),
     .B2(\u_usb_host.u_core.resp_expected_q ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00797_));
- sky130_fd_sc_hd__clkbuf_4 _14906_ (.A(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .X(_00789_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14844_ (.A(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09603_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14845_ (.A(_09603_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09604_));
+ sky130_fd_sc_hd__clkbuf_1 _14846_ (.A(_09604_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09605_));
+ sky130_fd_sc_hd__buf_4 _14847_ (.A(_06089_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09606_));
+ sky130_fd_sc_hd__clkbuf_2 _14848_ (.A(_05656_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09607_));
+ sky130_fd_sc_hd__mux2_1 _14849_ (.A0(_09605_),
+    .A1(_09606_),
+    .S(_09607_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09608_));
+ sky130_fd_sc_hd__clkbuf_1 _14850_ (.A(_09608_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00790_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14851_ (.A(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09609_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14852_ (.A(_09609_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09610_));
+ sky130_fd_sc_hd__clkbuf_1 _14853_ (.A(_09610_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09611_));
+ sky130_fd_sc_hd__mux2_1 _14854_ (.A0(_09611_),
+    .A1(_06098_),
+    .S(_09607_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09612_));
+ sky130_fd_sc_hd__clkbuf_1 _14855_ (.A(_09612_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00791_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14856_ (.A(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09613_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14857_ (.A(_09613_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09614_));
+ sky130_fd_sc_hd__clkbuf_1 _14858_ (.A(_09614_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09615_));
+ sky130_fd_sc_hd__mux2_1 _14859_ (.A0(_09615_),
+    .A1(_06102_),
+    .S(_09607_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09616_));
+ sky130_fd_sc_hd__clkbuf_1 _14860_ (.A(_09616_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00792_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14861_ (.A(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09617_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14862_ (.A(_09617_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09618_));
+ sky130_fd_sc_hd__clkbuf_1 _14863_ (.A(_09618_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09619_));
+ sky130_fd_sc_hd__mux2_1 _14864_ (.A0(_09619_),
+    .A1(_06106_),
+    .S(_09607_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09620_));
+ sky130_fd_sc_hd__clkbuf_1 _14865_ (.A(_09620_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00793_));
+ sky130_fd_sc_hd__clkbuf_4 _14866_ (.A(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09621_));
+ sky130_fd_sc_hd__clkbuf_2 _14867_ (.A(_09621_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09622_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14868_ (.A(_09622_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09623_));
+ sky130_fd_sc_hd__clkbuf_1 _14869_ (.A(_06085_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09624_));
+ sky130_fd_sc_hd__clkbuf_2 _14870_ (.A(_06087_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09625_));
+ sky130_fd_sc_hd__mux4_2 _14871_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][8] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][8] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][8] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][8] ),
+    .S0(_06111_),
+    .S1(_09625_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09626_));
+ sky130_fd_sc_hd__and2_2 _14872_ (.A(_09624_),
+    .B(_09626_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09627_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14873_ (.A(_05656_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09628_));
+ sky130_fd_sc_hd__mux2_1 _14874_ (.A0(_09623_),
+    .A1(_09627_),
+    .S(_09628_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09629_));
+ sky130_fd_sc_hd__clkbuf_1 _14875_ (.A(_09629_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00794_));
+ sky130_fd_sc_hd__clkbuf_4 _14876_ (.A(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09630_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14877_ (.A(_09630_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09631_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14878_ (.A(_09631_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09632_));
+ sky130_fd_sc_hd__buf_2 _14879_ (.A(_06086_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_09633_));
+ sky130_fd_sc_hd__mux4_2 _14880_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][9] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][9] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][9] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][9] ),
+    .S0(_09633_),
+    .S1(_09625_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02302_));
+ sky130_fd_sc_hd__and2_4 _14881_ (.A(_09624_),
+    .B(_02302_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02303_));
+ sky130_fd_sc_hd__mux2_1 _14882_ (.A0(_09632_),
+    .A1(_02303_),
+    .S(_09628_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02304_));
- sky130_fd_sc_hd__clkbuf_2 _14907_ (.A(_02304_),
+ sky130_fd_sc_hd__clkbuf_1 _14883_ (.A(_02304_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00795_));
+ sky130_fd_sc_hd__clkbuf_4 _14884_ (.A(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02305_));
- sky130_fd_sc_hd__clkbuf_1 _14908_ (.A(_02305_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14885_ (.A(_02305_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02306_));
- sky130_fd_sc_hd__buf_4 _14909_ (.A(_06054_),
+ sky130_fd_sc_hd__clkbuf_1 _14886_ (.A(_02306_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02307_));
- sky130_fd_sc_hd__clkbuf_2 _14910_ (.A(_05674_),
+ sky130_fd_sc_hd__mux4_2 _14887_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][10] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][10] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][10] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][10] ),
+    .S0(_09633_),
+    .S1(_09625_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02308_));
- sky130_fd_sc_hd__mux2_1 _14911_ (.A0(_02306_),
-    .A1(_02307_),
-    .S(_02308_),
+ sky130_fd_sc_hd__and2_4 _14888_ (.A(_09624_),
+    .B(_02308_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02309_));
- sky130_fd_sc_hd__clkbuf_1 _14912_ (.A(_02309_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00798_));
- sky130_fd_sc_hd__clkbuf_4 _14913_ (.A(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
+ sky130_fd_sc_hd__mux2_1 _14889_ (.A0(_02307_),
+    .A1(_02309_),
+    .S(_09628_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02310_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14914_ (.A(_02310_),
+ sky130_fd_sc_hd__clkbuf_1 _14890_ (.A(_02310_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00796_));
+ sky130_fd_sc_hd__clkbuf_4 _14891_ (.A(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02311_));
- sky130_fd_sc_hd__clkbuf_1 _14915_ (.A(_02311_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14892_ (.A(_02311_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02312_));
- sky130_fd_sc_hd__mux2_1 _14916_ (.A0(_02312_),
-    .A1(_06063_),
-    .S(_02308_),
+ sky130_fd_sc_hd__clkbuf_1 _14893_ (.A(_02312_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02313_));
- sky130_fd_sc_hd__clkbuf_1 _14917_ (.A(_02313_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00799_));
- sky130_fd_sc_hd__clkbuf_4 _14918_ (.A(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+ sky130_fd_sc_hd__mux4_2 _14894_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][11] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][11] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][11] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][11] ),
+    .S0(_09633_),
+    .S1(_09625_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02314_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14919_ (.A(_02314_),
+ sky130_fd_sc_hd__and2_4 _14895_ (.A(_09624_),
+    .B(_02314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02315_));
- sky130_fd_sc_hd__clkbuf_2 _14920_ (.A(_02315_),
+ sky130_fd_sc_hd__mux2_1 _14896_ (.A0(_02313_),
+    .A1(_02315_),
+    .S(_09628_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02316_));
- sky130_fd_sc_hd__mux2_1 _14921_ (.A0(_02316_),
-    .A1(_06067_),
-    .S(_02308_),
+ sky130_fd_sc_hd__clkbuf_1 _14897_ (.A(_02316_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02317_));
- sky130_fd_sc_hd__clkbuf_1 _14922_ (.A(_02317_),
+    .X(_00797_));
+ sky130_fd_sc_hd__nand2_2 _14898_ (.A(\u_usb_host.u_core.cfg_wr ),
+    .B(_09340_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00800_));
- sky130_fd_sc_hd__clkbuf_4 _14923_ (.A(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+    .Y(_02317_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14899_ (.A(_02317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02318_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14924_ (.A(_02318_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14900_ (.A(_02318_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02319_));
- sky130_fd_sc_hd__clkbuf_1 _14925_ (.A(_02319_),
+ sky130_fd_sc_hd__mux2_1 _14901_ (.A0(_02303_),
+    .A1(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[0] ),
+    .S(_02319_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02320_));
- sky130_fd_sc_hd__mux2_1 _14926_ (.A0(_02320_),
-    .A1(_06071_),
-    .S(_02308_),
+ sky130_fd_sc_hd__clkbuf_1 _14902_ (.A(_02320_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00798_));
+ sky130_fd_sc_hd__mux2_1 _14903_ (.A0(_02309_),
+    .A1(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[1] ),
+    .S(_02319_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02321_));
- sky130_fd_sc_hd__clkbuf_1 _14927_ (.A(_02321_),
+ sky130_fd_sc_hd__clkbuf_1 _14904_ (.A(_02321_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00801_));
- sky130_fd_sc_hd__clkbuf_2 _14928_ (.A(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+    .X(_00799_));
+ sky130_fd_sc_hd__mux2_1 _14905_ (.A0(_02315_),
+    .A1(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[2] ),
+    .S(_02319_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02322_));
- sky130_fd_sc_hd__clkbuf_2 _14929_ (.A(_02322_),
+ sky130_fd_sc_hd__clkbuf_1 _14906_ (.A(_02322_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00800_));
+ sky130_fd_sc_hd__clkbuf_2 _14907_ (.A(_02317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02323_));
- sky130_fd_sc_hd__clkbuf_1 _14930_ (.A(_02323_),
+ sky130_fd_sc_hd__clkbuf_2 _14908_ (.A(_02323_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02324_));
- sky130_fd_sc_hd__clkbuf_1 _14931_ (.A(_06050_),
+ sky130_fd_sc_hd__mux2_1 _14909_ (.A0(_06114_),
+    .A1(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[3] ),
+    .S(_02324_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02325_));
- sky130_fd_sc_hd__clkbuf_2 _14932_ (.A(_06052_),
+ sky130_fd_sc_hd__clkbuf_1 _14910_ (.A(_02325_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00801_));
+ sky130_fd_sc_hd__clkbuf_1 _14911_ (.A(_06094_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02326_));
- sky130_fd_sc_hd__mux4_2 _14933_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][8] ),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][8] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][8] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][8] ),
-    .S0(_06076_),
-    .S1(_02326_),
+ sky130_fd_sc_hd__clkbuf_4 _14912_ (.A(_06086_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02327_));
- sky130_fd_sc_hd__and2_2 _14934_ (.A(_02325_),
-    .B(_02327_),
+ sky130_fd_sc_hd__clkbuf_2 _14913_ (.A(_06087_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02328_));
- sky130_fd_sc_hd__clkbuf_2 _14935_ (.A(_05674_),
+ sky130_fd_sc_hd__mux4_2 _14914_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][13] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][13] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][13] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][13] ),
+    .S0(_02327_),
+    .S1(_02328_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02329_));
- sky130_fd_sc_hd__mux2_1 _14936_ (.A0(_02324_),
-    .A1(_02328_),
-    .S(_02329_),
+ sky130_fd_sc_hd__and2_1 _14915_ (.A(_02326_),
+    .B(_02329_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02330_));
- sky130_fd_sc_hd__clkbuf_1 _14937_ (.A(_02330_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00802_));
- sky130_fd_sc_hd__buf_2 _14938_ (.A(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+ sky130_fd_sc_hd__mux2_1 _14916_ (.A0(_02330_),
+    .A1(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[0] ),
+    .S(_02324_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02331_));
- sky130_fd_sc_hd__clkbuf_2 _14939_ (.A(_02331_),
+ sky130_fd_sc_hd__clkbuf_1 _14917_ (.A(_02331_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00802_));
+ sky130_fd_sc_hd__buf_2 _14918_ (.A(_06086_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02332_));
- sky130_fd_sc_hd__clkbuf_1 _14940_ (.A(_02332_),
+ sky130_fd_sc_hd__mux4_2 _14919_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][14] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][14] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][14] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][14] ),
+    .S0(_02332_),
+    .S1(_02328_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02333_));
- sky130_fd_sc_hd__buf_2 _14941_ (.A(_06051_),
+ sky130_fd_sc_hd__and2_1 _14920_ (.A(_02326_),
+    .B(_02333_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02334_));
- sky130_fd_sc_hd__mux4_2 _14942_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][9] ),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][9] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][9] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][9] ),
-    .S0(_02334_),
-    .S1(_02326_),
+ sky130_fd_sc_hd__mux2_1 _14921_ (.A0(_02334_),
+    .A1(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[1] ),
+    .S(_02324_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02335_));
- sky130_fd_sc_hd__and2_2 _14943_ (.A(_02325_),
-    .B(_02335_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02336_));
- sky130_fd_sc_hd__mux2_1 _14944_ (.A0(_02333_),
-    .A1(_02336_),
-    .S(_02329_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02337_));
- sky130_fd_sc_hd__clkbuf_1 _14945_ (.A(_02337_),
+ sky130_fd_sc_hd__clkbuf_1 _14922_ (.A(_02335_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00803_));
- sky130_fd_sc_hd__buf_2 _14946_ (.A(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+ sky130_fd_sc_hd__mux4_2 _14923_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][15] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][15] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][15] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][15] ),
+    .S0(_02332_),
+    .S1(_02328_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02336_));
+ sky130_fd_sc_hd__and2_1 _14924_ (.A(_02326_),
+    .B(_02336_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02337_));
+ sky130_fd_sc_hd__mux2_1 _14925_ (.A0(_02337_),
+    .A1(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[2] ),
+    .S(_02324_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02338_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14947_ (.A(_02338_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02339_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14948_ (.A(_02339_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02340_));
- sky130_fd_sc_hd__mux4_2 _14949_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][10] ),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][10] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][10] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][10] ),
-    .S0(_02334_),
-    .S1(_02326_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02341_));
- sky130_fd_sc_hd__and2_2 _14950_ (.A(_02325_),
-    .B(_02341_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02342_));
- sky130_fd_sc_hd__mux2_1 _14951_ (.A0(_02340_),
-    .A1(_02342_),
-    .S(_02329_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02343_));
- sky130_fd_sc_hd__clkbuf_1 _14952_ (.A(_02343_),
+ sky130_fd_sc_hd__clkbuf_1 _14926_ (.A(_02338_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00804_));
- sky130_fd_sc_hd__buf_2 _14953_ (.A(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+ sky130_fd_sc_hd__mux4_2 _14927_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][16] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][16] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][16] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][16] ),
+    .S0(_02332_),
+    .S1(_02328_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02344_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14954_ (.A(_02344_),
+    .X(_02339_));
+ sky130_fd_sc_hd__and2_1 _14928_ (.A(_02326_),
+    .B(_02339_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02345_));
- sky130_fd_sc_hd__clkbuf_1 _14955_ (.A(_02345_),
+    .X(_02340_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14929_ (.A(_02318_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02346_));
- sky130_fd_sc_hd__mux4_2 _14956_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][11] ),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][11] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][11] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][11] ),
-    .S0(_02334_),
-    .S1(_02326_),
+    .X(_02341_));
+ sky130_fd_sc_hd__mux2_1 _14930_ (.A0(_02340_),
+    .A1(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[3] ),
+    .S(_02341_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02347_));
- sky130_fd_sc_hd__and2_2 _14957_ (.A(_02325_),
-    .B(_02347_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02348_));
- sky130_fd_sc_hd__mux2_1 _14958_ (.A0(_02346_),
-    .A1(_02348_),
-    .S(_02329_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02349_));
- sky130_fd_sc_hd__clkbuf_1 _14959_ (.A(_02349_),
+    .X(_02342_));
+ sky130_fd_sc_hd__clkbuf_1 _14931_ (.A(_02342_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00805_));
- sky130_fd_sc_hd__nand2_1 _14960_ (.A(\u_usb_host.u_core.cfg_wr ),
-    .B(_09395_),
+ sky130_fd_sc_hd__mux4_2 _14932_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][17] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][17] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][17] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][17] ),
+    .S0(_02332_),
+    .S1(_06112_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02350_));
- sky130_fd_sc_hd__buf_2 _14961_ (.A(_02350_),
+    .X(_02343_));
+ sky130_fd_sc_hd__and2_1 _14933_ (.A(_06110_),
+    .B(_02343_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02351_));
- sky130_fd_sc_hd__clkbuf_2 _14962_ (.A(_02351_),
+    .X(_02344_));
+ sky130_fd_sc_hd__mux2_1 _14934_ (.A0(_02344_),
+    .A1(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[4] ),
+    .S(_02341_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02352_));
- sky130_fd_sc_hd__mux2_1 _14963_ (.A0(_02336_),
-    .A1(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[0] ),
-    .S(_02352_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02353_));
- sky130_fd_sc_hd__clkbuf_1 _14964_ (.A(_02353_),
+    .X(_02345_));
+ sky130_fd_sc_hd__clkbuf_1 _14935_ (.A(_02345_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00806_));
- sky130_fd_sc_hd__mux2_1 _14965_ (.A0(_02342_),
-    .A1(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[1] ),
-    .S(_02352_),
+ sky130_fd_sc_hd__mux4_2 _14936_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][18] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][18] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][18] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][18] ),
+    .S0(_06111_),
+    .S1(_06112_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02354_));
- sky130_fd_sc_hd__clkbuf_1 _14966_ (.A(_02354_),
+    .X(_02346_));
+ sky130_fd_sc_hd__and2_1 _14937_ (.A(_06110_),
+    .B(_02346_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02347_));
+ sky130_fd_sc_hd__mux2_1 _14938_ (.A0(_02347_),
+    .A1(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[5] ),
+    .S(_02341_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02348_));
+ sky130_fd_sc_hd__clkbuf_1 _14939_ (.A(_02348_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00807_));
- sky130_fd_sc_hd__mux2_1 _14967_ (.A0(_02348_),
-    .A1(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[2] ),
-    .S(_02352_),
+ sky130_fd_sc_hd__mux4_2 _14940_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][19] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][19] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][19] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][19] ),
+    .S0(_06111_),
+    .S1(_06112_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02355_));
- sky130_fd_sc_hd__clkbuf_1 _14968_ (.A(_02355_),
+    .X(_02349_));
+ sky130_fd_sc_hd__and2_1 _14941_ (.A(_06110_),
+    .B(_02349_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02350_));
+ sky130_fd_sc_hd__mux2_1 _14942_ (.A0(_02350_),
+    .A1(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[6] ),
+    .S(_02341_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02351_));
+ sky130_fd_sc_hd__clkbuf_1 _14943_ (.A(_02351_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00808_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14969_ (.A(_02350_),
+ sky130_fd_sc_hd__buf_2 _14944_ (.A(_02327_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02352_));
+ sky130_fd_sc_hd__buf_2 _14945_ (.A(_06096_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02353_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14946_ (.A(_02353_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02354_));
+ sky130_fd_sc_hd__mux4_2 _14947_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][20] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][20] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][20] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][20] ),
+    .S0(_02352_),
+    .S1(_02354_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02355_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _14948_ (.A(_02318_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02356_));
- sky130_fd_sc_hd__clkbuf_2 _14970_ (.A(_02356_),
+ sky130_fd_sc_hd__mux2_1 _14949_ (.A0(_02355_),
+    .A1(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[0] ),
+    .S(_02356_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02357_));
- sky130_fd_sc_hd__mux2_1 _14971_ (.A0(_06079_),
-    .A1(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[3] ),
-    .S(_02357_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02358_));
- sky130_fd_sc_hd__clkbuf_1 _14972_ (.A(_02358_),
+ sky130_fd_sc_hd__clkbuf_1 _14950_ (.A(_02357_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00809_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14973_ (.A(_06059_),
+ sky130_fd_sc_hd__clkbuf_2 _14951_ (.A(_02353_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02358_));
+ sky130_fd_sc_hd__mux4_2 _14952_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][21] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][21] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][21] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][21] ),
+    .S0(_02352_),
+    .S1(_02358_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02359_));
- sky130_fd_sc_hd__buf_2 _14974_ (.A(_06051_),
+ sky130_fd_sc_hd__mux2_1 _14953_ (.A0(_02359_),
+    .A1(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[1] ),
+    .S(_02356_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02360_));
- sky130_fd_sc_hd__clkbuf_4 _14975_ (.A(_06061_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02361_));
- sky130_fd_sc_hd__mux4_2 _14976_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][13] ),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][13] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][13] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][13] ),
-    .S0(_02360_),
-    .S1(_02361_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02362_));
- sky130_fd_sc_hd__and2_1 _14977_ (.A(_02359_),
-    .B(_02362_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02363_));
- sky130_fd_sc_hd__mux2_1 _14978_ (.A0(_02363_),
-    .A1(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[0] ),
-    .S(_02357_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02364_));
- sky130_fd_sc_hd__clkbuf_1 _14979_ (.A(_02364_),
+ sky130_fd_sc_hd__clkbuf_1 _14954_ (.A(_02360_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00810_));
- sky130_fd_sc_hd__buf_2 _14980_ (.A(_06051_),
+ sky130_fd_sc_hd__mux4_2 _14955_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][22] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][22] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][22] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][22] ),
+    .S0(_02352_),
+    .S1(_02358_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02365_));
- sky130_fd_sc_hd__clkbuf_2 _14981_ (.A(_06052_),
+    .X(_02361_));
+ sky130_fd_sc_hd__mux2_1 _14956_ (.A0(_02361_),
+    .A1(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[2] ),
+    .S(_02356_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02366_));
- sky130_fd_sc_hd__mux4_2 _14982_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][14] ),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][14] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][14] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][14] ),
-    .S0(_02365_),
-    .S1(_02366_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02367_));
- sky130_fd_sc_hd__and2_1 _14983_ (.A(_02359_),
-    .B(_02367_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02368_));
- sky130_fd_sc_hd__mux2_1 _14984_ (.A0(_02368_),
-    .A1(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[1] ),
-    .S(_02357_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02369_));
- sky130_fd_sc_hd__clkbuf_1 _14985_ (.A(_02369_),
+    .X(_02362_));
+ sky130_fd_sc_hd__clkbuf_1 _14957_ (.A(_02362_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00811_));
- sky130_fd_sc_hd__mux4_2 _14986_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][15] ),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][15] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][15] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][15] ),
-    .S0(_02365_),
-    .S1(_02366_),
+ sky130_fd_sc_hd__buf_4 _14958_ (.A(_09633_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02370_));
- sky130_fd_sc_hd__and2_1 _14987_ (.A(_02359_),
-    .B(_02370_),
+    .X(_02363_));
+ sky130_fd_sc_hd__mux4_2 _14959_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][23] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][23] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][23] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][23] ),
+    .S0(_02363_),
+    .S1(_02358_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02371_));
- sky130_fd_sc_hd__mux2_1 _14988_ (.A0(_02371_),
-    .A1(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[2] ),
-    .S(_02357_),
+    .X(_02364_));
+ sky130_fd_sc_hd__mux2_1 _14960_ (.A0(_02364_),
+    .A1(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[3] ),
+    .S(_02356_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02372_));
- sky130_fd_sc_hd__clkbuf_1 _14989_ (.A(_02372_),
+    .X(_02365_));
+ sky130_fd_sc_hd__clkbuf_1 _14961_ (.A(_02365_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00812_));
- sky130_fd_sc_hd__mux4_2 _14990_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][16] ),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][16] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][16] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][16] ),
-    .S0(_02365_),
-    .S1(_02366_),
+ sky130_fd_sc_hd__mux4_2 _14962_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][24] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][24] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][24] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][24] ),
+    .S0(_02363_),
+    .S1(_02358_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02373_));
- sky130_fd_sc_hd__and2_1 _14991_ (.A(_02359_),
-    .B(_02373_),
+    .X(_02366_));
+ sky130_fd_sc_hd__clkbuf_2 _14963_ (.A(_02318_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02374_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _14992_ (.A(_02351_),
+    .X(_02367_));
+ sky130_fd_sc_hd__mux2_1 _14964_ (.A0(_02366_),
+    .A1(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[4] ),
+    .S(_02367_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02375_));
- sky130_fd_sc_hd__mux2_1 _14993_ (.A0(_02374_),
-    .A1(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[3] ),
-    .S(_02375_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02376_));
- sky130_fd_sc_hd__clkbuf_1 _14994_ (.A(_02376_),
+    .X(_02368_));
+ sky130_fd_sc_hd__clkbuf_1 _14965_ (.A(_02368_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00813_));
- sky130_fd_sc_hd__mux4_2 _14995_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][17] ),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][17] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][17] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][17] ),
-    .S0(_02365_),
-    .S1(_02366_),
+ sky130_fd_sc_hd__clkbuf_2 _14966_ (.A(_02353_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02377_));
- sky130_fd_sc_hd__and2_1 _14996_ (.A(_06075_),
-    .B(_02377_),
+    .X(_02369_));
+ sky130_fd_sc_hd__mux4_2 _14967_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][25] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][25] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][25] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][25] ),
+    .S0(_02363_),
+    .S1(_02369_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02378_));
- sky130_fd_sc_hd__mux2_1 _14997_ (.A0(_02378_),
-    .A1(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[4] ),
-    .S(_02375_),
+    .X(_02370_));
+ sky130_fd_sc_hd__mux2_1 _14968_ (.A0(_02370_),
+    .A1(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[5] ),
+    .S(_02367_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02379_));
- sky130_fd_sc_hd__clkbuf_1 _14998_ (.A(_02379_),
+    .X(_02371_));
+ sky130_fd_sc_hd__clkbuf_1 _14969_ (.A(_02371_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00814_));
- sky130_fd_sc_hd__mux4_2 _14999_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][18] ),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][18] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][18] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][18] ),
-    .S0(_06076_),
-    .S1(_06077_),
+ sky130_fd_sc_hd__mux4_2 _14970_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][26] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][26] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][26] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][26] ),
+    .S0(_02363_),
+    .S1(_02369_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02380_));
- sky130_fd_sc_hd__and2_1 _15000_ (.A(_06075_),
-    .B(_02380_),
+    .X(_02372_));
+ sky130_fd_sc_hd__mux2_1 _14971_ (.A0(_02372_),
+    .A1(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[6] ),
+    .S(_02367_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02381_));
- sky130_fd_sc_hd__mux2_1 _15001_ (.A0(_02381_),
-    .A1(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[5] ),
-    .S(_02375_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02382_));
- sky130_fd_sc_hd__clkbuf_1 _15002_ (.A(_02382_),
+    .X(_02373_));
+ sky130_fd_sc_hd__clkbuf_1 _14972_ (.A(_02373_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00815_));
- sky130_fd_sc_hd__mux4_2 _15003_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][19] ),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][19] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][19] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][19] ),
-    .S0(_06076_),
-    .S1(_06077_),
+ sky130_fd_sc_hd__buf_2 _14973_ (.A(_06095_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02383_));
- sky130_fd_sc_hd__and2_1 _15004_ (.A(_06075_),
-    .B(_02383_),
+    .X(_02374_));
+ sky130_fd_sc_hd__mux4_2 _14974_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][27] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][27] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][27] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][27] ),
+    .S0(_02374_),
+    .S1(_02369_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02384_));
- sky130_fd_sc_hd__mux2_1 _15005_ (.A0(_02384_),
-    .A1(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[6] ),
-    .S(_02375_),
+    .X(_02375_));
+ sky130_fd_sc_hd__mux2_1 _14975_ (.A0(_02375_),
+    .A1(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[7] ),
+    .S(_02367_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02385_));
- sky130_fd_sc_hd__clkbuf_1 _15006_ (.A(_02385_),
+    .X(_02376_));
+ sky130_fd_sc_hd__clkbuf_1 _14976_ (.A(_02376_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00816_));
- sky130_fd_sc_hd__buf_2 _15007_ (.A(_02360_),
+ sky130_fd_sc_hd__mux4_2 _14977_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][32] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][32] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][32] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][32] ),
+    .S0(_02374_),
+    .S1(_02369_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02386_));
- sky130_fd_sc_hd__clkbuf_2 _15008_ (.A(_02361_),
+    .X(_02377_));
+ sky130_fd_sc_hd__mux2_1 _14978_ (.A0(_02377_),
+    .A1(\u_usb_host.u_core.u_sie.data_idx_i ),
+    .S(_02323_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02387_));
- sky130_fd_sc_hd__mux4_2 _15009_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][20] ),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][20] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][20] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][20] ),
-    .S0(_02386_),
-    .S1(_02387_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02388_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15010_ (.A(_02351_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02389_));
- sky130_fd_sc_hd__mux2_1 _15011_ (.A0(_02388_),
-    .A1(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[0] ),
-    .S(_02389_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02390_));
- sky130_fd_sc_hd__clkbuf_1 _15012_ (.A(_02390_),
+    .X(_02378_));
+ sky130_fd_sc_hd__clkbuf_1 _14979_ (.A(_02378_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00817_));
- sky130_fd_sc_hd__mux4_2 _15013_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][21] ),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][21] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][21] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][21] ),
-    .S0(_02386_),
-    .S1(_02387_),
+ sky130_fd_sc_hd__clkbuf_2 _14980_ (.A(_02353_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02391_));
- sky130_fd_sc_hd__mux2_1 _15014_ (.A0(_02391_),
-    .A1(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[1] ),
-    .S(_02389_),
+    .X(_02379_));
+ sky130_fd_sc_hd__mux4_2 _14981_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][33] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][33] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][33] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][33] ),
+    .S0(_02374_),
+    .S1(_02379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02392_));
- sky130_fd_sc_hd__clkbuf_1 _15015_ (.A(_02392_),
+    .X(_02380_));
+ sky130_fd_sc_hd__mux2_1 _14982_ (.A0(_02380_),
+    .A1(\u_usb_host.u_core.usb_xfer_token_ack_out_w ),
+    .S(_02323_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02381_));
+ sky130_fd_sc_hd__clkbuf_1 _14983_ (.A(_02381_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00818_));
- sky130_fd_sc_hd__clkbuf_4 _15016_ (.A(_02334_),
+ sky130_fd_sc_hd__mux4_2 _14984_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][34] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][34] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][34] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][34] ),
+    .S0(_02374_),
+    .S1(_02379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02393_));
- sky130_fd_sc_hd__mux4_2 _15017_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][22] ),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][22] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][22] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][22] ),
-    .S0(_02393_),
-    .S1(_02387_),
+    .X(_02382_));
+ sky130_fd_sc_hd__mux2_1 _14985_ (.A0(_02382_),
+    .A1(\u_usb_host.u_core.usb_xfer_token_in_out_w ),
+    .S(_02323_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02394_));
- sky130_fd_sc_hd__mux2_1 _15018_ (.A0(_02394_),
-    .A1(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[2] ),
-    .S(_02389_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02395_));
- sky130_fd_sc_hd__clkbuf_1 _15019_ (.A(_02395_),
+    .X(_02383_));
+ sky130_fd_sc_hd__clkbuf_1 _14986_ (.A(_02383_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00819_));
- sky130_fd_sc_hd__mux4_2 _15020_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][23] ),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][23] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][23] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][23] ),
-    .S0(_02393_),
-    .S1(_02387_),
+ sky130_fd_sc_hd__mux4_2 _14987_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][35] ),
+    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][35] ),
+    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][35] ),
+    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][35] ),
+    .S0(_02327_),
+    .S1(_02379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02396_));
- sky130_fd_sc_hd__mux2_1 _15021_ (.A0(_02396_),
-    .A1(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[3] ),
-    .S(_02389_),
+    .X(_02384_));
+ sky130_fd_sc_hd__and3_1 _14988_ (.A(_05657_),
+    .B(_09508_),
+    .C(_02384_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02397_));
- sky130_fd_sc_hd__clkbuf_1 _15022_ (.A(_02397_),
+    .X(_02385_));
+ sky130_fd_sc_hd__a31o_1 _14989_ (.A1(\u_usb_host.u_core.usb_rx_stat_start_pend_in_w ),
+    .A2(_09601_),
+    .A3(_02319_),
+    .B1(_02385_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00820_));
- sky130_fd_sc_hd__clkbuf_2 _15023_ (.A(_02361_),
+ sky130_fd_sc_hd__nand2_4 _14990_ (.A(_05623_),
+    .B(_09144_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02398_));
- sky130_fd_sc_hd__mux4_1 _15024_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][24] ),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][24] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][24] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][24] ),
-    .S0(_02393_),
-    .S1(_02398_),
+    .Y(_02386_));
+ sky130_fd_sc_hd__clkbuf_2 _14991_ (.A(_02386_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02399_));
- sky130_fd_sc_hd__clkbuf_2 _15025_ (.A(_02351_),
+    .X(_02387_));
+ sky130_fd_sc_hd__mux2_1 _14992_ (.A0(_09606_),
+    .A1(\u_usb_host.u_core.u_sie.data_len_i[0] ),
+    .S(_02387_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02400_));
- sky130_fd_sc_hd__mux2_1 _15026_ (.A0(_02399_),
-    .A1(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[4] ),
-    .S(_02400_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02401_));
- sky130_fd_sc_hd__clkbuf_1 _15027_ (.A(_02401_),
+    .X(_02388_));
+ sky130_fd_sc_hd__clkbuf_1 _14993_ (.A(_02388_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00821_));
- sky130_fd_sc_hd__mux4_1 _15028_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][25] ),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][25] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][25] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][25] ),
-    .S0(_02393_),
-    .S1(_02398_),
+ sky130_fd_sc_hd__mux2_1 _14994_ (.A0(_06099_),
+    .A1(\u_usb_host.u_core.u_sie.data_len_i[1] ),
+    .S(_02387_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02402_));
- sky130_fd_sc_hd__mux2_1 _15029_ (.A0(_02402_),
-    .A1(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[5] ),
-    .S(_02400_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02403_));
- sky130_fd_sc_hd__clkbuf_1 _15030_ (.A(_02403_),
+    .X(_02389_));
+ sky130_fd_sc_hd__clkbuf_1 _14995_ (.A(_02389_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00822_));
- sky130_fd_sc_hd__buf_2 _15031_ (.A(_06060_),
+ sky130_fd_sc_hd__mux2_1 _14996_ (.A0(_06103_),
+    .A1(\u_usb_host.u_core.u_sie.data_len_i[2] ),
+    .S(_02387_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02404_));
- sky130_fd_sc_hd__mux4_1 _15032_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][26] ),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][26] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][26] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][26] ),
-    .S0(_02404_),
-    .S1(_02398_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02405_));
- sky130_fd_sc_hd__mux2_1 _15033_ (.A0(_02405_),
-    .A1(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[6] ),
-    .S(_02400_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02406_));
- sky130_fd_sc_hd__clkbuf_1 _15034_ (.A(_02406_),
+    .X(_02390_));
+ sky130_fd_sc_hd__clkbuf_1 _14997_ (.A(_02390_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00823_));
- sky130_fd_sc_hd__mux4_1 _15035_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][27] ),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][27] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][27] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][27] ),
-    .S0(_02404_),
-    .S1(_02398_),
+ sky130_fd_sc_hd__mux2_1 _14998_ (.A0(_06107_),
+    .A1(\u_usb_host.u_core.u_sie.data_len_i[3] ),
+    .S(_02387_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02407_));
- sky130_fd_sc_hd__mux2_1 _15036_ (.A0(_02407_),
-    .A1(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[7] ),
-    .S(_02400_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02408_));
- sky130_fd_sc_hd__clkbuf_1 _15037_ (.A(_02408_),
+    .X(_02391_));
+ sky130_fd_sc_hd__clkbuf_1 _14999_ (.A(_02391_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00824_));
- sky130_fd_sc_hd__clkbuf_2 _15038_ (.A(_06077_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15000_ (.A(_02386_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02409_));
- sky130_fd_sc_hd__mux4_1 _15039_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][32] ),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][32] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][32] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][32] ),
-    .S0(_02404_),
-    .S1(_02409_),
+    .X(_02392_));
+ sky130_fd_sc_hd__mux2_1 _15001_ (.A0(_09627_),
+    .A1(\u_usb_host.u_core.u_sie.data_len_i[4] ),
+    .S(_02392_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02410_));
- sky130_fd_sc_hd__mux2_1 _15040_ (.A0(_02410_),
-    .A1(\u_usb_host.u_core.u_sie.data_idx_i ),
-    .S(_02356_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02411_));
- sky130_fd_sc_hd__clkbuf_1 _15041_ (.A(_02411_),
+    .X(_02393_));
+ sky130_fd_sc_hd__clkbuf_1 _15002_ (.A(_02393_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00825_));
- sky130_fd_sc_hd__mux4_1 _15042_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][33] ),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][33] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][33] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][33] ),
-    .S0(_02404_),
-    .S1(_02409_),
+ sky130_fd_sc_hd__mux2_1 _15003_ (.A0(_02303_),
+    .A1(\u_usb_host.u_core.u_sie.data_len_i[5] ),
+    .S(_02392_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02412_));
- sky130_fd_sc_hd__mux2_1 _15043_ (.A0(_02412_),
-    .A1(\u_usb_host.u_core.usb_xfer_token_ack_out_w ),
-    .S(_02356_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02413_));
- sky130_fd_sc_hd__clkbuf_1 _15044_ (.A(_02413_),
+    .X(_02394_));
+ sky130_fd_sc_hd__clkbuf_1 _15004_ (.A(_02394_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00826_));
- sky130_fd_sc_hd__mux4_2 _15045_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][34] ),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][34] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][34] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][34] ),
-    .S0(_02360_),
-    .S1(_02409_),
+ sky130_fd_sc_hd__mux2_1 _15005_ (.A0(_02309_),
+    .A1(\u_usb_host.u_core.u_sie.data_len_i[6] ),
+    .S(_02392_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02414_));
- sky130_fd_sc_hd__mux2_1 _15046_ (.A0(_02414_),
-    .A1(\u_usb_host.u_core.usb_xfer_token_in_out_w ),
-    .S(_02356_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02415_));
- sky130_fd_sc_hd__clkbuf_1 _15047_ (.A(_02415_),
+    .X(_02395_));
+ sky130_fd_sc_hd__clkbuf_1 _15006_ (.A(_02395_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00827_));
- sky130_fd_sc_hd__mux4_2 _15048_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[0][35] ),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.mem[1][35] ),
-    .A2(\u_usb_host.u_async_wb.u_cmd_if.mem[2][35] ),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.mem[3][35] ),
-    .S0(_02360_),
-    .S1(_02409_),
+ sky130_fd_sc_hd__mux2_1 _15007_ (.A0(_02315_),
+    .A1(\u_usb_host.u_core.u_sie.data_len_i[7] ),
+    .S(_02392_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02416_));
- sky130_fd_sc_hd__and3_1 _15049_ (.A(_05675_),
-    .B(_09570_),
-    .C(_02416_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02417_));
- sky130_fd_sc_hd__a31o_1 _15050_ (.A1(\u_usb_host.u_core.usb_rx_stat_start_pend_in_w ),
-    .A2(_02302_),
-    .A3(_02352_),
-    .B1(_02417_),
+    .X(_02396_));
+ sky130_fd_sc_hd__clkbuf_1 _15008_ (.A(_02396_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00828_));
- sky130_fd_sc_hd__nand2_2 _15051_ (.A(_05641_),
-    .B(_09176_),
+ sky130_fd_sc_hd__clkbuf_2 _15009_ (.A(_02386_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02418_));
- sky130_fd_sc_hd__clkbuf_2 _15052_ (.A(_02418_),
+    .X(_02397_));
+ sky130_fd_sc_hd__mux2_1 _15010_ (.A0(_06114_),
+    .A1(\u_usb_host.u_core.u_sie.data_len_i[8] ),
+    .S(_02397_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02419_));
- sky130_fd_sc_hd__mux2_1 _15053_ (.A0(_02307_),
-    .A1(\u_usb_host.u_core.u_sie.data_len_i[0] ),
-    .S(_02419_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02420_));
- sky130_fd_sc_hd__clkbuf_1 _15054_ (.A(_02420_),
+    .X(_02398_));
+ sky130_fd_sc_hd__clkbuf_1 _15011_ (.A(_02398_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00829_));
- sky130_fd_sc_hd__mux2_1 _15055_ (.A0(_06064_),
-    .A1(\u_usb_host.u_core.u_sie.data_len_i[1] ),
-    .S(_02419_),
+ sky130_fd_sc_hd__mux2_1 _15012_ (.A0(_02330_),
+    .A1(\u_usb_host.u_core.u_sie.data_len_i[9] ),
+    .S(_02397_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02421_));
- sky130_fd_sc_hd__clkbuf_1 _15056_ (.A(_02421_),
+    .X(_02399_));
+ sky130_fd_sc_hd__clkbuf_1 _15013_ (.A(_02399_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00830_));
- sky130_fd_sc_hd__mux2_1 _15057_ (.A0(_06068_),
-    .A1(\u_usb_host.u_core.u_sie.data_len_i[2] ),
-    .S(_02419_),
+ sky130_fd_sc_hd__mux2_1 _15014_ (.A0(_02334_),
+    .A1(\u_usb_host.u_core.u_sie.data_len_i[10] ),
+    .S(_02397_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02422_));
- sky130_fd_sc_hd__clkbuf_1 _15058_ (.A(_02422_),
+    .X(_02400_));
+ sky130_fd_sc_hd__clkbuf_1 _15015_ (.A(_02400_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00831_));
- sky130_fd_sc_hd__mux2_1 _15059_ (.A0(_06072_),
-    .A1(\u_usb_host.u_core.u_sie.data_len_i[3] ),
-    .S(_02419_),
+ sky130_fd_sc_hd__mux2_1 _15016_ (.A0(_02337_),
+    .A1(\u_usb_host.u_core.u_sie.data_len_i[11] ),
+    .S(_02397_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02423_));
- sky130_fd_sc_hd__clkbuf_1 _15060_ (.A(_02423_),
+    .X(_02401_));
+ sky130_fd_sc_hd__clkbuf_1 _15017_ (.A(_02401_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00832_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15061_ (.A(_02418_),
+ sky130_fd_sc_hd__clkbuf_2 _15018_ (.A(_02386_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02424_));
- sky130_fd_sc_hd__mux2_1 _15062_ (.A0(_02328_),
-    .A1(\u_usb_host.u_core.u_sie.data_len_i[4] ),
-    .S(_02424_),
+    .X(_02402_));
+ sky130_fd_sc_hd__mux2_1 _15019_ (.A0(_02340_),
+    .A1(\u_usb_host.u_core.u_sie.data_len_i[12] ),
+    .S(_02402_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02425_));
- sky130_fd_sc_hd__clkbuf_1 _15063_ (.A(_02425_),
+    .X(_02403_));
+ sky130_fd_sc_hd__clkbuf_1 _15020_ (.A(_02403_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00833_));
- sky130_fd_sc_hd__mux2_1 _15064_ (.A0(_02336_),
-    .A1(\u_usb_host.u_core.u_sie.data_len_i[5] ),
-    .S(_02424_),
+ sky130_fd_sc_hd__mux2_1 _15021_ (.A0(_02344_),
+    .A1(\u_usb_host.u_core.u_sie.data_len_i[13] ),
+    .S(_02402_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02426_));
- sky130_fd_sc_hd__clkbuf_1 _15065_ (.A(_02426_),
+    .X(_02404_));
+ sky130_fd_sc_hd__clkbuf_1 _15022_ (.A(_02404_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00834_));
- sky130_fd_sc_hd__mux2_1 _15066_ (.A0(_02342_),
-    .A1(\u_usb_host.u_core.u_sie.data_len_i[6] ),
-    .S(_02424_),
+ sky130_fd_sc_hd__mux2_1 _15023_ (.A0(_02347_),
+    .A1(\u_usb_host.u_core.u_sie.data_len_i[14] ),
+    .S(_02402_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02427_));
- sky130_fd_sc_hd__clkbuf_1 _15067_ (.A(_02427_),
+    .X(_02405_));
+ sky130_fd_sc_hd__clkbuf_1 _15024_ (.A(_02405_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00835_));
- sky130_fd_sc_hd__mux2_1 _15068_ (.A0(_02348_),
-    .A1(\u_usb_host.u_core.u_sie.data_len_i[7] ),
-    .S(_02424_),
+ sky130_fd_sc_hd__mux2_1 _15025_ (.A0(_02350_),
+    .A1(\u_usb_host.u_core.u_sie.data_len_i[15] ),
+    .S(_02402_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02428_));
- sky130_fd_sc_hd__clkbuf_1 _15069_ (.A(_02428_),
+    .X(_02406_));
+ sky130_fd_sc_hd__clkbuf_1 _15026_ (.A(_02406_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00836_));
- sky130_fd_sc_hd__clkbuf_2 _15070_ (.A(_02418_),
+ sky130_fd_sc_hd__nand2_2 _15027_ (.A(_05656_),
+    .B(_09147_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02429_));
- sky130_fd_sc_hd__mux2_1 _15071_ (.A0(_06079_),
-    .A1(\u_usb_host.u_core.u_sie.data_len_i[8] ),
-    .S(_02429_),
+    .Y(_02407_));
+ sky130_fd_sc_hd__mux2_1 _15028_ (.A0(_09606_),
+    .A1(\u_usb_host.u_core.usb_irq_mask_sof_out_w ),
+    .S(_02407_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02430_));
- sky130_fd_sc_hd__clkbuf_1 _15072_ (.A(_02430_),
+    .X(_02408_));
+ sky130_fd_sc_hd__clkbuf_1 _15029_ (.A(_02408_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00837_));
- sky130_fd_sc_hd__mux2_1 _15073_ (.A0(_02363_),
-    .A1(\u_usb_host.u_core.u_sie.data_len_i[9] ),
-    .S(_02429_),
+ sky130_fd_sc_hd__mux2_1 _15030_ (.A0(_06099_),
+    .A1(\u_usb_host.u_core.usb_irq_mask_done_out_w ),
+    .S(_02407_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02431_));
- sky130_fd_sc_hd__clkbuf_1 _15074_ (.A(_02431_),
+    .X(_02409_));
+ sky130_fd_sc_hd__clkbuf_1 _15031_ (.A(_02409_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00838_));
- sky130_fd_sc_hd__mux2_1 _15075_ (.A0(_02368_),
-    .A1(\u_usb_host.u_core.u_sie.data_len_i[10] ),
-    .S(_02429_),
+ sky130_fd_sc_hd__mux2_1 _15032_ (.A0(_06103_),
+    .A1(\u_usb_host.u_core.usb_irq_mask_err_out_w ),
+    .S(_02407_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02432_));
- sky130_fd_sc_hd__clkbuf_1 _15076_ (.A(_02432_),
+    .X(_02410_));
+ sky130_fd_sc_hd__clkbuf_1 _15033_ (.A(_02410_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00839_));
- sky130_fd_sc_hd__mux2_1 _15077_ (.A0(_02371_),
-    .A1(\u_usb_host.u_core.u_sie.data_len_i[11] ),
-    .S(_02429_),
+ sky130_fd_sc_hd__mux2_1 _15034_ (.A0(_06107_),
+    .A1(\u_usb_host.u_core.usb_irq_mask_device_detect_out_w ),
+    .S(_02407_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02433_));
- sky130_fd_sc_hd__clkbuf_1 _15078_ (.A(_02433_),
+    .X(_02411_));
+ sky130_fd_sc_hd__clkbuf_1 _15035_ (.A(_02411_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00840_));
- sky130_fd_sc_hd__clkbuf_2 _15079_ (.A(_02418_),
+ sky130_fd_sc_hd__mux2_1 _15036_ (.A0(_09606_),
+    .A1(\u_usb_host.u_core.usb_ctrl_enable_sof_out_w ),
+    .S(_05655_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02434_));
- sky130_fd_sc_hd__mux2_1 _15080_ (.A0(_02374_),
-    .A1(\u_usb_host.u_core.u_sie.data_len_i[12] ),
-    .S(_02434_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02435_));
- sky130_fd_sc_hd__clkbuf_1 _15081_ (.A(_02435_),
+    .X(_02412_));
+ sky130_fd_sc_hd__clkbuf_1 _15037_ (.A(_02412_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00841_));
- sky130_fd_sc_hd__mux2_1 _15082_ (.A0(_02378_),
-    .A1(\u_usb_host.u_core.u_sie.data_len_i[13] ),
-    .S(_02434_),
+ sky130_fd_sc_hd__mux2_1 _15038_ (.A0(_06099_),
+    .A1(\u_usb_host.u_core.usb_ctrl_phy_opmode_out_w[0] ),
+    .S(_05655_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02436_));
- sky130_fd_sc_hd__clkbuf_1 _15083_ (.A(_02436_),
+    .X(_02413_));
+ sky130_fd_sc_hd__clkbuf_1 _15039_ (.A(_02413_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00842_));
- sky130_fd_sc_hd__mux2_1 _15084_ (.A0(_02381_),
-    .A1(\u_usb_host.u_core.u_sie.data_len_i[14] ),
-    .S(_02434_),
+ sky130_fd_sc_hd__mux2_1 _15040_ (.A0(_06103_),
+    .A1(\u_usb_host.u_core.usb_ctrl_phy_opmode_out_w[1] ),
+    .S(_05655_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02437_));
- sky130_fd_sc_hd__clkbuf_1 _15085_ (.A(_02437_),
+    .X(_02414_));
+ sky130_fd_sc_hd__clkbuf_1 _15041_ (.A(_02414_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00843_));
- sky130_fd_sc_hd__mux2_1 _15086_ (.A0(_02384_),
-    .A1(\u_usb_host.u_core.u_sie.data_len_i[15] ),
-    .S(_02434_),
+ sky130_fd_sc_hd__clkbuf_2 _15042_ (.A(_05654_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02438_));
- sky130_fd_sc_hd__clkbuf_1 _15087_ (.A(_02438_),
+    .X(_02415_));
+ sky130_fd_sc_hd__mux2_1 _15043_ (.A0(_06107_),
+    .A1(\u_usb_host.u_core.usb_ctrl_phy_xcvrselect_out_w[0] ),
+    .S(_02415_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02416_));
+ sky130_fd_sc_hd__clkbuf_1 _15044_ (.A(_02416_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00844_));
- sky130_fd_sc_hd__nand2_2 _15088_ (.A(_05674_),
-    .B(_09184_),
+ sky130_fd_sc_hd__mux2_1 _15045_ (.A0(_09627_),
+    .A1(\u_usb_host.u_core.usb_ctrl_phy_xcvrselect_out_w[1] ),
+    .S(_02415_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02439_));
- sky130_fd_sc_hd__mux2_1 _15089_ (.A0(_02307_),
-    .A1(\u_usb_host.u_core.usb_irq_mask_sof_out_w ),
-    .S(_02439_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02440_));
- sky130_fd_sc_hd__clkbuf_1 _15090_ (.A(_02440_),
+    .X(_02417_));
+ sky130_fd_sc_hd__clkbuf_1 _15046_ (.A(_02417_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00845_));
- sky130_fd_sc_hd__mux2_1 _15091_ (.A0(_06064_),
-    .A1(\u_usb_host.u_core.usb_irq_mask_done_out_w ),
-    .S(_02439_),
+ sky130_fd_sc_hd__mux2_1 _15047_ (.A0(_02303_),
+    .A1(\u_usb_host.u_core.usb_ctrl_phy_termselect_out_w ),
+    .S(_02415_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02441_));
- sky130_fd_sc_hd__clkbuf_1 _15092_ (.A(_02441_),
+    .X(_02418_));
+ sky130_fd_sc_hd__clkbuf_1 _15048_ (.A(_02418_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00846_));
- sky130_fd_sc_hd__mux2_1 _15093_ (.A0(_06068_),
-    .A1(\u_usb_host.u_core.usb_irq_mask_err_out_w ),
-    .S(_02439_),
+ sky130_fd_sc_hd__mux2_1 _15049_ (.A0(_02309_),
+    .A1(\u_usb_host.u_core.usb_ctrl_phy_dppulldown_out_w ),
+    .S(_02415_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02442_));
- sky130_fd_sc_hd__clkbuf_1 _15094_ (.A(_02442_),
+    .X(_02419_));
+ sky130_fd_sc_hd__clkbuf_1 _15050_ (.A(_02419_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00847_));
- sky130_fd_sc_hd__mux2_1 _15095_ (.A0(_06072_),
-    .A1(\u_usb_host.u_core.usb_irq_mask_device_detect_out_w ),
-    .S(_02439_),
+ sky130_fd_sc_hd__mux2_1 _15051_ (.A0(_02315_),
+    .A1(\u_usb_host.u_core.usb_ctrl_phy_dmpulldown_out_w ),
+    .S(_05654_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02443_));
- sky130_fd_sc_hd__clkbuf_1 _15096_ (.A(_02443_),
+    .X(_02420_));
+ sky130_fd_sc_hd__clkbuf_1 _15052_ (.A(_02420_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00848_));
- sky130_fd_sc_hd__mux2_1 _15097_ (.A0(_02307_),
-    .A1(\u_usb_host.u_core.usb_ctrl_enable_sof_out_w ),
-    .S(_05673_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15053_ (.A(_07680_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02444_));
- sky130_fd_sc_hd__clkbuf_1 _15098_ (.A(_02444_),
+    .X(_02421_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15054_ (.A(_07680_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00849_));
- sky130_fd_sc_hd__mux2_1 _15099_ (.A0(_06064_),
-    .A1(\u_usb_host.u_core.usb_ctrl_phy_opmode_out_w[0] ),
-    .S(_05673_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02445_));
- sky130_fd_sc_hd__clkbuf_1 _15100_ (.A(_02445_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00850_));
- sky130_fd_sc_hd__mux2_1 _15101_ (.A0(_06068_),
-    .A1(\u_usb_host.u_core.usb_ctrl_phy_opmode_out_w[1] ),
-    .S(_05673_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02446_));
- sky130_fd_sc_hd__clkbuf_1 _15102_ (.A(_02446_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00851_));
- sky130_fd_sc_hd__clkbuf_2 _15103_ (.A(_05672_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02447_));
- sky130_fd_sc_hd__mux2_1 _15104_ (.A0(_06072_),
-    .A1(\u_usb_host.u_core.usb_ctrl_phy_xcvrselect_out_w[0] ),
-    .S(_02447_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02448_));
- sky130_fd_sc_hd__clkbuf_1 _15105_ (.A(_02448_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00852_));
- sky130_fd_sc_hd__mux2_1 _15106_ (.A0(_02328_),
-    .A1(\u_usb_host.u_core.usb_ctrl_phy_xcvrselect_out_w[1] ),
-    .S(_02447_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02449_));
- sky130_fd_sc_hd__clkbuf_1 _15107_ (.A(_02449_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00853_));
- sky130_fd_sc_hd__mux2_1 _15108_ (.A0(_02336_),
-    .A1(\u_usb_host.u_core.usb_ctrl_phy_termselect_out_w ),
-    .S(_02447_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02450_));
- sky130_fd_sc_hd__clkbuf_1 _15109_ (.A(_02450_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00854_));
- sky130_fd_sc_hd__mux2_1 _15110_ (.A0(_02342_),
-    .A1(\u_usb_host.u_core.usb_ctrl_phy_dppulldown_out_w ),
-    .S(_02447_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02451_));
- sky130_fd_sc_hd__clkbuf_1 _15111_ (.A(_02451_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00855_));
- sky130_fd_sc_hd__mux2_1 _15112_ (.A0(_02348_),
-    .A1(\u_usb_host.u_core.usb_ctrl_phy_dmpulldown_out_w ),
-    .S(_05672_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02452_));
- sky130_fd_sc_hd__clkbuf_1 _15113_ (.A(_02452_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00856_));
- sky130_fd_sc_hd__clkbuf_1 _15114_ (.A(_07679_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02453_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15115_ (.A(_07679_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02454_));
- sky130_fd_sc_hd__a21oi_2 _15116_ (.A1(_05466_),
+    .X(_02422_));
+ sky130_fd_sc_hd__a21oi_2 _15055_ (.A1(_05447_),
     .A2(_07664_),
-    .B1(_02454_),
+    .B1(_02422_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02455_));
- sky130_fd_sc_hd__a22o_1 _15117_ (.A1(_07027_),
-    .A2(_02453_),
-    .B1(_02455_),
+    .Y(_02423_));
+ sky130_fd_sc_hd__a22o_1 _15056_ (.A1(_07037_),
+    .A2(_02421_),
+    .B1(_02423_),
     .B2(\u_i2cm.cr[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00857_));
- sky130_fd_sc_hd__a22o_1 _15118_ (.A1(_07030_),
-    .A2(_02453_),
-    .B1(_02455_),
-    .B2(_05517_),
+    .X(_00849_));
+ sky130_fd_sc_hd__a22o_1 _15057_ (.A1(_07040_),
+    .A2(_02421_),
+    .B1(_02423_),
+    .B2(_05498_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00858_));
- sky130_fd_sc_hd__a22o_1 _15119_ (.A1(_07032_),
-    .A2(_02453_),
-    .B1(_02455_),
+    .X(_00850_));
+ sky130_fd_sc_hd__a22o_1 _15058_ (.A1(_07042_),
+    .A2(_02421_),
+    .B1(_02423_),
     .B2(\u_i2cm.cr[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .X(_00851_));
+ sky130_fd_sc_hd__a22o_1 _15059_ (.A1(_07044_),
+    .A2(_02421_),
+    .B1(_02423_),
+    .B2(_05443_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00852_));
+ sky130_fd_sc_hd__and3_1 _15060_ (.A(_05801_),
+    .B(\u_i2cm.wb_ack_o ),
+    .C(_06002_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02424_));
+ sky130_fd_sc_hd__clkbuf_2 _15061_ (.A(_02424_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02425_));
+ sky130_fd_sc_hd__mux2_1 _15062_ (.A0(\u_i2cm.prer[8] ),
+    .A1(_07854_),
+    .S(_02425_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02426_));
+ sky130_fd_sc_hd__clkbuf_1 _15063_ (.A(_02426_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00853_));
+ sky130_fd_sc_hd__mux2_1 _15064_ (.A0(\u_i2cm.prer[9] ),
+    .A1(_07858_),
+    .S(_02425_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02427_));
+ sky130_fd_sc_hd__clkbuf_1 _15065_ (.A(_02427_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00854_));
+ sky130_fd_sc_hd__mux2_1 _15066_ (.A0(\u_i2cm.prer[10] ),
+    .A1(_07860_),
+    .S(_02425_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02428_));
+ sky130_fd_sc_hd__clkbuf_1 _15067_ (.A(_02428_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00855_));
+ sky130_fd_sc_hd__mux2_1 _15068_ (.A0(\u_i2cm.prer[11] ),
+    .A1(_09544_),
+    .S(_02425_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02429_));
+ sky130_fd_sc_hd__clkbuf_1 _15069_ (.A(_02429_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00856_));
+ sky130_fd_sc_hd__buf_4 _15070_ (.A(_06458_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02430_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15071_ (.A(_02424_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02431_));
+ sky130_fd_sc_hd__mux2_1 _15072_ (.A0(\u_i2cm.prer[12] ),
+    .A1(_02430_),
+    .S(_02431_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02432_));
+ sky130_fd_sc_hd__clkbuf_1 _15073_ (.A(_02432_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00857_));
+ sky130_fd_sc_hd__mux2_1 _15074_ (.A0(\u_i2cm.prer[13] ),
+    .A1(_07848_),
+    .S(_02431_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02433_));
+ sky130_fd_sc_hd__clkbuf_1 _15075_ (.A(_02433_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00858_));
+ sky130_fd_sc_hd__mux2_1 _15076_ (.A0(\u_i2cm.prer[14] ),
+    .A1(_07850_),
+    .S(_02431_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02434_));
+ sky130_fd_sc_hd__clkbuf_1 _15077_ (.A(_02434_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .X(_00859_));
- sky130_fd_sc_hd__a22o_1 _15120_ (.A1(_07034_),
-    .A2(_02453_),
-    .B1(_02455_),
-    .B2(_05462_),
+ sky130_fd_sc_hd__mux2_1 _15078_ (.A0(\u_i2cm.prer[15] ),
+    .A1(_07852_),
+    .S(_02431_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02435_));
+ sky130_fd_sc_hd__clkbuf_1 _15079_ (.A(_02435_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00860_));
- sky130_fd_sc_hd__nor2_1 _15121_ (.A(_07664_),
-    .B(_07678_),
+ sky130_fd_sc_hd__nor2_1 _15080_ (.A(_07664_),
+    .B(_07679_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02456_));
- sky130_fd_sc_hd__a22o_1 _15122_ (.A1(_07014_),
-    .A2(_02454_),
-    .B1(_02456_),
+    .Y(_02436_));
+ sky130_fd_sc_hd__a22o_1 _15081_ (.A1(_07026_),
+    .A2(_02422_),
+    .B1(_02436_),
     .B2(\u_i2cm.cr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00861_));
- sky130_fd_sc_hd__a22o_1 _15123_ (.A1(_07019_),
-    .A2(_02454_),
-    .B1(_02456_),
+ sky130_fd_sc_hd__a22o_1 _15082_ (.A1(_07030_),
+    .A2(_02422_),
+    .B1(_02436_),
     .B2(\u_i2cm.cr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00862_));
- sky130_fd_sc_hd__a22o_1 _15124_ (.A1(_07021_),
-    .A2(_02454_),
-    .B1(_02456_),
+ sky130_fd_sc_hd__a22o_1 _15083_ (.A1(_07032_),
+    .A2(_02422_),
+    .B1(_02436_),
     .B2(\u_i2cm.cr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00863_));
- sky130_fd_sc_hd__a21o_1 _15125_ (.A1(_05270_),
-    .A2(_08255_),
-    .B1(_05355_),
+ sky130_fd_sc_hd__a21o_1 _15084_ (.A1(_05251_),
+    .A2(_08339_),
+    .B1(_05333_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02457_));
- sky130_fd_sc_hd__inv_2 _15126_ (.A(\u_usb_host.u_core.u_sie.rx_active_q[3] ),
+    .X(_02437_));
+ sky130_fd_sc_hd__inv_2 _15085_ (.A(\u_usb_host.u_core.u_sie.rx_active_q[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02458_));
- sky130_fd_sc_hd__a21oi_1 _15127_ (.A1(_05584_),
-    .A2(_02458_),
+    .Y(_02438_));
+ sky130_fd_sc_hd__a21oi_1 _15086_ (.A1(_05563_),
+    .A2(_02438_),
     .B1(\u_usb_host.u_core.u_sie.wait_eop_q ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02459_));
- sky130_fd_sc_hd__and2_1 _15128_ (.A(\u_usb_host.u_core.u_sie.se0_detect_q ),
-    .B(_05682_),
+    .Y(_02439_));
+ sky130_fd_sc_hd__and2_2 _15087_ (.A(\u_usb_host.u_core.u_sie.se0_detect_q ),
+    .B(_05664_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02460_));
- sky130_fd_sc_hd__a21oi_1 _15129_ (.A1(_02457_),
-    .A2(_02459_),
-    .B1(_02460_),
+    .X(_02440_));
+ sky130_fd_sc_hd__a21oi_1 _15088_ (.A1(_02437_),
+    .A2(net328),
+    .B1(_02440_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_00864_));
- sky130_fd_sc_hd__or2_1 _15130_ (.A(\u_usb_host.u_core.u_sie.wait_eop_q ),
-    .B(_02460_),
+ sky130_fd_sc_hd__or2_1 _15089_ (.A(\u_usb_host.u_core.u_sie.wait_eop_q ),
+    .B(_02440_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02461_));
- sky130_fd_sc_hd__inv_2 _15131_ (.A(_02461_),
+    .X(_02441_));
+ sky130_fd_sc_hd__inv_2 _15090_ (.A(_02441_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02462_));
- sky130_fd_sc_hd__o2bb2a_1 _15132_ (.A1_N(\u_usb_host.u_core.u_sie.tx_ifs_q[0] ),
-    .A2_N(_02462_),
-    .B1(_02460_),
-    .B2(_05307_),
+    .Y(_02442_));
+ sky130_fd_sc_hd__o2bb2a_1 _15091_ (.A1_N(\u_usb_host.u_core.u_sie.tx_ifs_q[0] ),
+    .A2_N(_02442_),
+    .B1(_02440_),
+    .B2(_05288_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00865_));
- sky130_fd_sc_hd__xnor2_1 _15133_ (.A(\u_usb_host.u_core.u_sie.tx_ifs_q[0] ),
+ sky130_fd_sc_hd__xnor2_1 _15092_ (.A(\u_usb_host.u_core.u_sie.tx_ifs_q[0] ),
     .B(\u_usb_host.u_core.u_sie.tx_ifs_q[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02463_));
- sky130_fd_sc_hd__a21o_1 _15134_ (.A1(_05305_),
-    .A2(_02463_),
-    .B1(_02461_),
+    .Y(_02443_));
+ sky130_fd_sc_hd__a21o_1 _15093_ (.A1(_05286_),
+    .A2(_02443_),
+    .B1(_02441_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00866_));
- sky130_fd_sc_hd__inv_2 _15135_ (.A(_05304_),
+ sky130_fd_sc_hd__inv_2 _15094_ (.A(_05285_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02464_));
- sky130_fd_sc_hd__o21a_1 _15136_ (.A1(\u_usb_host.u_core.u_sie.tx_ifs_q[0] ),
+    .Y(_02444_));
+ sky130_fd_sc_hd__o21a_1 _15095_ (.A1(\u_usb_host.u_core.u_sie.tx_ifs_q[0] ),
     .A2(\u_usb_host.u_core.u_sie.tx_ifs_q[1] ),
     .B1(\u_usb_host.u_core.u_sie.tx_ifs_q[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02465_));
- sky130_fd_sc_hd__a211o_1 _15137_ (.A1(\u_usb_host.u_core.u_sie.tx_ifs_q[3] ),
-    .A2(_02464_),
-    .B1(_02461_),
-    .C1(_02465_),
+    .X(_02445_));
+ sky130_fd_sc_hd__a211o_1 _15096_ (.A1(\u_usb_host.u_core.u_sie.tx_ifs_q[3] ),
+    .A2(_02444_),
+    .B1(_02441_),
+    .C1(_02445_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00867_));
- sky130_fd_sc_hd__and3_1 _15138_ (.A(\u_usb_host.u_core.u_sie.tx_ifs_q[3] ),
-    .B(_05304_),
-    .C(_02462_),
+ sky130_fd_sc_hd__and3_1 _15097_ (.A(\u_usb_host.u_core.u_sie.tx_ifs_q[3] ),
+    .B(_05285_),
+    .C(_02442_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02466_));
- sky130_fd_sc_hd__clkbuf_1 _15139_ (.A(_02466_),
+    .X(_02446_));
+ sky130_fd_sc_hd__clkbuf_1 _15098_ (.A(_02446_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00868_));
- sky130_fd_sc_hd__clkbuf_1 _15140_ (.A(\u_usb_host.u_core.u_sie.last_tx_time_q[0] ),
+ sky130_fd_sc_hd__clkbuf_1 _15099_ (.A(\u_usb_host.u_core.u_sie.last_tx_time_q[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02467_));
- sky130_fd_sc_hd__inv_2 _15141_ (.A(_02467_),
+    .X(_02447_));
+ sky130_fd_sc_hd__inv_2 _15100_ (.A(_02447_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02468_));
- sky130_fd_sc_hd__a21oi_4 _15142_ (.A1(_05310_),
-    .A2(_05603_),
-    .B1(_06215_),
+    .Y(_02448_));
+ sky130_fd_sc_hd__a21oi_2 _15101_ (.A1(_05292_),
+    .A2(_05583_),
+    .B1(_06231_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02469_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15143_ (.A(_02469_),
+    .Y(_02449_));
+ sky130_fd_sc_hd__clkbuf_1 _15102_ (.A(_02449_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02470_));
- sky130_fd_sc_hd__and3b_1 _15144_ (.A_N(\u_usb_host.u_core.u_sie.last_tx_time_q[8] ),
-    .B(_05338_),
-    .C(_02469_),
+    .X(_02450_));
+ sky130_fd_sc_hd__and3b_1 _15103_ (.A_N(\u_usb_host.u_core.u_sie.last_tx_time_q[8] ),
+    .B(_05311_),
+    .C(_02449_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02471_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15145_ (.A(_02471_),
+    .X(_02451_));
+ sky130_fd_sc_hd__clkbuf_1 _15104_ (.A(_02451_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02472_));
- sky130_fd_sc_hd__a21o_1 _15146_ (.A1(_02468_),
-    .A2(_02470_),
-    .B1(_02472_),
+    .X(_02452_));
+ sky130_fd_sc_hd__a21o_1 _15105_ (.A1(_02448_),
+    .A2(_02450_),
+    .B1(_02452_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00869_));
- sky130_fd_sc_hd__nand2_1 _15147_ (.A(_02467_),
+ sky130_fd_sc_hd__clkbuf_1 _15106_ (.A(\u_usb_host.u_core.u_sie.last_tx_time_q[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02453_));
+ sky130_fd_sc_hd__nand2_1 _15107_ (.A(_02447_),
+    .B(_02453_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_02454_));
+ sky130_fd_sc_hd__or2_1 _15108_ (.A(\u_usb_host.u_core.u_sie.last_tx_time_q[0] ),
     .B(\u_usb_host.u_core.u_sie.last_tx_time_q[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02473_));
- sky130_fd_sc_hd__or2_1 _15148_ (.A(_02467_),
-    .B(\u_usb_host.u_core.u_sie.last_tx_time_q[1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02474_));
- sky130_fd_sc_hd__a31o_1 _15149_ (.A1(_02473_),
-    .A2(_02470_),
-    .A3(_02474_),
-    .B1(_02472_),
+    .X(_02455_));
+ sky130_fd_sc_hd__a31o_1 _15109_ (.A1(_02454_),
+    .A2(_02450_),
+    .A3(_02455_),
+    .B1(_02452_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00870_));
- sky130_fd_sc_hd__inv_2 _15150_ (.A(_05333_),
+ sky130_fd_sc_hd__nand3_1 _15110_ (.A(_02447_),
+    .B(\u_usb_host.u_core.u_sie.last_tx_time_q[2] ),
+    .C(_02453_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02475_));
- sky130_fd_sc_hd__a21o_1 _15151_ (.A1(_02467_),
-    .A2(\u_usb_host.u_core.u_sie.last_tx_time_q[1] ),
+    .Y(_02456_));
+ sky130_fd_sc_hd__a21o_1 _15111_ (.A1(_02447_),
+    .A2(_02453_),
     .B1(\u_usb_host.u_core.u_sie.last_tx_time_q[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02476_));
- sky130_fd_sc_hd__a31o_1 _15152_ (.A1(_02475_),
-    .A2(_02470_),
-    .A3(_02476_),
-    .B1(_02472_),
+    .X(_02457_));
+ sky130_fd_sc_hd__a31o_1 _15112_ (.A1(_02456_),
+    .A2(_02450_),
+    .A3(_02457_),
+    .B1(_02452_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00871_));
- sky130_fd_sc_hd__inv_2 _15153_ (.A(_05334_),
+ sky130_fd_sc_hd__clkbuf_1 _15113_ (.A(_05307_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02477_));
- sky130_fd_sc_hd__or2_1 _15154_ (.A(\u_usb_host.u_core.u_sie.last_tx_time_q[3] ),
-    .B(_05333_),
+    .X(_02458_));
+ sky130_fd_sc_hd__inv_2 _15114_ (.A(_02458_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02478_));
- sky130_fd_sc_hd__a31o_1 _15155_ (.A1(_02477_),
-    .A2(_02470_),
-    .A3(_02478_),
-    .B1(_02472_),
+    .Y(_02459_));
+ sky130_fd_sc_hd__a31o_1 _15115_ (.A1(\u_usb_host.u_core.u_sie.last_tx_time_q[0] ),
+    .A2(\u_usb_host.u_core.u_sie.last_tx_time_q[2] ),
+    .A3(_02453_),
+    .B1(\u_usb_host.u_core.u_sie.last_tx_time_q[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02460_));
+ sky130_fd_sc_hd__a31o_1 _15116_ (.A1(_02459_),
+    .A2(_02450_),
+    .A3(_02460_),
+    .B1(_02452_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00872_));
- sky130_fd_sc_hd__nand2_1 _15156_ (.A(\u_usb_host.u_core.u_sie.last_tx_time_q[4] ),
-    .B(_05334_),
+ sky130_fd_sc_hd__nand2_1 _15117_ (.A(\u_usb_host.u_core.u_sie.last_tx_time_q[4] ),
+    .B(_02458_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02479_));
- sky130_fd_sc_hd__clkbuf_1 _15157_ (.A(_02469_),
+    .Y(_02461_));
+ sky130_fd_sc_hd__clkbuf_1 _15118_ (.A(_02449_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02480_));
- sky130_fd_sc_hd__or2_1 _15158_ (.A(\u_usb_host.u_core.u_sie.last_tx_time_q[4] ),
-    .B(_05334_),
+    .X(_02462_));
+ sky130_fd_sc_hd__or2_1 _15119_ (.A(\u_usb_host.u_core.u_sie.last_tx_time_q[4] ),
+    .B(_02458_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02481_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15159_ (.A(_02471_),
+    .X(_02463_));
+ sky130_fd_sc_hd__clkbuf_1 _15120_ (.A(_02451_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02482_));
- sky130_fd_sc_hd__a31o_1 _15160_ (.A1(_02479_),
-    .A2(_02480_),
-    .A3(_02481_),
-    .B1(_02482_),
+    .X(_02464_));
+ sky130_fd_sc_hd__a31o_1 _15121_ (.A1(_02461_),
+    .A2(_02462_),
+    .A3(_02463_),
+    .B1(_02464_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00873_));
- sky130_fd_sc_hd__inv_2 _15161_ (.A(_05335_),
+ sky130_fd_sc_hd__inv_2 _15122_ (.A(_05308_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02483_));
- sky130_fd_sc_hd__a31o_1 _15162_ (.A1(\u_usb_host.u_core.u_sie.last_tx_time_q[4] ),
-    .A2(\u_usb_host.u_core.u_sie.last_tx_time_q[3] ),
-    .A3(_05333_),
+    .Y(_02465_));
+ sky130_fd_sc_hd__a21o_1 _15123_ (.A1(\u_usb_host.u_core.u_sie.last_tx_time_q[4] ),
+    .A2(_02458_),
     .B1(\u_usb_host.u_core.u_sie.last_tx_time_q[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02484_));
- sky130_fd_sc_hd__a31o_1 _15163_ (.A1(_02483_),
-    .A2(_02480_),
-    .A3(_02484_),
-    .B1(_02482_),
+    .X(_02466_));
+ sky130_fd_sc_hd__a31o_1 _15124_ (.A1(_02465_),
+    .A2(_02462_),
+    .A3(_02466_),
+    .B1(_02464_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00874_));
- sky130_fd_sc_hd__o21ai_1 _15164_ (.A1(\u_usb_host.u_core.u_sie.last_tx_time_q[6] ),
-    .A2(_05335_),
-    .B1(_02480_),
+ sky130_fd_sc_hd__o21ai_1 _15125_ (.A1(\u_usb_host.u_core.u_sie.last_tx_time_q[6] ),
+    .A2(_05308_),
+    .B1(_02462_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02485_));
- sky130_fd_sc_hd__o21bai_1 _15165_ (.A1(_05336_),
-    .A2(_02485_),
-    .B1_N(_02482_),
+    .Y(_02467_));
+ sky130_fd_sc_hd__o21bai_1 _15126_ (.A1(_05309_),
+    .A2(_02467_),
+    .B1_N(_02464_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_00875_));
- sky130_fd_sc_hd__or2_1 _15166_ (.A(\u_usb_host.u_core.u_sie.last_tx_time_q[7] ),
-    .B(_05336_),
+ sky130_fd_sc_hd__or2_1 _15127_ (.A(\u_usb_host.u_core.u_sie.last_tx_time_q[7] ),
+    .B(_05309_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02486_));
- sky130_fd_sc_hd__a31o_1 _15167_ (.A1(_05337_),
-    .A2(_02480_),
-    .A3(_02486_),
-    .B1(_02482_),
+    .X(_02468_));
+ sky130_fd_sc_hd__a31o_1 _15128_ (.A1(_05310_),
+    .A2(_02462_),
+    .A3(_02468_),
+    .B1(_02464_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00876_));
- sky130_fd_sc_hd__and3_1 _15168_ (.A(\u_usb_host.u_core.u_sie.last_tx_time_q[8] ),
-    .B(_05337_),
-    .C(_02469_),
+ sky130_fd_sc_hd__and3_1 _15129_ (.A(\u_usb_host.u_core.u_sie.last_tx_time_q[8] ),
+    .B(_05310_),
+    .C(_02449_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02487_));
- sky130_fd_sc_hd__clkbuf_1 _15169_ (.A(_02487_),
+    .X(_02469_));
+ sky130_fd_sc_hd__clkbuf_1 _15130_ (.A(_02469_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00877_));
- sky130_fd_sc_hd__nand2_1 _15170_ (.A(\u_usb_host.u_core.transfer_start_q ),
-    .B(_06215_),
+ sky130_fd_sc_hd__nand2_1 _15131_ (.A(\u_usb_host.u_core.transfer_start_q ),
+    .B(_06231_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02488_));
- sky130_fd_sc_hd__nor2_1 _15171_ (.A(\u_usb_host.u_core.sof_transfer_q ),
-    .B(_02488_),
+    .Y(_02470_));
+ sky130_fd_sc_hd__nor2_2 _15132_ (.A(_07893_),
+    .B(_02470_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02489_));
- sky130_fd_sc_hd__clkbuf_2 _15172_ (.A(_02489_),
+    .Y(_02471_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15133_ (.A(_02471_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02490_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15173_ (.A(_02490_),
+    .X(_02472_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15134_ (.A(_02472_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02491_));
- sky130_fd_sc_hd__or2_2 _15174_ (.A(_05330_),
-    .B(_02489_),
+    .X(_02473_));
+ sky130_fd_sc_hd__or2_4 _15135_ (.A(_05315_),
+    .B(_02471_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02492_));
- sky130_fd_sc_hd__clkbuf_2 _15175_ (.A(_02492_),
+    .X(_02474_));
+ sky130_fd_sc_hd__o2bb2a_1 _15136_ (.A1_N(\u_usb_host.u_core.u_sie.data_len_i[0] ),
+    .A2_N(_02473_),
+    .B1(_02474_),
+    .B2(_09138_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02493_));
- sky130_fd_sc_hd__o2bb2a_1 _15176_ (.A1_N(\u_usb_host.u_core.u_sie.data_len_i[0] ),
-    .A2_N(_02491_),
-    .B1(_02493_),
-    .B2(_09167_),
+    .X(_02475_));
+ sky130_fd_sc_hd__inv_2 _15137_ (.A(\u_usb_host.u_core.u_sie.crc_byte_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02494_));
- sky130_fd_sc_hd__inv_2 _15177_ (.A(\u_usb_host.u_core.u_sie.crc_byte_w ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_02495_));
- sky130_fd_sc_hd__and4bb_2 _15178_ (.A_N(\u_usb_host.u_core.u_sie.state_q[3] ),
+    .Y(_02476_));
+ sky130_fd_sc_hd__and4bb_1 _15138_ (.A_N(\u_usb_host.u_core.u_sie.state_q[3] ),
     .B_N(\u_usb_host.u_core.u_sie.state_q[2] ),
     .C(\u_usb_host.u_core.u_sie.utmi_txready_i ),
     .D(\u_usb_host.u_core.u_sie.state_q[1] ),
@@ -204817,26547 +204041,26708 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02496_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15179_ (.A(_02496_),
+    .X(_02477_));
+ sky130_fd_sc_hd__clkbuf_1 _15139_ (.A(_02477_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02497_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15180_ (.A(_02497_),
+    .X(_02478_));
+ sky130_fd_sc_hd__clkbuf_2 _15140_ (.A(_02478_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02498_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15181_ (.A(_02498_),
+    .X(_02479_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15141_ (.A(_02479_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02499_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15182_ (.A(_02499_),
+    .X(_02480_));
+ sky130_fd_sc_hd__clkbuf_2 _15142_ (.A(_02480_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02500_));
- sky130_fd_sc_hd__clkbuf_1 _15183_ (.A(_02500_),
+    .X(_02481_));
+ sky130_fd_sc_hd__clkbuf_1 _15143_ (.A(_02481_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02501_));
- sky130_fd_sc_hd__buf_2 _15184_ (.A(_02501_),
+    .X(_02482_));
+ sky130_fd_sc_hd__clkbuf_1 _15144_ (.A(_02482_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02502_));
- sky130_fd_sc_hd__clkbuf_1 _15185_ (.A(_02502_),
+    .X(_02483_));
+ sky130_fd_sc_hd__buf_2 _15145_ (.A(_02483_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02503_));
- sky130_fd_sc_hd__buf_2 _15186_ (.A(_02503_),
+    .X(_02484_));
+ sky130_fd_sc_hd__buf_2 _15146_ (.A(_02484_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02504_));
- sky130_fd_sc_hd__a31o_1 _15187_ (.A1(_05350_),
-    .A2(_02495_),
-    .A3(_05357_),
-    .B1(_02504_),
+    .X(_02485_));
+ sky130_fd_sc_hd__a31o_1 _15147_ (.A1(\u_usb_host.u_core.u_sie.data_ready_w ),
+    .A2(_02476_),
+    .A3(_05329_),
+    .B1(_02485_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02505_));
- sky130_fd_sc_hd__o21bai_4 _15188_ (.A1(_02493_),
-    .A2(_02505_),
-    .B1_N(_05296_),
+    .X(_02486_));
+ sky130_fd_sc_hd__o21bai_4 _15148_ (.A1(_02474_),
+    .A2(_02486_),
+    .B1_N(_05279_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02506_));
- sky130_fd_sc_hd__clkbuf_2 _15189_ (.A(_02506_),
+    .Y(_02487_));
+ sky130_fd_sc_hd__clkbuf_2 _15149_ (.A(_02487_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02507_));
- sky130_fd_sc_hd__xnor2_1 _15190_ (.A(_02494_),
-    .B(_02507_),
+    .X(_02488_));
+ sky130_fd_sc_hd__xnor2_1 _15150_ (.A(_02475_),
+    .B(_02488_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_00878_));
- sky130_fd_sc_hd__nor2_1 _15191_ (.A(_05330_),
-    .B(_02489_),
+ sky130_fd_sc_hd__nor2_2 _15151_ (.A(_05316_),
+    .B(_02471_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02508_));
- sky130_fd_sc_hd__clkbuf_2 _15192_ (.A(_02508_),
+    .Y(_02489_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15152_ (.A(_02489_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02509_));
- sky130_fd_sc_hd__nand2_1 _15193_ (.A(_05283_),
-    .B(_02496_),
+    .X(_02490_));
+ sky130_fd_sc_hd__nand2_1 _15153_ (.A(_05263_),
+    .B(_02478_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02510_));
- sky130_fd_sc_hd__or2_1 _15194_ (.A(\u_usb_host.u_core.status_rx_count_w[1] ),
-    .B(_02496_),
+    .Y(_02491_));
+ sky130_fd_sc_hd__or2_1 _15154_ (.A(\u_usb_host.u_core.status_rx_count_w[1] ),
+    .B(_02477_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02511_));
- sky130_fd_sc_hd__nand3_1 _15195_ (.A(_09167_),
-    .B(_02510_),
-    .C(_02511_),
+    .X(_02492_));
+ sky130_fd_sc_hd__a21o_1 _15155_ (.A1(_02491_),
+    .A2(_02492_),
+    .B1(_09138_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02512_));
- sky130_fd_sc_hd__a21o_1 _15196_ (.A1(_02510_),
-    .A2(_02511_),
-    .B1(_09167_),
+    .X(_02493_));
+ sky130_fd_sc_hd__nand3_1 _15156_ (.A(_09138_),
+    .B(_02491_),
+    .C(_02492_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02513_));
- sky130_fd_sc_hd__a32o_1 _15197_ (.A1(_02509_),
-    .A2(_02512_),
-    .A3(_02513_),
-    .B1(_02491_),
+    .Y(_02494_));
+ sky130_fd_sc_hd__a32o_1 _15157_ (.A1(_02490_),
+    .A2(_02493_),
+    .A3(_02494_),
+    .B1(_02473_),
     .B2(\u_usb_host.u_core.u_sie.data_len_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02514_));
- sky130_fd_sc_hd__mux2_1 _15198_ (.A0(_02514_),
-    .A1(_05283_),
-    .S(_02507_),
+    .X(_02495_));
+ sky130_fd_sc_hd__mux2_1 _15158_ (.A0(_02495_),
+    .A1(_05263_),
+    .S(_02488_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02515_));
- sky130_fd_sc_hd__clkbuf_1 _15199_ (.A(_02515_),
+    .X(_02496_));
+ sky130_fd_sc_hd__clkbuf_1 _15159_ (.A(_02496_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00879_));
- sky130_fd_sc_hd__nor2_1 _15200_ (.A(_09278_),
-    .B(_02497_),
+ sky130_fd_sc_hd__or2_1 _15160_ (.A(\u_usb_host.u_core.status_rx_count_w[2] ),
+    .B(_02478_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02516_));
- sky130_fd_sc_hd__and2_1 _15201_ (.A(_09278_),
-    .B(_02497_),
+    .X(_02497_));
+ sky130_fd_sc_hd__nand2_1 _15161_ (.A(\u_usb_host.u_core.status_rx_count_w[2] ),
+    .B(_02478_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02517_));
- sky130_fd_sc_hd__a21boi_1 _15202_ (.A1(\u_usb_host.u_core.status_rx_count_w[0] ),
-    .A2(_02511_),
-    .B1_N(_02510_),
+    .Y(_02498_));
+ sky130_fd_sc_hd__nand2_1 _15162_ (.A(_02497_),
+    .B(_02498_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02518_));
- sky130_fd_sc_hd__nor3_1 _15203_ (.A(_02516_),
-    .B(_02517_),
-    .C(_02518_),
+    .Y(_02499_));
+ sky130_fd_sc_hd__a21boi_1 _15163_ (.A1(\u_usb_host.u_core.status_rx_count_w[0] ),
+    .A2(_02492_),
+    .B1_N(_02491_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02519_));
- sky130_fd_sc_hd__o21a_1 _15204_ (.A1(_02516_),
-    .A2(_02517_),
-    .B1(_02518_),
+    .Y(_02500_));
+ sky130_fd_sc_hd__or2_1 _15164_ (.A(_02499_),
+    .B(_02500_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02520_));
- sky130_fd_sc_hd__or3_1 _15205_ (.A(_02492_),
-    .B(_02519_),
-    .C(_02520_),
+    .X(_02501_));
+ sky130_fd_sc_hd__nand2_1 _15165_ (.A(_02499_),
+    .B(_02500_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02521_));
- sky130_fd_sc_hd__a21bo_1 _15206_ (.A1(\u_usb_host.u_core.u_sie.data_len_i[2] ),
-    .A2(_02491_),
-    .B1_N(_02521_),
+    .Y(_02502_));
+ sky130_fd_sc_hd__a32o_1 _15166_ (.A1(_02490_),
+    .A2(_02501_),
+    .A3(_02502_),
+    .B1(_02473_),
+    .B2(\u_usb_host.u_core.u_sie.data_len_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02522_));
- sky130_fd_sc_hd__mux2_1 _15207_ (.A0(_02522_),
-    .A1(_09278_),
-    .S(_02507_),
+    .X(_02503_));
+ sky130_fd_sc_hd__mux2_1 _15167_ (.A0(_02503_),
+    .A1(_05265_),
+    .S(_02488_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02523_));
- sky130_fd_sc_hd__clkbuf_1 _15208_ (.A(_02523_),
+    .X(_02504_));
+ sky130_fd_sc_hd__clkbuf_1 _15168_ (.A(_02504_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00880_));
- sky130_fd_sc_hd__clkbuf_2 _15209_ (.A(_02490_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15169_ (.A(_02483_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02524_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15210_ (.A(_02496_),
+    .X(_02505_));
+ sky130_fd_sc_hd__nand2_1 _15170_ (.A(_05264_),
+    .B(_02505_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02525_));
- sky130_fd_sc_hd__nor2_1 _15211_ (.A(_09284_),
-    .B(_02525_),
+    .Y(_02506_));
+ sky130_fd_sc_hd__clkbuf_2 _15171_ (.A(_02477_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02526_));
- sky130_fd_sc_hd__clkbuf_2 _15212_ (.A(_02502_),
+    .X(_02507_));
+ sky130_fd_sc_hd__nor2_1 _15172_ (.A(\u_usb_host.u_core.status_rx_count_w[3] ),
+    .B(_02507_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02527_));
- sky130_fd_sc_hd__nand2_1 _15213_ (.A(_09284_),
-    .B(_02527_),
+    .Y(_02508_));
+ sky130_fd_sc_hd__a21oi_1 _15173_ (.A1(_02498_),
+    .A2(_02501_),
+    .B1(_02508_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02528_));
- sky130_fd_sc_hd__or2b_1 _15214_ (.A(_02526_),
-    .B_N(_02528_),
+    .Y(_02509_));
+ sky130_fd_sc_hd__nand2_1 _15174_ (.A(_02506_),
+    .B(_02509_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02529_));
- sky130_fd_sc_hd__nor2_1 _15215_ (.A(_02517_),
-    .B(_02519_),
+    .Y(_02510_));
+ sky130_fd_sc_hd__inv_2 _15175_ (.A(_02506_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02530_));
- sky130_fd_sc_hd__xor2_1 _15216_ (.A(_02529_),
-    .B(_02530_),
+    .Y(_02511_));
+ sky130_fd_sc_hd__o211ai_1 _15176_ (.A1(_02511_),
+    .A2(_02508_),
+    .B1(_02498_),
+    .C1(_02501_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02531_));
- sky130_fd_sc_hd__a22o_1 _15217_ (.A1(\u_usb_host.u_core.u_sie.data_len_i[3] ),
-    .A2(_02524_),
-    .B1(_02509_),
-    .B2(_02531_),
+    .Y(_02512_));
+ sky130_fd_sc_hd__clkbuf_2 _15177_ (.A(_02472_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02532_));
- sky130_fd_sc_hd__mux2_1 _15218_ (.A0(_02532_),
-    .A1(_09284_),
-    .S(_02507_),
+    .X(_02513_));
+ sky130_fd_sc_hd__a32o_1 _15178_ (.A1(_02490_),
+    .A2(_02510_),
+    .A3(_02512_),
+    .B1(_02513_),
+    .B2(\u_usb_host.u_core.u_sie.data_len_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02533_));
- sky130_fd_sc_hd__clkbuf_1 _15219_ (.A(_02533_),
+    .X(_02514_));
+ sky130_fd_sc_hd__mux2_1 _15179_ (.A0(_02514_),
+    .A1(_05264_),
+    .S(_02488_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02515_));
+ sky130_fd_sc_hd__clkbuf_1 _15180_ (.A(_02515_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00881_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15220_ (.A(_02508_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15181_ (.A(_02472_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02534_));
- sky130_fd_sc_hd__clkbuf_2 _15221_ (.A(_02534_),
+    .X(_02516_));
+ sky130_fd_sc_hd__o21ai_1 _15182_ (.A1(\u_usb_host.u_core.status_rx_count_w[3] ),
+    .A2(_05265_),
+    .B1(_02479_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02535_));
- sky130_fd_sc_hd__nand2_1 _15222_ (.A(\u_usb_host.u_core.status_rx_count_w[4] ),
-    .B(_02498_),
+    .Y(_02517_));
+ sky130_fd_sc_hd__o31a_1 _15183_ (.A1(_02499_),
+    .A2(_02500_),
+    .A3(_02508_),
+    .B1(_02517_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02536_));
- sky130_fd_sc_hd__or2_1 _15223_ (.A(\u_usb_host.u_core.status_rx_count_w[4] ),
-    .B(_02525_),
+    .X(_02518_));
+ sky130_fd_sc_hd__nand2_1 _15184_ (.A(\u_usb_host.u_core.status_rx_count_w[4] ),
+    .B(_02479_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02537_));
- sky130_fd_sc_hd__nand2_1 _15224_ (.A(_02536_),
-    .B(_02537_),
+    .Y(_02519_));
+ sky130_fd_sc_hd__or2_1 _15185_ (.A(\u_usb_host.u_core.status_rx_count_w[4] ),
+    .B(_02507_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02538_));
- sky130_fd_sc_hd__nand2_1 _15225_ (.A(_05280_),
-    .B(_02525_),
+    .X(_02520_));
+ sky130_fd_sc_hd__and2_1 _15186_ (.A(_02519_),
+    .B(_02520_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02539_));
- sky130_fd_sc_hd__o41a_1 _15226_ (.A1(_02516_),
-    .A2(_02517_),
-    .A3(_02518_),
-    .A4(_02526_),
-    .B1(_02539_),
+    .X(_02521_));
+ sky130_fd_sc_hd__or2b_1 _15187_ (.A(_02518_),
+    .B_N(_02521_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02540_));
- sky130_fd_sc_hd__or2_1 _15227_ (.A(_02538_),
-    .B(_02540_),
+    .X(_02522_));
+ sky130_fd_sc_hd__o31a_1 _15188_ (.A1(_02511_),
+    .A2(_02509_),
+    .A3(_02521_),
+    .B1(_02489_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02541_));
- sky130_fd_sc_hd__nand2_1 _15228_ (.A(_02538_),
-    .B(_02540_),
+    .X(_02523_));
+ sky130_fd_sc_hd__a22o_1 _15189_ (.A1(\u_usb_host.u_core.u_sie.data_len_i[4] ),
+    .A2(_02516_),
+    .B1(_02522_),
+    .B2(_02523_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02542_));
- sky130_fd_sc_hd__clkbuf_2 _15229_ (.A(_02490_),
+    .X(_02524_));
+ sky130_fd_sc_hd__clkbuf_2 _15190_ (.A(_02487_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02543_));
- sky130_fd_sc_hd__a32o_1 _15230_ (.A1(_02535_),
-    .A2(_02541_),
-    .A3(_02542_),
-    .B1(_02543_),
-    .B2(\u_usb_host.u_core.u_sie.data_len_i[4] ),
+    .X(_02525_));
+ sky130_fd_sc_hd__mux2_1 _15191_ (.A0(_02524_),
+    .A1(_05269_),
+    .S(_02525_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02544_));
- sky130_fd_sc_hd__clkbuf_2 _15231_ (.A(_02506_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02545_));
- sky130_fd_sc_hd__mux2_1 _15232_ (.A0(_02544_),
-    .A1(_05291_),
-    .S(_02545_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02546_));
- sky130_fd_sc_hd__clkbuf_1 _15233_ (.A(_02546_),
+    .X(_02526_));
+ sky130_fd_sc_hd__clkbuf_1 _15192_ (.A(_02526_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00882_));
- sky130_fd_sc_hd__xnor2_1 _15234_ (.A(\u_usb_host.u_core.status_rx_count_w[5] ),
-    .B(_02498_),
+ sky130_fd_sc_hd__xnor2_1 _15193_ (.A(\u_usb_host.u_core.status_rx_count_w[5] ),
+    .B(_02479_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02547_));
- sky130_fd_sc_hd__a21oi_1 _15235_ (.A1(_02536_),
-    .A2(_02541_),
-    .B1(_02547_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_02548_));
- sky130_fd_sc_hd__a31o_1 _15236_ (.A1(_02536_),
-    .A2(_02541_),
-    .A3(_02547_),
-    .B1(_02493_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02549_));
- sky130_fd_sc_hd__clkbuf_2 _15237_ (.A(_02489_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02550_));
- sky130_fd_sc_hd__a2bb2o_1 _15238_ (.A1_N(_02548_),
-    .A2_N(_02549_),
-    .B1(\u_usb_host.u_core.u_sie.data_len_i[5] ),
-    .B2(_02550_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02551_));
- sky130_fd_sc_hd__mux2_1 _15239_ (.A0(_02551_),
-    .A1(_05290_),
-    .S(_02545_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02552_));
- sky130_fd_sc_hd__clkbuf_1 _15240_ (.A(_02552_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00883_));
- sky130_fd_sc_hd__or2_1 _15241_ (.A(_02541_),
-    .B(_02547_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02553_));
- sky130_fd_sc_hd__o21ai_1 _15242_ (.A1(_05290_),
-    .A2(_05291_),
-    .B1(_02503_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_02554_));
- sky130_fd_sc_hd__xnor2_1 _15243_ (.A(\u_usb_host.u_core.status_rx_count_w[6] ),
-    .B(_02497_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_02555_));
- sky130_fd_sc_hd__a21oi_1 _15244_ (.A1(_02553_),
-    .A2(_02554_),
-    .B1(_02555_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_02556_));
- sky130_fd_sc_hd__a31o_1 _15245_ (.A1(_02555_),
-    .A2(_02553_),
-    .A3(_02554_),
-    .B1(_02493_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02557_));
- sky130_fd_sc_hd__a2bb2o_1 _15246_ (.A1_N(_02556_),
-    .A2_N(_02557_),
-    .B1(\u_usb_host.u_core.u_sie.data_len_i[6] ),
-    .B2(_02524_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02558_));
- sky130_fd_sc_hd__mux2_1 _15247_ (.A0(_02558_),
-    .A1(_05292_),
-    .S(_02545_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02559_));
- sky130_fd_sc_hd__clkbuf_1 _15248_ (.A(_02559_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00884_));
- sky130_fd_sc_hd__a21oi_1 _15249_ (.A1(_05292_),
-    .A2(_02504_),
-    .B1(_02556_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_02560_));
- sky130_fd_sc_hd__xnor2_1 _15250_ (.A(\u_usb_host.u_core.status_rx_count_w[7] ),
-    .B(_02525_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_02561_));
- sky130_fd_sc_hd__or2_1 _15251_ (.A(_02560_),
-    .B(_02561_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02562_));
- sky130_fd_sc_hd__nand2_1 _15252_ (.A(_02560_),
-    .B(_02561_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_02563_));
- sky130_fd_sc_hd__a32o_1 _15253_ (.A1(_02535_),
-    .A2(_02562_),
-    .A3(_02563_),
-    .B1(_02543_),
-    .B2(\u_usb_host.u_core.u_sie.data_len_i[7] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02564_));
- sky130_fd_sc_hd__mux2_1 _15254_ (.A0(_02564_),
-    .A1(\u_usb_host.u_core.status_rx_count_w[7] ),
-    .S(_02545_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02565_));
- sky130_fd_sc_hd__clkbuf_1 _15255_ (.A(_02565_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00885_));
- sky130_fd_sc_hd__or2_1 _15256_ (.A(\u_usb_host.u_core.status_rx_count_w[8] ),
-    .B(_02498_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02566_));
- sky130_fd_sc_hd__nand2_1 _15257_ (.A(\u_usb_host.u_core.status_rx_count_w[8] ),
-    .B(_02499_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_02567_));
- sky130_fd_sc_hd__nand2_1 _15258_ (.A(_02566_),
-    .B(_02567_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_02568_));
- sky130_fd_sc_hd__nand2_1 _15259_ (.A(_05293_),
-    .B(_02500_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_02569_));
- sky130_fd_sc_hd__or2_1 _15260_ (.A(_02555_),
-    .B(_02561_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02570_));
- sky130_fd_sc_hd__or4_1 _15261_ (.A(_02538_),
-    .B(_02540_),
-    .C(_02547_),
-    .D(_02570_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02571_));
- sky130_fd_sc_hd__and2_1 _15262_ (.A(_02569_),
-    .B(_02571_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02572_));
- sky130_fd_sc_hd__xor2_1 _15263_ (.A(_02568_),
-    .B(_02572_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02573_));
- sky130_fd_sc_hd__a22o_1 _15264_ (.A1(\u_usb_host.u_core.u_sie.data_len_i[8] ),
-    .A2(_02524_),
-    .B1(_02509_),
-    .B2(_02573_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02574_));
- sky130_fd_sc_hd__clkbuf_2 _15265_ (.A(_02506_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02575_));
- sky130_fd_sc_hd__mux2_1 _15266_ (.A0(_02574_),
-    .A1(_05286_),
-    .S(_02575_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02576_));
- sky130_fd_sc_hd__clkbuf_1 _15267_ (.A(_02576_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00886_));
- sky130_fd_sc_hd__or2_1 _15268_ (.A(_02568_),
-    .B(_02572_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02577_));
- sky130_fd_sc_hd__xnor2_1 _15269_ (.A(\u_usb_host.u_core.status_rx_count_w[9] ),
-    .B(_02499_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_02578_));
- sky130_fd_sc_hd__nand3_1 _15270_ (.A(_02567_),
-    .B(_02577_),
-    .C(_02578_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_02579_));
- sky130_fd_sc_hd__a21o_1 _15271_ (.A1(_02567_),
-    .A2(_02577_),
-    .B1(_02578_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02580_));
- sky130_fd_sc_hd__a32o_1 _15272_ (.A1(_02535_),
-    .A2(_02579_),
-    .A3(_02580_),
-    .B1(_02543_),
-    .B2(\u_usb_host.u_core.u_sie.data_len_i[9] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02581_));
- sky130_fd_sc_hd__mux2_1 _15273_ (.A0(_02581_),
-    .A1(_05285_),
-    .S(_02575_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02582_));
- sky130_fd_sc_hd__clkbuf_1 _15274_ (.A(_02582_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00887_));
- sky130_fd_sc_hd__a211o_1 _15275_ (.A1(_02569_),
-    .A2(_02571_),
-    .B1(_02578_),
-    .C1(_02568_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02583_));
- sky130_fd_sc_hd__o21ai_1 _15276_ (.A1(_05285_),
-    .A2(_05286_),
+    .Y(_02527_));
+ sky130_fd_sc_hd__a21oi_1 _15194_ (.A1(_02519_),
+    .A2(_02522_),
     .B1(_02527_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02584_));
- sky130_fd_sc_hd__nand2_1 _15277_ (.A(_05287_),
-    .B(_02500_),
+    .Y(_02528_));
+ sky130_fd_sc_hd__a31o_1 _15195_ (.A1(_02519_),
+    .A2(_02522_),
+    .A3(_02527_),
+    .B1(_02474_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02585_));
- sky130_fd_sc_hd__or2_1 _15278_ (.A(\u_usb_host.u_core.status_rx_count_w[10] ),
-    .B(_02499_),
+    .X(_02529_));
+ sky130_fd_sc_hd__a2bb2o_1 _15196_ (.A1_N(_02528_),
+    .A2_N(_02529_),
+    .B1(\u_usb_host.u_core.u_sie.data_len_i[5] ),
+    .B2(_02516_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02586_));
- sky130_fd_sc_hd__nand2_1 _15279_ (.A(_02585_),
-    .B(_02586_),
+    .X(_02530_));
+ sky130_fd_sc_hd__mux2_1 _15197_ (.A0(_02530_),
+    .A1(_05268_),
+    .S(_02525_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02587_));
- sky130_fd_sc_hd__a21o_1 _15280_ (.A1(_02583_),
-    .A2(_02584_),
-    .B1(_02587_),
+    .X(_02531_));
+ sky130_fd_sc_hd__clkbuf_1 _15198_ (.A(_02531_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02588_));
- sky130_fd_sc_hd__nand3_1 _15281_ (.A(_02587_),
-    .B(_02583_),
-    .C(_02584_),
+    .X(_00883_));
+ sky130_fd_sc_hd__or2_1 _15199_ (.A(_02522_),
+    .B(_02527_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02589_));
- sky130_fd_sc_hd__a32o_1 _15282_ (.A1(_02535_),
-    .A2(_02588_),
-    .A3(_02589_),
-    .B1(_02543_),
-    .B2(\u_usb_host.u_core.u_sie.data_len_i[10] ),
+    .X(_02532_));
+ sky130_fd_sc_hd__o21ai_1 _15200_ (.A1(_05268_),
+    .A2(_05269_),
+    .B1(_02505_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02590_));
- sky130_fd_sc_hd__mux2_1 _15283_ (.A0(_02590_),
-    .A1(_05287_),
-    .S(_02575_),
+    .Y(_02533_));
+ sky130_fd_sc_hd__xnor2_1 _15201_ (.A(\u_usb_host.u_core.status_rx_count_w[6] ),
+    .B(_02507_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02591_));
- sky130_fd_sc_hd__clkbuf_1 _15284_ (.A(_02591_),
+    .Y(_02534_));
+ sky130_fd_sc_hd__a21oi_1 _15202_ (.A1(_02532_),
+    .A2(_02533_),
+    .B1(_02534_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_02535_));
+ sky130_fd_sc_hd__a31o_1 _15203_ (.A1(_02534_),
+    .A2(_02532_),
+    .A3(_02533_),
+    .B1(_02474_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02536_));
+ sky130_fd_sc_hd__a2bb2o_1 _15204_ (.A1_N(_02535_),
+    .A2_N(_02536_),
+    .B1(\u_usb_host.u_core.u_sie.data_len_i[6] ),
+    .B2(_02516_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02537_));
+ sky130_fd_sc_hd__mux2_1 _15205_ (.A0(_02537_),
+    .A1(_05270_),
+    .S(_02525_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02538_));
+ sky130_fd_sc_hd__clkbuf_1 _15206_ (.A(_02538_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00884_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15207_ (.A(_02489_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02539_));
+ sky130_fd_sc_hd__a21oi_1 _15208_ (.A1(_05270_),
+    .A2(_02485_),
+    .B1(_02535_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_02540_));
+ sky130_fd_sc_hd__xnor2_1 _15209_ (.A(\u_usb_host.u_core.status_rx_count_w[7] ),
+    .B(_02507_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_02541_));
+ sky130_fd_sc_hd__nand2_1 _15210_ (.A(_02540_),
+    .B(_02541_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_02542_));
+ sky130_fd_sc_hd__or2_1 _15211_ (.A(_02540_),
+    .B(_02541_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02543_));
+ sky130_fd_sc_hd__a32o_1 _15212_ (.A1(_02539_),
+    .A2(_02542_),
+    .A3(_02543_),
+    .B1(_02513_),
+    .B2(\u_usb_host.u_core.u_sie.data_len_i[7] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02544_));
+ sky130_fd_sc_hd__mux2_1 _15213_ (.A0(_02544_),
+    .A1(\u_usb_host.u_core.status_rx_count_w[7] ),
+    .S(_02525_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02545_));
+ sky130_fd_sc_hd__clkbuf_1 _15214_ (.A(_02545_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00885_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15215_ (.A(_02471_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02546_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15216_ (.A(_02489_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02547_));
+ sky130_fd_sc_hd__and2_1 _15217_ (.A(\u_usb_host.u_core.status_rx_count_w[8] ),
+    .B(_02480_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02548_));
+ sky130_fd_sc_hd__nor2_1 _15218_ (.A(\u_usb_host.u_core.status_rx_count_w[8] ),
+    .B(_02480_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_02549_));
+ sky130_fd_sc_hd__or2_1 _15219_ (.A(_02548_),
+    .B(_02549_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02550_));
+ sky130_fd_sc_hd__nand2_1 _15220_ (.A(_05271_),
+    .B(_02482_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_02551_));
+ sky130_fd_sc_hd__or2_1 _15221_ (.A(_02534_),
+    .B(_02541_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02552_));
+ sky130_fd_sc_hd__or4b_2 _15222_ (.A(_02518_),
+    .B(_02527_),
+    .C(_02552_),
+    .D_N(_02521_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02553_));
+ sky130_fd_sc_hd__and2_1 _15223_ (.A(_02551_),
+    .B(_02553_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02554_));
+ sky130_fd_sc_hd__xor2_1 _15224_ (.A(_02550_),
+    .B(_02554_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02555_));
+ sky130_fd_sc_hd__a22o_1 _15225_ (.A1(\u_usb_host.u_core.u_sie.data_len_i[8] ),
+    .A2(_02546_),
+    .B1(_02547_),
+    .B2(_02555_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02556_));
+ sky130_fd_sc_hd__clkbuf_2 _15226_ (.A(_02487_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02557_));
+ sky130_fd_sc_hd__mux2_1 _15227_ (.A0(_02556_),
+    .A1(_05274_),
+    .S(_02557_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02558_));
+ sky130_fd_sc_hd__clkbuf_1 _15228_ (.A(_02558_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00886_));
+ sky130_fd_sc_hd__o21bai_1 _15229_ (.A1(_02549_),
+    .A2(_02554_),
+    .B1_N(_02548_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_02559_));
+ sky130_fd_sc_hd__xnor2_1 _15230_ (.A(\u_usb_host.u_core.status_rx_count_w[9] ),
+    .B(_02481_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_02560_));
+ sky130_fd_sc_hd__xnor2_1 _15231_ (.A(_02559_),
+    .B(_02560_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_02561_));
+ sky130_fd_sc_hd__a22o_1 _15232_ (.A1(\u_usb_host.u_core.u_sie.data_len_i[9] ),
+    .A2(_02546_),
+    .B1(_02547_),
+    .B2(_02561_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02562_));
+ sky130_fd_sc_hd__mux2_1 _15233_ (.A0(_02562_),
+    .A1(_05273_),
+    .S(_02557_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02563_));
+ sky130_fd_sc_hd__clkbuf_1 _15234_ (.A(_02563_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00887_));
+ sky130_fd_sc_hd__nand2_1 _15235_ (.A(_05275_),
+    .B(_02481_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_02564_));
+ sky130_fd_sc_hd__or2_1 _15236_ (.A(\u_usb_host.u_core.status_rx_count_w[10] ),
+    .B(_02480_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02565_));
+ sky130_fd_sc_hd__nand2_1 _15237_ (.A(_02564_),
+    .B(_02565_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_02566_));
+ sky130_fd_sc_hd__a211o_1 _15238_ (.A1(_02551_),
+    .A2(_02553_),
+    .B1(_02560_),
+    .C1(_02550_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02567_));
+ sky130_fd_sc_hd__o21ai_1 _15239_ (.A1(_05273_),
+    .A2(_05274_),
+    .B1(_02484_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_02568_));
+ sky130_fd_sc_hd__nand2_1 _15240_ (.A(_02567_),
+    .B(_02568_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_02569_));
+ sky130_fd_sc_hd__xnor2_1 _15241_ (.A(_02566_),
+    .B(_02569_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_02570_));
+ sky130_fd_sc_hd__a22o_1 _15242_ (.A1(\u_usb_host.u_core.u_sie.data_len_i[10] ),
+    .A2(_02546_),
+    .B1(_02547_),
+    .B2(_02570_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02571_));
+ sky130_fd_sc_hd__mux2_1 _15243_ (.A0(_02571_),
+    .A1(_05275_),
+    .S(_02557_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02572_));
+ sky130_fd_sc_hd__clkbuf_1 _15244_ (.A(_02572_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00888_));
- sky130_fd_sc_hd__xnor2_1 _15285_ (.A(\u_usb_host.u_core.status_rx_count_w[11] ),
-    .B(_02500_),
+ sky130_fd_sc_hd__a21bo_1 _15245_ (.A1(_02565_),
+    .A2(_02569_),
+    .B1_N(_02564_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02592_));
- sky130_fd_sc_hd__a21oi_1 _15286_ (.A1(_02585_),
-    .A2(_02588_),
-    .B1(_02592_),
+    .X(_02573_));
+ sky130_fd_sc_hd__xnor2_1 _15246_ (.A(\u_usb_host.u_core.status_rx_count_w[11] ),
+    .B(_02481_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02593_));
- sky130_fd_sc_hd__a31o_1 _15287_ (.A1(_02585_),
-    .A2(_02588_),
-    .A3(_02592_),
-    .B1(_02492_),
+    .Y(_02574_));
+ sky130_fd_sc_hd__xnor2_1 _15247_ (.A(_02573_),
+    .B(_02574_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02594_));
- sky130_fd_sc_hd__a2bb2o_1 _15288_ (.A1_N(_02593_),
-    .A2_N(_02594_),
-    .B1(\u_usb_host.u_core.u_sie.data_len_i[11] ),
-    .B2(_02524_),
+    .Y(_02575_));
+ sky130_fd_sc_hd__a22o_1 _15248_ (.A1(\u_usb_host.u_core.u_sie.data_len_i[11] ),
+    .A2(_02546_),
+    .B1(_02547_),
+    .B2(_02575_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02595_));
- sky130_fd_sc_hd__mux2_1 _15289_ (.A0(_02595_),
+    .X(_02576_));
+ sky130_fd_sc_hd__mux2_1 _15249_ (.A0(_02576_),
     .A1(\u_usb_host.u_core.status_rx_count_w[11] ),
-    .S(_02575_),
+    .S(_02557_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02596_));
- sky130_fd_sc_hd__clkbuf_1 _15290_ (.A(_02596_),
+    .X(_02577_));
+ sky130_fd_sc_hd__clkbuf_1 _15250_ (.A(_02577_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00889_));
- sky130_fd_sc_hd__or2_1 _15291_ (.A(\u_usb_host.u_core.status_rx_count_w[12] ),
-    .B(_02501_),
+ sky130_fd_sc_hd__or2_1 _15251_ (.A(\u_usb_host.u_core.status_rx_count_w[12] ),
+    .B(_02482_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02597_));
- sky130_fd_sc_hd__nand2_1 _15292_ (.A(_05289_),
-    .B(_02527_),
+    .X(_02578_));
+ sky130_fd_sc_hd__nand2_1 _15252_ (.A(_05272_),
+    .B(_02483_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02598_));
- sky130_fd_sc_hd__nand2_1 _15293_ (.A(_02597_),
-    .B(_02598_),
+    .Y(_02579_));
+ sky130_fd_sc_hd__nand2_1 _15253_ (.A(_02578_),
+    .B(_02579_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02599_));
- sky130_fd_sc_hd__nand2_1 _15294_ (.A(_05288_),
-    .B(_02501_),
+    .Y(_02580_));
+ sky130_fd_sc_hd__or2_1 _15254_ (.A(_02566_),
+    .B(_02574_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02600_));
- sky130_fd_sc_hd__o31ai_2 _15295_ (.A1(_02587_),
-    .A2(_02583_),
-    .A3(_02592_),
-    .B1(_02600_),
+    .X(_02581_));
+ sky130_fd_sc_hd__a2bb2o_1 _15255_ (.A1_N(_02567_),
+    .A2_N(_02581_),
+    .B1(_05276_),
+    .B2(_02482_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02601_));
- sky130_fd_sc_hd__xnor2_1 _15296_ (.A(_02599_),
-    .B(_02601_),
+    .X(_02582_));
+ sky130_fd_sc_hd__xnor2_1 _15256_ (.A(_02580_),
+    .B(_02582_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02602_));
- sky130_fd_sc_hd__a22o_1 _15297_ (.A1(\u_usb_host.u_core.u_sie.data_len_i[12] ),
-    .A2(_02490_),
-    .B1(_02509_),
-    .B2(_02602_),
+    .Y(_02583_));
+ sky130_fd_sc_hd__a22o_1 _15257_ (.A1(\u_usb_host.u_core.u_sie.data_len_i[12] ),
+    .A2(_02472_),
+    .B1(_02490_),
+    .B2(_02583_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02603_));
- sky130_fd_sc_hd__clkbuf_2 _15298_ (.A(_02506_),
+    .X(_02584_));
+ sky130_fd_sc_hd__clkbuf_2 _15258_ (.A(_02487_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02604_));
- sky130_fd_sc_hd__mux2_1 _15299_ (.A0(_02603_),
-    .A1(_05289_),
-    .S(_02604_),
+    .X(_02585_));
+ sky130_fd_sc_hd__mux2_1 _15259_ (.A0(_02584_),
+    .A1(_05272_),
+    .S(_02585_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02605_));
- sky130_fd_sc_hd__clkbuf_1 _15300_ (.A(_02605_),
+    .X(_02586_));
+ sky130_fd_sc_hd__clkbuf_1 _15260_ (.A(_02586_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00890_));
- sky130_fd_sc_hd__and2_1 _15301_ (.A(\u_usb_host.u_core.status_rx_count_w[12] ),
-    .B(_02501_),
+ sky130_fd_sc_hd__nand2_1 _15261_ (.A(_09487_),
+    .B(_02505_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02606_));
- sky130_fd_sc_hd__a21oi_1 _15302_ (.A1(_02597_),
-    .A2(_02601_),
-    .B1(_02606_),
+    .Y(_02587_));
+ sky130_fd_sc_hd__or2_1 _15262_ (.A(_09487_),
+    .B(_02483_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02607_));
- sky130_fd_sc_hd__or2_1 _15303_ (.A(_09539_),
-    .B(_02502_),
+    .X(_02588_));
+ sky130_fd_sc_hd__a21boi_1 _15263_ (.A1(_02578_),
+    .A2(_02582_),
+    .B1_N(_02579_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02608_));
- sky130_fd_sc_hd__or2b_1 _15304_ (.A(_02607_),
-    .B_N(_02608_),
+    .Y(_02589_));
+ sky130_fd_sc_hd__a21bo_1 _15264_ (.A1(_02587_),
+    .A2(_02588_),
+    .B1_N(_02589_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02609_));
- sky130_fd_sc_hd__nand2_1 _15305_ (.A(_09539_),
-    .B(_02503_),
+    .X(_02590_));
+ sky130_fd_sc_hd__or2b_1 _15265_ (.A(_02589_),
+    .B_N(_02588_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02610_));
- sky130_fd_sc_hd__or2b_1 _15306_ (.A(_02609_),
-    .B_N(_02610_),
+    .X(_02591_));
+ sky130_fd_sc_hd__or2b_1 _15266_ (.A(_02591_),
+    .B_N(_02587_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02611_));
- sky130_fd_sc_hd__a21bo_1 _15307_ (.A1(_02610_),
-    .A2(_02608_),
-    .B1_N(_02607_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02612_));
- sky130_fd_sc_hd__a32o_1 _15308_ (.A1(_02534_),
-    .A2(_02611_),
-    .A3(_02612_),
-    .B1(_02550_),
+    .X(_02592_));
+ sky130_fd_sc_hd__a32o_1 _15267_ (.A1(_02539_),
+    .A2(_02590_),
+    .A3(_02592_),
+    .B1(_02513_),
     .B2(\u_usb_host.u_core.u_sie.data_len_i[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02613_));
- sky130_fd_sc_hd__mux2_1 _15309_ (.A0(_02613_),
-    .A1(_09539_),
-    .S(_02604_),
+    .X(_02593_));
+ sky130_fd_sc_hd__mux2_1 _15268_ (.A0(_02593_),
+    .A1(_09487_),
+    .S(_02585_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02614_));
- sky130_fd_sc_hd__clkbuf_1 _15310_ (.A(_02614_),
+    .X(_02594_));
+ sky130_fd_sc_hd__clkbuf_1 _15269_ (.A(_02594_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00891_));
- sky130_fd_sc_hd__nor2_1 _15311_ (.A(_09543_),
-    .B(_02527_),
+ sky130_fd_sc_hd__nor2_1 _15270_ (.A(_09490_),
+    .B(_02505_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02615_));
- sky130_fd_sc_hd__and2_1 _15312_ (.A(\u_usb_host.u_core.status_rx_count_w[14] ),
-    .B(_02503_),
+    .Y(_02595_));
+ sky130_fd_sc_hd__and2_1 _15271_ (.A(\u_usb_host.u_core.status_rx_count_w[14] ),
+    .B(_02484_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02616_));
- sky130_fd_sc_hd__a211o_1 _15313_ (.A1(_02610_),
-    .A2(_02609_),
-    .B1(_02615_),
-    .C1(_02616_),
+    .X(_02596_));
+ sky130_fd_sc_hd__a211o_1 _15272_ (.A1(_02587_),
+    .A2(_02591_),
+    .B1(_02595_),
+    .C1(_02596_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02617_));
- sky130_fd_sc_hd__o211ai_1 _15314_ (.A1(_02615_),
-    .A2(_02616_),
-    .B1(_02610_),
-    .C1(_02609_),
+    .X(_02597_));
+ sky130_fd_sc_hd__o211ai_1 _15273_ (.A1(_02595_),
+    .A2(_02596_),
+    .B1(_02587_),
+    .C1(_02591_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02618_));
- sky130_fd_sc_hd__a32o_1 _15315_ (.A1(_02534_),
-    .A2(_02617_),
-    .A3(_02618_),
-    .B1(_02550_),
+    .Y(_02598_));
+ sky130_fd_sc_hd__a32o_1 _15274_ (.A1(_02539_),
+    .A2(_02597_),
+    .A3(_02598_),
+    .B1(_02513_),
     .B2(\u_usb_host.u_core.u_sie.data_len_i[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02619_));
- sky130_fd_sc_hd__mux2_1 _15316_ (.A0(_02619_),
-    .A1(_09543_),
-    .S(_02604_),
+    .X(_02599_));
+ sky130_fd_sc_hd__mux2_1 _15275_ (.A0(_02599_),
+    .A1(_09490_),
+    .S(_02585_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02620_));
- sky130_fd_sc_hd__clkbuf_1 _15317_ (.A(_02620_),
+    .X(_02600_));
+ sky130_fd_sc_hd__clkbuf_1 _15276_ (.A(_02600_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00892_));
- sky130_fd_sc_hd__nand2_1 _15318_ (.A(_09543_),
-    .B(_02504_),
+ sky130_fd_sc_hd__nand2_1 _15277_ (.A(_09490_),
+    .B(_02485_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02621_));
- sky130_fd_sc_hd__xnor2_1 _15319_ (.A(\u_usb_host.u_core.status_rx_count_w[15] ),
-    .B(_02504_),
+    .Y(_02601_));
+ sky130_fd_sc_hd__xnor2_1 _15278_ (.A(\u_usb_host.u_core.status_rx_count_w[15] ),
+    .B(_02485_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02622_));
- sky130_fd_sc_hd__nand3_1 _15320_ (.A(_02621_),
-    .B(_02617_),
-    .C(_02622_),
+    .Y(_02602_));
+ sky130_fd_sc_hd__nand3_1 _15279_ (.A(_02601_),
+    .B(_02597_),
+    .C(_02602_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02623_));
- sky130_fd_sc_hd__a21o_1 _15321_ (.A1(_02621_),
-    .A2(_02617_),
-    .B1(_02622_),
+    .Y(_02603_));
+ sky130_fd_sc_hd__a21o_1 _15280_ (.A1(_02601_),
+    .A2(_02597_),
+    .B1(_02602_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02624_));
- sky130_fd_sc_hd__a32o_1 _15322_ (.A1(_02534_),
-    .A2(_02623_),
-    .A3(_02624_),
-    .B1(_02550_),
+    .X(_02604_));
+ sky130_fd_sc_hd__a32o_1 _15281_ (.A1(_02539_),
+    .A2(_02603_),
+    .A3(_02604_),
+    .B1(_02516_),
     .B2(\u_usb_host.u_core.u_sie.data_len_i[15] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02625_));
- sky130_fd_sc_hd__mux2_1 _15323_ (.A0(_02625_),
+    .X(_02605_));
+ sky130_fd_sc_hd__mux2_1 _15282_ (.A0(_02605_),
     .A1(\u_usb_host.u_core.status_rx_count_w[15] ),
-    .S(_02604_),
+    .S(_02585_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02626_));
- sky130_fd_sc_hd__clkbuf_1 _15324_ (.A(_02626_),
+    .X(_02606_));
+ sky130_fd_sc_hd__clkbuf_1 _15283_ (.A(_02606_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00893_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15325_ (.A(_05324_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15284_ (.A(_05304_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02607_));
+ sky130_fd_sc_hd__and3_1 _15285_ (.A(\u_usb_host.u_core.resp_expected_q ),
+    .B(\u_usb_host.u_core.in_transfer_q ),
+    .C(_02607_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02608_));
+ sky130_fd_sc_hd__a21o_1 _15286_ (.A1(\u_usb_host.u_core.u_sie.send_ack_q ),
+    .A2(_02470_),
+    .B1(_02608_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00894_));
+ sky130_fd_sc_hd__nand2_1 _15287_ (.A(_08330_),
+    .B(_05304_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_02609_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15288_ (.A(_02609_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02610_));
+ sky130_fd_sc_hd__o21a_1 _15289_ (.A1(\u_usb_host.u_core.u_sie.send_sof_q ),
+    .A2(_02607_),
+    .B1(_02610_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00895_));
+ sky130_fd_sc_hd__mux2_1 _15290_ (.A0(\u_usb_host.u_core.u_sie.send_data1_q ),
+    .A1(\u_usb_host.u_core.u_sie.data_idx_i ),
+    .S(_02607_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02611_));
+ sky130_fd_sc_hd__clkbuf_1 _15291_ (.A(_02611_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00896_));
+ sky130_fd_sc_hd__mux2_1 _15292_ (.A0(\u_usb_host.u_core.u_sie.in_transfer_q ),
+    .A1(\u_usb_host.u_core.in_transfer_q ),
+    .S(_05304_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02612_));
+ sky130_fd_sc_hd__clkbuf_1 _15293_ (.A(_02612_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00897_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15294_ (.A(_05336_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02613_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15295_ (.A(_02613_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02614_));
+ sky130_fd_sc_hd__or2_1 _15296_ (.A(\u_usb_host.u_core.resp_expected_q ),
+    .B(_02470_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02615_));
+ sky130_fd_sc_hd__o211a_1 _15297_ (.A1(\u_usb_host.u_core.u_sie.wait_resp_q ),
+    .A2(_02607_),
+    .B1(_02614_),
+    .C1(_02615_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00898_));
+ sky130_fd_sc_hd__clkbuf_1 _15298_ (.A(_05335_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02616_));
+ sky130_fd_sc_hd__clkbuf_1 _15299_ (.A(_02616_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02617_));
+ sky130_fd_sc_hd__buf_2 _15300_ (.A(\u_usb_host.u_core.fifo_rx_data_w[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02618_));
+ sky130_fd_sc_hd__buf_2 _15301_ (.A(_02618_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02619_));
+ sky130_fd_sc_hd__clkbuf_2 _15302_ (.A(_05317_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02620_));
+ sky130_fd_sc_hd__and3_1 _15303_ (.A(\u_usb_host.u_core.status_response_w[0] ),
+    .B(_02613_),
+    .C(_02609_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02621_));
+ sky130_fd_sc_hd__a31o_1 _15304_ (.A1(_02617_),
+    .A2(_02619_),
+    .A3(_02620_),
+    .B1(_02621_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00899_));
+ sky130_fd_sc_hd__clkbuf_2 _15305_ (.A(\u_usb_host.u_core.fifo_rx_data_w[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02622_));
+ sky130_fd_sc_hd__buf_4 _15306_ (.A(_02622_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02623_));
+ sky130_fd_sc_hd__and3_1 _15307_ (.A(_02617_),
+    .B(_02623_),
+    .C(_02620_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02624_));
+ sky130_fd_sc_hd__a31o_1 _15308_ (.A1(\u_usb_host.u_core.status_response_w[1] ),
+    .A2(_02614_),
+    .A3(_02610_),
+    .B1(_02624_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00900_));
+ sky130_fd_sc_hd__clkbuf_2 _15309_ (.A(\u_usb_host.u_core.fifo_rx_data_w[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02625_));
+ sky130_fd_sc_hd__clkbuf_4 _15310_ (.A(_02625_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02626_));
+ sky130_fd_sc_hd__clkbuf_1 _15311_ (.A(_05317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02627_));
- sky130_fd_sc_hd__and3_1 _15326_ (.A(\u_usb_host.u_core.resp_expected_q ),
-    .B(\u_usb_host.u_core.in_transfer_q ),
+ sky130_fd_sc_hd__and3_1 _15312_ (.A(_02617_),
+    .B(_02626_),
     .C(_02627_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02628_));
- sky130_fd_sc_hd__a21o_1 _15327_ (.A1(\u_usb_host.u_core.u_sie.send_ack_q ),
-    .A2(_02488_),
+ sky130_fd_sc_hd__a31o_1 _15313_ (.A1(\u_usb_host.u_core.status_response_w[2] ),
+    .A2(_02614_),
+    .A3(_02610_),
     .B1(_02628_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_00894_));
- sky130_fd_sc_hd__nand2_1 _15328_ (.A(_08372_),
-    .B(_05324_),
+    .X(_00901_));
+ sky130_fd_sc_hd__clkbuf_2 _15314_ (.A(\u_usb_host.u_core.fifo_rx_data_w[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02629_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15329_ (.A(_02629_),
+    .X(_02629_));
+ sky130_fd_sc_hd__clkbuf_4 _15315_ (.A(_02629_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02630_));
- sky130_fd_sc_hd__o21a_1 _15330_ (.A1(\u_usb_host.u_core.u_sie.send_sof_q ),
-    .A2(_02627_),
-    .B1(_02630_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00895_));
- sky130_fd_sc_hd__mux2_1 _15331_ (.A0(\u_usb_host.u_core.u_sie.send_data1_q ),
-    .A1(\u_usb_host.u_core.u_sie.data_idx_i ),
-    .S(_02627_),
+ sky130_fd_sc_hd__and3_1 _15316_ (.A(_02617_),
+    .B(_02630_),
+    .C(_02627_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02631_));
- sky130_fd_sc_hd__clkbuf_1 _15332_ (.A(_02631_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00896_));
- sky130_fd_sc_hd__mux2_1 _15333_ (.A0(\u_usb_host.u_core.u_sie.in_transfer_q ),
-    .A1(\u_usb_host.u_core.in_transfer_q ),
-    .S(_05324_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02632_));
- sky130_fd_sc_hd__clkbuf_1 _15334_ (.A(_02632_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00897_));
- sky130_fd_sc_hd__clkbuf_1 _15335_ (.A(_05331_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02633_));
- sky130_fd_sc_hd__nand2_1 _15336_ (.A(_05351_),
-    .B(_02633_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_02634_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15337_ (.A(_02634_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02635_));
- sky130_fd_sc_hd__or2_1 _15338_ (.A(\u_usb_host.u_core.resp_expected_q ),
-    .B(_02488_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02636_));
- sky130_fd_sc_hd__o211a_1 _15339_ (.A1(\u_usb_host.u_core.u_sie.wait_resp_q ),
-    .A2(_02627_),
-    .B1(_02635_),
-    .C1(_02636_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00898_));
- sky130_fd_sc_hd__clkbuf_1 _15340_ (.A(_05351_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02637_));
- sky130_fd_sc_hd__clkbuf_1 _15341_ (.A(_02637_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02638_));
- sky130_fd_sc_hd__clkbuf_1 _15342_ (.A(\u_usb_host.u_core.fifo_rx_data_w[0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02639_));
- sky130_fd_sc_hd__clkbuf_4 _15343_ (.A(_02639_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02640_));
- sky130_fd_sc_hd__and3_1 _15344_ (.A(_02638_),
-    .B(_02640_),
-    .C(_05332_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02641_));
- sky130_fd_sc_hd__a31o_1 _15345_ (.A1(\u_usb_host.u_core.status_response_w[0] ),
-    .A2(_02635_),
-    .A3(_02630_),
-    .B1(_02641_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00899_));
- sky130_fd_sc_hd__clkbuf_1 _15346_ (.A(\u_usb_host.u_core.fifo_rx_data_w[1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02642_));
- sky130_fd_sc_hd__clkbuf_4 _15347_ (.A(_02642_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02643_));
- sky130_fd_sc_hd__clkbuf_1 _15348_ (.A(_02633_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02644_));
- sky130_fd_sc_hd__and3_1 _15349_ (.A(_02638_),
-    .B(_02643_),
-    .C(_02644_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02645_));
- sky130_fd_sc_hd__a31o_1 _15350_ (.A1(\u_usb_host.u_core.status_response_w[1] ),
-    .A2(_02635_),
-    .A3(_02630_),
-    .B1(_02645_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00900_));
- sky130_fd_sc_hd__clkbuf_1 _15351_ (.A(\u_usb_host.u_core.fifo_rx_data_w[2] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02646_));
- sky130_fd_sc_hd__buf_2 _15352_ (.A(_02646_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02647_));
- sky130_fd_sc_hd__and3_1 _15353_ (.A(_02638_),
-    .B(_02647_),
-    .C(_02644_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02648_));
- sky130_fd_sc_hd__a31o_1 _15354_ (.A1(\u_usb_host.u_core.status_response_w[2] ),
-    .A2(_02635_),
-    .A3(_02630_),
-    .B1(_02648_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00901_));
- sky130_fd_sc_hd__clkbuf_1 _15355_ (.A(_02634_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02649_));
- sky130_fd_sc_hd__clkbuf_1 _15356_ (.A(_02629_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02650_));
- sky130_fd_sc_hd__clkbuf_1 _15357_ (.A(\u_usb_host.u_core.fifo_rx_data_w[3] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02651_));
- sky130_fd_sc_hd__clkbuf_4 _15358_ (.A(_02651_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02652_));
- sky130_fd_sc_hd__and3_1 _15359_ (.A(_02638_),
-    .B(_02652_),
-    .C(_02644_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02653_));
- sky130_fd_sc_hd__a31o_1 _15360_ (.A1(\u_usb_host.u_core.status_response_w[3] ),
-    .A2(_02649_),
-    .A3(_02650_),
-    .B1(_02653_),
+ sky130_fd_sc_hd__a31o_1 _15317_ (.A1(\u_usb_host.u_core.status_response_w[3] ),
+    .A2(_02614_),
+    .A3(_02610_),
+    .B1(_02631_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00902_));
- sky130_fd_sc_hd__clkbuf_1 _15361_ (.A(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+ sky130_fd_sc_hd__clkbuf_1 _15318_ (.A(_02613_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02654_));
- sky130_fd_sc_hd__buf_4 _15362_ (.A(_02654_),
+    .X(_02632_));
+ sky130_fd_sc_hd__clkbuf_1 _15319_ (.A(_02609_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02655_));
- sky130_fd_sc_hd__and3_1 _15363_ (.A(_02637_),
-    .B(_02655_),
-    .C(_02644_),
+    .X(_02633_));
+ sky130_fd_sc_hd__clkbuf_2 _15320_ (.A(\u_usb_host.u_core.fifo_rx_data_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02656_));
- sky130_fd_sc_hd__a31o_1 _15364_ (.A1(\u_usb_host.u_core.status_response_w[4] ),
-    .A2(_02649_),
-    .A3(_02650_),
-    .B1(_02656_),
+    .X(_02634_));
+ sky130_fd_sc_hd__clkbuf_4 _15321_ (.A(_02634_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02635_));
+ sky130_fd_sc_hd__and3_1 _15322_ (.A(_02616_),
+    .B(_02635_),
+    .C(_02627_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02636_));
+ sky130_fd_sc_hd__a31o_1 _15323_ (.A1(\u_usb_host.u_core.status_response_w[4] ),
+    .A2(_02632_),
+    .A3(_02633_),
+    .B1(_02636_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00903_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15365_ (.A(\u_usb_host.u_core.fifo_rx_data_w[5] ),
+ sky130_fd_sc_hd__clkbuf_2 _15324_ (.A(\u_usb_host.u_core.fifo_rx_data_w[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02657_));
- sky130_fd_sc_hd__buf_4 _15366_ (.A(_02657_),
+    .X(_02637_));
+ sky130_fd_sc_hd__buf_4 _15325_ (.A(_02637_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02658_));
- sky130_fd_sc_hd__and3_1 _15367_ (.A(_02637_),
-    .B(_02658_),
-    .C(_02633_),
+    .X(_02638_));
+ sky130_fd_sc_hd__and3_1 _15326_ (.A(_02616_),
+    .B(_02638_),
+    .C(_02627_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02659_));
- sky130_fd_sc_hd__a31o_1 _15368_ (.A1(\u_usb_host.u_core.status_response_w[5] ),
-    .A2(_02649_),
-    .A3(_02650_),
-    .B1(_02659_),
+    .X(_02639_));
+ sky130_fd_sc_hd__a31o_1 _15327_ (.A1(\u_usb_host.u_core.status_response_w[5] ),
+    .A2(_02632_),
+    .A3(_02633_),
+    .B1(_02639_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00904_));
- sky130_fd_sc_hd__clkbuf_1 _15369_ (.A(\u_usb_host.u_core.fifo_rx_data_w[6] ),
+ sky130_fd_sc_hd__clkbuf_2 _15328_ (.A(\u_usb_host.u_core.fifo_rx_data_w[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02660_));
- sky130_fd_sc_hd__buf_4 _15370_ (.A(_02660_),
+    .X(_02640_));
+ sky130_fd_sc_hd__buf_4 _15329_ (.A(_02640_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02661_));
- sky130_fd_sc_hd__and3_1 _15371_ (.A(_02637_),
-    .B(_02661_),
-    .C(_02633_),
+    .X(_02641_));
+ sky130_fd_sc_hd__and3_1 _15330_ (.A(_02616_),
+    .B(_02641_),
+    .C(_05317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02662_));
- sky130_fd_sc_hd__a31o_1 _15372_ (.A1(\u_usb_host.u_core.status_response_w[6] ),
-    .A2(_02649_),
-    .A3(_02650_),
-    .B1(_02662_),
+    .X(_02642_));
+ sky130_fd_sc_hd__a31o_1 _15331_ (.A1(\u_usb_host.u_core.status_response_w[6] ),
+    .A2(_02632_),
+    .A3(_02633_),
+    .B1(_02642_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00905_));
- sky130_fd_sc_hd__clkinv_2 _15373_ (.A(\u_usb_host.u_core.fifo_rx_data_w[7] ),
+ sky130_fd_sc_hd__clkinv_2 _15332_ (.A(\u_usb_host.u_core.fifo_rx_data_w[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02663_));
- sky130_fd_sc_hd__nor2_1 _15374_ (.A(_02663_),
-    .B(_02634_),
+    .Y(_02643_));
+ sky130_fd_sc_hd__nor2_1 _15333_ (.A(_02643_),
+    .B(_02613_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02664_));
- sky130_fd_sc_hd__a31o_1 _15375_ (.A1(\u_usb_host.u_core.status_response_w[7] ),
-    .A2(_02634_),
-    .A3(_02629_),
-    .B1(_02664_),
+    .Y(_02644_));
+ sky130_fd_sc_hd__a31o_1 _15334_ (.A1(\u_usb_host.u_core.status_response_w[7] ),
+    .A2(_02632_),
+    .A3(_02633_),
+    .B1(_02644_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00906_));
- sky130_fd_sc_hd__a21oi_1 _15376_ (.A1(_05332_),
-    .A2(_05339_),
+ sky130_fd_sc_hd__a21oi_1 _15335_ (.A1(_02620_),
+    .A2(_05312_),
     .B1(\u_usb_host.u_core.status_timeout_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02665_));
- sky130_fd_sc_hd__nor2_1 _15377_ (.A(_02491_),
-    .B(_02665_),
+    .Y(_02645_));
+ sky130_fd_sc_hd__nor2_1 _15336_ (.A(_02473_),
+    .B(_02645_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_00907_));
- sky130_fd_sc_hd__o21ai_1 _15378_ (.A1(_05332_),
-    .A2(_08647_),
+ sky130_fd_sc_hd__nand2_2 _15337_ (.A(_05248_),
+    .B(_05351_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_02646_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15338_ (.A(_02646_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02647_));
+ sky130_fd_sc_hd__buf_2 _15339_ (.A(_02647_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02648_));
+ sky130_fd_sc_hd__o21ai_1 _15340_ (.A1(_02620_),
+    .A2(_08607_),
     .B1(\u_usb_host.u_core.status_rx_done_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02666_));
- sky130_fd_sc_hd__o21ai_1 _15379_ (.A1(_05585_),
-    .A2(_05377_),
-    .B1(_02666_),
+    .Y(_02649_));
+ sky130_fd_sc_hd__o21ai_1 _15341_ (.A1(_05564_),
+    .A2(_02648_),
+    .B1(_02649_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_00908_));
- sky130_fd_sc_hd__nor2_1 _15380_ (.A(_05308_),
-    .B(_05353_),
+ sky130_fd_sc_hd__nor2_1 _15342_ (.A(_05289_),
+    .B(_05331_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02667_));
- sky130_fd_sc_hd__a22o_1 _15381_ (.A1(_08647_),
-    .A2(_05356_),
-    .B1(_02667_),
+    .Y(_02650_));
+ sky130_fd_sc_hd__a22o_1 _15343_ (.A1(_08607_),
+    .A2(_05334_),
+    .B1(_02650_),
     .B2(\u_usb_host.u_core.status_tx_done_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00909_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15382_ (.A(_02640_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02668_));
- sky130_fd_sc_hd__mux2_1 _15383_ (.A0(_02668_),
+ sky130_fd_sc_hd__mux2_1 _15344_ (.A0(_02619_),
     .A1(\u_usb_host.u_core.u_sie.data_buffer_q[8] ),
-    .S(_06086_),
+    .S(_06121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02669_));
- sky130_fd_sc_hd__clkbuf_1 _15384_ (.A(_02669_),
+    .X(_02651_));
+ sky130_fd_sc_hd__clkbuf_1 _15345_ (.A(_02651_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00910_));
- sky130_fd_sc_hd__clkbuf_1 _15385_ (.A(_02643_),
+ sky130_fd_sc_hd__clkbuf_1 _15346_ (.A(_02623_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02670_));
- sky130_fd_sc_hd__mux2_1 _15386_ (.A0(_02670_),
+    .X(_02652_));
+ sky130_fd_sc_hd__mux2_1 _15347_ (.A0(_02652_),
     .A1(\u_usb_host.u_core.u_sie.data_buffer_q[9] ),
-    .S(_06086_),
+    .S(_06121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02671_));
- sky130_fd_sc_hd__clkbuf_1 _15387_ (.A(_02671_),
+    .X(_02653_));
+ sky130_fd_sc_hd__clkbuf_1 _15348_ (.A(_02653_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00911_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15388_ (.A(_02647_),
+ sky130_fd_sc_hd__clkbuf_1 _15349_ (.A(_02626_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02672_));
- sky130_fd_sc_hd__mux2_1 _15389_ (.A0(_02672_),
+    .X(_02654_));
+ sky130_fd_sc_hd__mux2_1 _15350_ (.A0(_02654_),
     .A1(\u_usb_host.u_core.u_sie.data_buffer_q[10] ),
-    .S(_06086_),
+    .S(_06121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02673_));
- sky130_fd_sc_hd__clkbuf_1 _15390_ (.A(_02673_),
+    .X(_02655_));
+ sky130_fd_sc_hd__clkbuf_1 _15351_ (.A(_02655_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00912_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15391_ (.A(_02652_),
+ sky130_fd_sc_hd__clkbuf_1 _15352_ (.A(_02630_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02674_));
- sky130_fd_sc_hd__clkbuf_2 _15392_ (.A(_06085_),
+    .X(_02656_));
+ sky130_fd_sc_hd__clkbuf_2 _15353_ (.A(_06120_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02675_));
- sky130_fd_sc_hd__mux2_1 _15393_ (.A0(_02674_),
+    .X(_02657_));
+ sky130_fd_sc_hd__mux2_1 _15354_ (.A0(_02656_),
     .A1(\u_usb_host.u_core.u_sie.data_buffer_q[11] ),
-    .S(_02675_),
+    .S(_02657_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02676_));
- sky130_fd_sc_hd__clkbuf_1 _15394_ (.A(_02676_),
+    .X(_02658_));
+ sky130_fd_sc_hd__clkbuf_1 _15355_ (.A(_02658_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00913_));
- sky130_fd_sc_hd__clkbuf_1 _15395_ (.A(_02655_),
+ sky130_fd_sc_hd__clkbuf_1 _15356_ (.A(_02635_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02677_));
- sky130_fd_sc_hd__mux2_1 _15396_ (.A0(_02677_),
+    .X(_02659_));
+ sky130_fd_sc_hd__mux2_1 _15357_ (.A0(_02659_),
     .A1(\u_usb_host.u_core.u_sie.data_buffer_q[12] ),
-    .S(_02675_),
+    .S(_02657_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02678_));
- sky130_fd_sc_hd__clkbuf_1 _15397_ (.A(_02678_),
+    .X(_02660_));
+ sky130_fd_sc_hd__clkbuf_1 _15358_ (.A(_02660_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00914_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15398_ (.A(_02658_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15359_ (.A(_02638_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02679_));
- sky130_fd_sc_hd__mux2_1 _15399_ (.A0(_02679_),
+    .X(_02661_));
+ sky130_fd_sc_hd__mux2_1 _15360_ (.A0(_02661_),
     .A1(\u_usb_host.u_core.u_sie.data_buffer_q[13] ),
-    .S(_02675_),
+    .S(_02657_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02680_));
- sky130_fd_sc_hd__clkbuf_1 _15400_ (.A(_02680_),
+    .X(_02662_));
+ sky130_fd_sc_hd__clkbuf_1 _15361_ (.A(_02662_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00915_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15401_ (.A(_02661_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15362_ (.A(_02641_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02681_));
- sky130_fd_sc_hd__mux2_1 _15402_ (.A0(_02681_),
+    .X(_02663_));
+ sky130_fd_sc_hd__mux2_1 _15363_ (.A0(_02663_),
     .A1(\u_usb_host.u_core.u_sie.data_buffer_q[14] ),
-    .S(_02675_),
+    .S(_02657_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02682_));
- sky130_fd_sc_hd__clkbuf_1 _15403_ (.A(_02682_),
+    .X(_02664_));
+ sky130_fd_sc_hd__clkbuf_1 _15364_ (.A(_02664_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00916_));
- sky130_fd_sc_hd__clkbuf_1 _15404_ (.A(\u_usb_host.u_core.fifo_rx_data_w[7] ),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15365_ (.A(\u_usb_host.u_core.fifo_rx_data_w[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02683_));
- sky130_fd_sc_hd__buf_2 _15405_ (.A(_02683_),
+    .X(_02665_));
+ sky130_fd_sc_hd__buf_2 _15366_ (.A(_02665_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02684_));
- sky130_fd_sc_hd__buf_2 _15406_ (.A(_02684_),
+    .X(_02666_));
+ sky130_fd_sc_hd__clkbuf_2 _15367_ (.A(_02666_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02685_));
- sky130_fd_sc_hd__clkbuf_2 _15407_ (.A(_06085_),
+    .X(_02667_));
+ sky130_fd_sc_hd__clkbuf_2 _15368_ (.A(_06120_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02686_));
- sky130_fd_sc_hd__mux2_1 _15408_ (.A0(_02685_),
+    .X(_02668_));
+ sky130_fd_sc_hd__mux2_1 _15369_ (.A0(_02667_),
     .A1(\u_usb_host.u_core.u_sie.data_buffer_q[15] ),
-    .S(_02686_),
+    .S(_02668_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02687_));
- sky130_fd_sc_hd__clkbuf_1 _15409_ (.A(_02687_),
+    .X(_02669_));
+ sky130_fd_sc_hd__clkbuf_1 _15370_ (.A(_02669_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00917_));
- sky130_fd_sc_hd__mux2_1 _15410_ (.A0(\u_usb_host.u_core.u_sie.data_buffer_q[8] ),
+ sky130_fd_sc_hd__mux2_1 _15371_ (.A0(\u_usb_host.u_core.u_sie.data_buffer_q[8] ),
     .A1(\u_usb_host.u_core.u_sie.data_buffer_q[16] ),
-    .S(_02686_),
+    .S(_02668_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02688_));
- sky130_fd_sc_hd__clkbuf_1 _15411_ (.A(_02688_),
+    .X(_02670_));
+ sky130_fd_sc_hd__clkbuf_1 _15372_ (.A(_02670_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00918_));
- sky130_fd_sc_hd__mux2_1 _15412_ (.A0(\u_usb_host.u_core.u_sie.data_buffer_q[9] ),
+ sky130_fd_sc_hd__mux2_1 _15373_ (.A0(\u_usb_host.u_core.u_sie.data_buffer_q[9] ),
     .A1(\u_usb_host.u_core.u_sie.data_buffer_q[17] ),
-    .S(_02686_),
+    .S(_02668_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02689_));
- sky130_fd_sc_hd__clkbuf_1 _15413_ (.A(_02689_),
+    .X(_02671_));
+ sky130_fd_sc_hd__clkbuf_1 _15374_ (.A(_02671_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00919_));
- sky130_fd_sc_hd__mux2_1 _15414_ (.A0(\u_usb_host.u_core.u_sie.data_buffer_q[10] ),
+ sky130_fd_sc_hd__mux2_1 _15375_ (.A0(\u_usb_host.u_core.u_sie.data_buffer_q[10] ),
     .A1(\u_usb_host.u_core.u_sie.data_buffer_q[18] ),
-    .S(_02686_),
+    .S(_02668_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02690_));
- sky130_fd_sc_hd__clkbuf_1 _15415_ (.A(_02690_),
+    .X(_02672_));
+ sky130_fd_sc_hd__clkbuf_1 _15376_ (.A(_02672_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00920_));
- sky130_fd_sc_hd__clkbuf_2 _15416_ (.A(_06085_),
+ sky130_fd_sc_hd__clkbuf_2 _15377_ (.A(_06120_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02691_));
- sky130_fd_sc_hd__mux2_1 _15417_ (.A0(\u_usb_host.u_core.u_sie.data_buffer_q[11] ),
+    .X(_02673_));
+ sky130_fd_sc_hd__mux2_1 _15378_ (.A0(\u_usb_host.u_core.u_sie.data_buffer_q[11] ),
     .A1(\u_usb_host.u_core.u_sie.data_buffer_q[19] ),
-    .S(_02691_),
+    .S(_02673_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02692_));
- sky130_fd_sc_hd__clkbuf_1 _15418_ (.A(_02692_),
+    .X(_02674_));
+ sky130_fd_sc_hd__clkbuf_1 _15379_ (.A(_02674_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00921_));
- sky130_fd_sc_hd__mux2_1 _15419_ (.A0(\u_usb_host.u_core.u_sie.data_buffer_q[12] ),
+ sky130_fd_sc_hd__mux2_1 _15380_ (.A0(\u_usb_host.u_core.u_sie.data_buffer_q[12] ),
     .A1(\u_usb_host.u_core.u_sie.data_buffer_q[20] ),
-    .S(_02691_),
+    .S(_02673_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02693_));
- sky130_fd_sc_hd__clkbuf_1 _15420_ (.A(_02693_),
+    .X(_02675_));
+ sky130_fd_sc_hd__clkbuf_1 _15381_ (.A(_02675_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00922_));
- sky130_fd_sc_hd__mux2_1 _15421_ (.A0(\u_usb_host.u_core.u_sie.data_buffer_q[13] ),
+ sky130_fd_sc_hd__mux2_1 _15382_ (.A0(\u_usb_host.u_core.u_sie.data_buffer_q[13] ),
     .A1(\u_usb_host.u_core.u_sie.data_buffer_q[21] ),
-    .S(_02691_),
+    .S(_02673_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02694_));
- sky130_fd_sc_hd__clkbuf_1 _15422_ (.A(_02694_),
+    .X(_02676_));
+ sky130_fd_sc_hd__clkbuf_1 _15383_ (.A(_02676_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00923_));
- sky130_fd_sc_hd__mux2_1 _15423_ (.A0(\u_usb_host.u_core.u_sie.data_buffer_q[14] ),
+ sky130_fd_sc_hd__mux2_1 _15384_ (.A0(\u_usb_host.u_core.u_sie.data_buffer_q[14] ),
     .A1(\u_usb_host.u_core.u_sie.data_buffer_q[22] ),
-    .S(_02691_),
+    .S(_02673_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02695_));
- sky130_fd_sc_hd__clkbuf_1 _15424_ (.A(_02695_),
+    .X(_02677_));
+ sky130_fd_sc_hd__clkbuf_1 _15385_ (.A(_02677_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00924_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15425_ (.A(_06084_),
+ sky130_fd_sc_hd__clkbuf_1 _15386_ (.A(_06119_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02696_));
- sky130_fd_sc_hd__clkbuf_2 _15426_ (.A(_02696_),
+    .X(_02678_));
+ sky130_fd_sc_hd__clkbuf_2 _15387_ (.A(_02678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02697_));
- sky130_fd_sc_hd__mux2_1 _15427_ (.A0(\u_usb_host.u_core.u_sie.data_buffer_q[15] ),
+    .X(_02679_));
+ sky130_fd_sc_hd__mux2_1 _15388_ (.A0(\u_usb_host.u_core.u_sie.data_buffer_q[15] ),
     .A1(\u_usb_host.u_core.u_sie.data_buffer_q[23] ),
-    .S(_02697_),
+    .S(_02679_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02698_));
- sky130_fd_sc_hd__clkbuf_1 _15428_ (.A(_02698_),
+    .X(_02680_));
+ sky130_fd_sc_hd__clkbuf_1 _15389_ (.A(_02680_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00925_));
- sky130_fd_sc_hd__mux2_1 _15429_ (.A0(\u_usb_host.u_core.u_sie.data_buffer_q[16] ),
+ sky130_fd_sc_hd__mux2_1 _15390_ (.A0(\u_usb_host.u_core.u_sie.data_buffer_q[16] ),
     .A1(\u_usb_host.u_core.u_sie.data_buffer_q[24] ),
-    .S(_02697_),
+    .S(_02679_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02699_));
- sky130_fd_sc_hd__clkbuf_1 _15430_ (.A(_02699_),
+    .X(_02681_));
+ sky130_fd_sc_hd__clkbuf_1 _15391_ (.A(_02681_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00926_));
- sky130_fd_sc_hd__mux2_1 _15431_ (.A0(\u_usb_host.u_core.u_sie.data_buffer_q[17] ),
+ sky130_fd_sc_hd__mux2_1 _15392_ (.A0(\u_usb_host.u_core.u_sie.data_buffer_q[17] ),
     .A1(\u_usb_host.u_core.u_sie.data_buffer_q[25] ),
-    .S(_02697_),
+    .S(_02679_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02700_));
- sky130_fd_sc_hd__clkbuf_1 _15432_ (.A(_02700_),
+    .X(_02682_));
+ sky130_fd_sc_hd__clkbuf_1 _15393_ (.A(_02682_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00927_));
- sky130_fd_sc_hd__mux2_1 _15433_ (.A0(\u_usb_host.u_core.u_sie.data_buffer_q[18] ),
+ sky130_fd_sc_hd__mux2_1 _15394_ (.A0(\u_usb_host.u_core.u_sie.data_buffer_q[18] ),
     .A1(\u_usb_host.u_core.u_sie.data_buffer_q[26] ),
-    .S(_02697_),
+    .S(_02679_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02701_));
- sky130_fd_sc_hd__clkbuf_1 _15434_ (.A(_02701_),
+    .X(_02683_));
+ sky130_fd_sc_hd__clkbuf_1 _15395_ (.A(_02683_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00928_));
- sky130_fd_sc_hd__clkbuf_2 _15435_ (.A(_02696_),
+ sky130_fd_sc_hd__clkbuf_2 _15396_ (.A(_02678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02702_));
- sky130_fd_sc_hd__mux2_1 _15436_ (.A0(\u_usb_host.u_core.u_sie.data_buffer_q[19] ),
+    .X(_02684_));
+ sky130_fd_sc_hd__mux2_1 _15397_ (.A0(\u_usb_host.u_core.u_sie.data_buffer_q[19] ),
     .A1(\u_usb_host.u_core.u_sie.data_buffer_q[27] ),
-    .S(_02702_),
+    .S(_02684_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02703_));
- sky130_fd_sc_hd__clkbuf_1 _15437_ (.A(_02703_),
+    .X(_02685_));
+ sky130_fd_sc_hd__clkbuf_1 _15398_ (.A(_02685_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00929_));
- sky130_fd_sc_hd__mux2_1 _15438_ (.A0(\u_usb_host.u_core.u_sie.data_buffer_q[20] ),
+ sky130_fd_sc_hd__mux2_1 _15399_ (.A0(\u_usb_host.u_core.u_sie.data_buffer_q[20] ),
     .A1(\u_usb_host.u_core.u_sie.data_buffer_q[28] ),
-    .S(_02702_),
+    .S(_02684_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02704_));
- sky130_fd_sc_hd__clkbuf_1 _15439_ (.A(_02704_),
+    .X(_02686_));
+ sky130_fd_sc_hd__clkbuf_1 _15400_ (.A(_02686_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00930_));
- sky130_fd_sc_hd__mux2_1 _15440_ (.A0(\u_usb_host.u_core.u_sie.data_buffer_q[21] ),
-    .A1(\u_usb_host.u_core.u_sie.data_buffer_q[29] ),
-    .S(_02702_),
+ sky130_fd_sc_hd__mux2_1 _15401_ (.A0(\u_usb_host.u_core.u_sie.data_buffer_q[21] ),
+    .A1(net337),
+    .S(_02684_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02705_));
- sky130_fd_sc_hd__clkbuf_1 _15441_ (.A(_02705_),
+    .X(_02687_));
+ sky130_fd_sc_hd__clkbuf_1 _15402_ (.A(_02687_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00931_));
- sky130_fd_sc_hd__mux2_1 _15442_ (.A0(\u_usb_host.u_core.u_sie.data_buffer_q[22] ),
-    .A1(\u_usb_host.u_core.u_sie.data_buffer_q[30] ),
-    .S(_02702_),
+ sky130_fd_sc_hd__mux2_1 _15403_ (.A0(\u_usb_host.u_core.u_sie.data_buffer_q[22] ),
+    .A1(net338),
+    .S(_02684_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02706_));
- sky130_fd_sc_hd__clkbuf_1 _15443_ (.A(_02706_),
+    .X(_02688_));
+ sky130_fd_sc_hd__clkbuf_1 _15404_ (.A(_02688_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00932_));
- sky130_fd_sc_hd__clkbuf_2 _15444_ (.A(_02696_),
+ sky130_fd_sc_hd__clkbuf_2 _15405_ (.A(_02678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02707_));
- sky130_fd_sc_hd__mux2_1 _15445_ (.A0(\u_usb_host.u_core.u_sie.data_buffer_q[23] ),
+    .X(_02689_));
+ sky130_fd_sc_hd__mux2_1 _15406_ (.A0(\u_usb_host.u_core.u_sie.data_buffer_q[23] ),
     .A1(\u_usb_host.u_core.u_sie.data_buffer_q[31] ),
-    .S(_02707_),
+    .S(_02689_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02708_));
- sky130_fd_sc_hd__clkbuf_1 _15446_ (.A(_02708_),
+    .X(_02690_));
+ sky130_fd_sc_hd__clkbuf_1 _15407_ (.A(_02690_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00933_));
- sky130_fd_sc_hd__mux2_1 _15447_ (.A0(\u_usb_host.u_core.u_sie.data_buffer_q[24] ),
-    .A1(_07941_),
-    .S(_02707_),
+ sky130_fd_sc_hd__mux2_1 _15408_ (.A0(\u_usb_host.u_core.u_sie.data_buffer_q[24] ),
+    .A1(_07927_),
+    .S(_02689_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02709_));
- sky130_fd_sc_hd__clkbuf_1 _15448_ (.A(_02709_),
+    .X(_02691_));
+ sky130_fd_sc_hd__clkbuf_1 _15409_ (.A(_02691_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00934_));
- sky130_fd_sc_hd__mux2_1 _15449_ (.A0(\u_usb_host.u_core.u_sie.data_buffer_q[25] ),
+ sky130_fd_sc_hd__mux2_1 _15410_ (.A0(\u_usb_host.u_core.u_sie.data_buffer_q[25] ),
     .A1(\u_usb_host.u_core.u_sie.utmi_data_i[1] ),
-    .S(_02707_),
+    .S(_02689_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02710_));
- sky130_fd_sc_hd__clkbuf_1 _15450_ (.A(_02710_),
+    .X(_02692_));
+ sky130_fd_sc_hd__clkbuf_1 _15411_ (.A(_02692_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00935_));
- sky130_fd_sc_hd__mux2_1 _15451_ (.A0(\u_usb_host.u_core.u_sie.data_buffer_q[26] ),
+ sky130_fd_sc_hd__mux2_1 _15412_ (.A0(\u_usb_host.u_core.u_sie.data_buffer_q[26] ),
     .A1(\u_usb_host.u_core.u_sie.utmi_data_i[2] ),
-    .S(_02707_),
+    .S(_02689_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02711_));
- sky130_fd_sc_hd__clkbuf_1 _15452_ (.A(_02711_),
+    .X(_02693_));
+ sky130_fd_sc_hd__clkbuf_1 _15413_ (.A(_02693_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00936_));
- sky130_fd_sc_hd__clkbuf_2 _15453_ (.A(_02696_),
+ sky130_fd_sc_hd__clkbuf_2 _15414_ (.A(_02678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02712_));
- sky130_fd_sc_hd__mux2_1 _15454_ (.A0(\u_usb_host.u_core.u_sie.data_buffer_q[27] ),
+    .X(_02694_));
+ sky130_fd_sc_hd__mux2_1 _15415_ (.A0(\u_usb_host.u_core.u_sie.data_buffer_q[27] ),
     .A1(\u_usb_host.u_core.u_sie.utmi_data_i[3] ),
-    .S(_02712_),
+    .S(_02694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02713_));
- sky130_fd_sc_hd__clkbuf_1 _15455_ (.A(_02713_),
+    .X(_02695_));
+ sky130_fd_sc_hd__clkbuf_1 _15416_ (.A(_02695_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00937_));
- sky130_fd_sc_hd__mux2_1 _15456_ (.A0(\u_usb_host.u_core.u_sie.data_buffer_q[28] ),
+ sky130_fd_sc_hd__mux2_1 _15417_ (.A0(\u_usb_host.u_core.u_sie.data_buffer_q[28] ),
     .A1(\u_usb_host.u_core.u_sie.utmi_data_i[4] ),
-    .S(_02712_),
+    .S(_02694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02714_));
- sky130_fd_sc_hd__clkbuf_1 _15457_ (.A(_02714_),
+    .X(_02696_));
+ sky130_fd_sc_hd__clkbuf_1 _15418_ (.A(_02696_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00938_));
- sky130_fd_sc_hd__mux2_1 _15458_ (.A0(\u_usb_host.u_core.u_sie.data_buffer_q[29] ),
+ sky130_fd_sc_hd__mux2_1 _15419_ (.A0(\u_usb_host.u_core.u_sie.data_buffer_q[29] ),
     .A1(\u_usb_host.u_core.u_sie.utmi_data_i[5] ),
-    .S(_02712_),
+    .S(_02694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02715_));
- sky130_fd_sc_hd__clkbuf_1 _15459_ (.A(_02715_),
+    .X(_02697_));
+ sky130_fd_sc_hd__clkbuf_1 _15420_ (.A(_02697_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00939_));
- sky130_fd_sc_hd__mux2_1 _15460_ (.A0(\u_usb_host.u_core.u_sie.data_buffer_q[30] ),
+ sky130_fd_sc_hd__mux2_1 _15421_ (.A0(\u_usb_host.u_core.u_sie.data_buffer_q[30] ),
     .A1(\u_usb_host.u_core.u_sie.utmi_data_i[6] ),
-    .S(_02712_),
+    .S(_02694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02716_));
- sky130_fd_sc_hd__clkbuf_1 _15461_ (.A(_02716_),
+    .X(_02698_));
+ sky130_fd_sc_hd__clkbuf_1 _15422_ (.A(_02698_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00940_));
- sky130_fd_sc_hd__clkbuf_2 _15462_ (.A(_06084_),
+ sky130_fd_sc_hd__clkbuf_2 _15423_ (.A(_06119_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02717_));
- sky130_fd_sc_hd__mux2_1 _15463_ (.A0(\u_usb_host.u_core.u_sie.data_buffer_q[31] ),
+    .X(_02699_));
+ sky130_fd_sc_hd__mux2_1 _15424_ (.A0(\u_usb_host.u_core.u_sie.data_buffer_q[31] ),
     .A1(\u_usb_host.u_core.u_sie.utmi_data_i[7] ),
-    .S(_02717_),
+    .S(_02699_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02718_));
- sky130_fd_sc_hd__clkbuf_1 _15464_ (.A(_02718_),
+    .X(_02700_));
+ sky130_fd_sc_hd__clkbuf_1 _15425_ (.A(_02700_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00941_));
- sky130_fd_sc_hd__mux2_1 _15465_ (.A0(\u_usb_host.u_core.u_sie.data_valid_q[1] ),
+ sky130_fd_sc_hd__mux2_1 _15426_ (.A0(\u_usb_host.u_core.u_sie.data_valid_q[1] ),
     .A1(\u_usb_host.u_core.u_sie.data_valid_q[2] ),
-    .S(_02717_),
+    .S(_02699_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02719_));
- sky130_fd_sc_hd__clkbuf_1 _15466_ (.A(_02719_),
+    .X(_02701_));
+ sky130_fd_sc_hd__clkbuf_1 _15427_ (.A(_02701_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00942_));
- sky130_fd_sc_hd__mux2_1 _15467_ (.A0(\u_usb_host.u_core.u_sie.data_valid_q[2] ),
+ sky130_fd_sc_hd__mux2_1 _15428_ (.A0(\u_usb_host.u_core.u_sie.data_valid_q[2] ),
     .A1(\u_usb_host.u_core.u_sie.data_valid_q[3] ),
-    .S(_02717_),
+    .S(_02699_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02720_));
- sky130_fd_sc_hd__clkbuf_1 _15468_ (.A(_02720_),
+    .X(_02702_));
+ sky130_fd_sc_hd__clkbuf_1 _15429_ (.A(_02702_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00943_));
- sky130_fd_sc_hd__o21a_1 _15469_ (.A1(\u_usb_host.u_core.u_sie.utmi_rxvalid_i ),
+ sky130_fd_sc_hd__o21a_1 _15430_ (.A1(net321),
     .A2(\u_usb_host.u_core.u_sie.data_valid_q[3] ),
-    .B1(_05585_),
+    .B1(_05564_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00944_));
- sky130_fd_sc_hd__mux2_1 _15470_ (.A0(\u_usb_host.u_core.u_sie.crc_byte_w ),
-    .A1(\u_usb_host.u_core.u_sie.data_crc_q[1] ),
-    .S(_02717_),
+ sky130_fd_sc_hd__mux2_1 _15431_ (.A0(\u_usb_host.u_core.u_sie.crc_byte_w ),
+    .A1(net325),
+    .S(_02699_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02721_));
- sky130_fd_sc_hd__clkbuf_1 _15471_ (.A(_02721_),
+    .X(_02703_));
+ sky130_fd_sc_hd__clkbuf_1 _15432_ (.A(_02703_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00945_));
- sky130_fd_sc_hd__inv_2 _15472_ (.A(\u_usb_host.u_core.u_sie.data_crc_q[1] ),
+ sky130_fd_sc_hd__inv_2 _15433_ (.A(\u_usb_host.u_core.u_sie.data_crc_q[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02722_));
- sky130_fd_sc_hd__o21ai_1 _15473_ (.A1(\u_usb_host.u_core.u_sie.utmi_rxvalid_i ),
-    .A2(_02722_),
-    .B1(_05585_),
+    .Y(_02704_));
+ sky130_fd_sc_hd__o21ai_1 _15434_ (.A1(\u_usb_host.u_core.u_sie.utmi_rxvalid_i ),
+    .A2(_02704_),
+    .B1(_05564_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_00946_));
- sky130_fd_sc_hd__or3_1 _15474_ (.A(_05330_),
-    .B(_08229_),
-    .C(_08233_),
+ sky130_fd_sc_hd__or3_1 _15435_ (.A(_05315_),
+    .B(_05352_),
+    .C(_08208_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02705_));
+ sky130_fd_sc_hd__o221a_1 _15436_ (.A1(_05335_),
+    .A2(_02648_),
+    .B1(_08205_),
+    .B2(_05291_),
+    .C1(_02705_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02706_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15437_ (.A(_02706_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02707_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15438_ (.A(_02707_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02708_));
+ sky130_fd_sc_hd__nand2_2 _15439_ (.A(_05352_),
+    .B(_02706_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_02709_));
+ sky130_fd_sc_hd__clkbuf_1 _15440_ (.A(_02709_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02710_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15441_ (.A(_02646_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02711_));
+ sky130_fd_sc_hd__mux2_1 _15442_ (.A0(\u_usb_host.u_core.fifo_rx_data_w[0] ),
+    .A1(_08204_),
+    .S(_02711_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02712_));
+ sky130_fd_sc_hd__mux2_1 _15443_ (.A0(\u_usb_host.u_core.fifo_rx_data_w[1] ),
+    .A1(_08324_),
+    .S(_02711_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02713_));
+ sky130_fd_sc_hd__xnor2_2 _15444_ (.A(_02712_),
+    .B(_02713_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_02714_));
+ sky130_fd_sc_hd__mux2_2 _15445_ (.A0(\u_usb_host.u_core.fifo_rx_data_w[3] ),
+    .A1(_08505_),
+    .S(_02711_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02715_));
+ sky130_fd_sc_hd__mux2_1 _15446_ (.A0(\u_usb_host.u_core.fifo_rx_data_w[2] ),
+    .A1(_08425_),
+    .S(_02711_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02716_));
+ sky130_fd_sc_hd__xnor2_2 _15447_ (.A(_02715_),
+    .B(_02716_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_02717_));
+ sky130_fd_sc_hd__xnor2_2 _15448_ (.A(_02714_),
+    .B(_02717_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_02718_));
+ sky130_fd_sc_hd__xnor2_2 _15449_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[2] ),
+    .B(\u_usb_host.u_core.u_sie.crc_sum_q[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_02719_));
+ sky130_fd_sc_hd__mux2_2 _15450_ (.A0(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+    .A1(_08601_),
+    .S(_02647_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02720_));
+ sky130_fd_sc_hd__xor2_1 _15451_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[3] ),
+    .B(\u_usb_host.u_core.u_sie.crc_sum_q[5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02721_));
+ sky130_fd_sc_hd__xnor2_1 _15452_ (.A(_02720_),
+    .B(_02721_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_02722_));
+ sky130_fd_sc_hd__xor2_1 _15453_ (.A(_02719_),
+    .B(_02722_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02723_));
- sky130_fd_sc_hd__o221a_1 _15475_ (.A1(_05350_),
-    .A2(_05377_),
-    .B1(_08230_),
-    .B2(_05281_),
-    .C1(_02723_),
+ sky130_fd_sc_hd__xnor2_1 _15454_ (.A(_02718_),
+    .B(_02723_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02724_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15476_ (.A(_02724_),
+    .Y(_02724_));
+ sky130_fd_sc_hd__nor2_1 _15455_ (.A(\u_usb_host.u_core.fifo_rx_data_w[6] ),
+    .B(_02648_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02725_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15477_ (.A(_02725_),
+    .Y(_02725_));
+ sky130_fd_sc_hd__a21oi_2 _15456_ (.A1(_02648_),
+    .A2(_08750_),
+    .B1(_02725_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02726_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15478_ (.A(_02726_),
+    .Y(_02726_));
+ sky130_fd_sc_hd__mux2_1 _15457_ (.A0(_02643_),
+    .A1(_08817_),
+    .S(_02647_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02727_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15479_ (.A(_05375_),
+ sky130_fd_sc_hd__xnor2_1 _15458_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[7] ),
+    .B(_02727_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02728_));
- sky130_fd_sc_hd__mux2_2 _15480_ (.A0(\u_usb_host.u_core.fifo_rx_data_w[3] ),
-    .A1(_08557_),
-    .S(_02728_),
+    .Y(_02728_));
+ sky130_fd_sc_hd__xnor2_2 _15459_ (.A(_02726_),
+    .B(_02728_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02729_));
- sky130_fd_sc_hd__mux2_1 _15481_ (.A0(\u_usb_host.u_core.fifo_rx_data_w[2] ),
-    .A1(_08470_),
-    .S(_02728_),
+    .Y(_02729_));
+ sky130_fd_sc_hd__xnor2_2 _15460_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[0] ),
+    .B(_08227_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02730_));
- sky130_fd_sc_hd__xnor2_2 _15482_ (.A(_02729_),
-    .B(_02730_),
+    .Y(_02730_));
+ sky130_fd_sc_hd__mux2_1 _15461_ (.A0(\u_usb_host.u_core.fifo_rx_data_w[5] ),
+    .A1(_08677_),
+    .S(_02647_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02731_));
- sky130_fd_sc_hd__mux2_1 _15483_ (.A0(\u_usb_host.u_core.fifo_rx_data_w[0] ),
-    .A1(_08228_),
-    .S(_02728_),
+    .X(_02731_));
+ sky130_fd_sc_hd__xnor2_2 _15462_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[6] ),
+    .B(_02731_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02732_));
- sky130_fd_sc_hd__mux2_1 _15484_ (.A0(\u_usb_host.u_core.fifo_rx_data_w[1] ),
-    .A1(_08366_),
-    .S(_02728_),
+    .Y(_02732_));
+ sky130_fd_sc_hd__xnor2_1 _15463_ (.A(_02730_),
+    .B(_02732_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02733_));
- sky130_fd_sc_hd__xnor2_2 _15485_ (.A(_02732_),
+    .Y(_02733_));
+ sky130_fd_sc_hd__xnor2_1 _15464_ (.A(_02729_),
     .B(_02733_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_02734_));
- sky130_fd_sc_hd__xnor2_1 _15486_ (.A(_02731_),
+ sky130_fd_sc_hd__xnor2_2 _15465_ (.A(_02724_),
     .B(_02734_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_02735_));
- sky130_fd_sc_hd__mux2_2 _15487_ (.A0(\u_usb_host.u_core.fifo_rx_data_w[4] ),
-    .A1(_08643_),
-    .S(_05377_),
+ sky130_fd_sc_hd__xor2_1 _15466_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[8] ),
+    .B(_02735_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02736_));
- sky130_fd_sc_hd__xnor2_1 _15488_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[3] ),
-    .B(\u_usb_host.u_core.u_sie.crc_sum_q[5] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_02737_));
- sky130_fd_sc_hd__xnor2_2 _15489_ (.A(_02736_),
-    .B(_02737_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_02738_));
- sky130_fd_sc_hd__xnor2_2 _15490_ (.A(_02735_),
-    .B(_02738_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_02739_));
- sky130_fd_sc_hd__mux2_1 _15491_ (.A0(_02663_),
-    .A1(_08865_),
-    .S(_05376_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02740_));
- sky130_fd_sc_hd__mux2_1 _15492_ (.A0(\u_usb_host.u_core.fifo_rx_data_w[6] ),
-    .A1(_08790_),
-    .S(_05376_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02741_));
- sky130_fd_sc_hd__xnor2_1 _15493_ (.A(_02740_),
-    .B(_02741_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_02742_));
- sky130_fd_sc_hd__xnor2_2 _15494_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[7] ),
-    .B(_02742_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_02743_));
- sky130_fd_sc_hd__mux2_1 _15495_ (.A0(\u_usb_host.u_core.fifo_rx_data_w[5] ),
-    .A1(_08723_),
-    .S(_05376_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02744_));
- sky130_fd_sc_hd__xnor2_2 _15496_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[6] ),
-    .B(_02744_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_02745_));
- sky130_fd_sc_hd__xor2_2 _15497_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[0] ),
-    .B(\u_usb_host.u_core.u_sie.crc_sum_q[1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02746_));
- sky130_fd_sc_hd__xor2_1 _15498_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[2] ),
-    .B(\u_usb_host.u_core.u_sie.crc_sum_q[4] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02747_));
- sky130_fd_sc_hd__xor2_1 _15499_ (.A(_02746_),
-    .B(_02747_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02748_));
- sky130_fd_sc_hd__xnor2_1 _15500_ (.A(_02745_),
-    .B(_02748_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_02749_));
- sky130_fd_sc_hd__xnor2_1 _15501_ (.A(_02743_),
-    .B(_02749_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_02750_));
- sky130_fd_sc_hd__xnor2_2 _15502_ (.A(_02739_),
-    .B(_02750_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_02751_));
- sky130_fd_sc_hd__nor2_1 _15503_ (.A(_08238_),
-    .B(_02751_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_02752_));
- sky130_fd_sc_hd__nand2_1 _15504_ (.A(_08229_),
-    .B(_02724_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_02753_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15505_ (.A(_02753_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02754_));
- sky130_fd_sc_hd__a21o_1 _15506_ (.A1(_08238_),
-    .A2(_02751_),
-    .B1(_02754_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02755_));
- sky130_fd_sc_hd__o22a_1 _15507_ (.A1(\u_usb_host.u_core.u_sie.crc_sum_q[0] ),
-    .A2(_02727_),
-    .B1(_02752_),
-    .B2(_02755_),
+ sky130_fd_sc_hd__o22a_1 _15467_ (.A1(\u_usb_host.u_core.u_sie.crc_sum_q[0] ),
+    .A2(_02708_),
+    .B1(_02710_),
+    .B2(_02736_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00947_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15508_ (.A(_02753_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02756_));
- sky130_fd_sc_hd__o22a_1 _15509_ (.A1(_08254_),
-    .A2(_02727_),
-    .B1(_02756_),
+ sky130_fd_sc_hd__o22a_1 _15468_ (.A1(_08227_),
+    .A2(_02708_),
+    .B1(_02710_),
     .B2(\u_usb_host.u_core.u_sie.crc_out_w[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00948_));
- sky130_fd_sc_hd__o22a_1 _15510_ (.A1(_08379_),
-    .A2(_02727_),
-    .B1(_02756_),
-    .B2(\u_usb_host.u_core.u_sie.crc_out_w[2] ),
+ sky130_fd_sc_hd__o22a_1 _15469_ (.A1(_08336_),
+    .A2(_02708_),
+    .B1(_02710_),
+    .B2(net379),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00949_));
- sky130_fd_sc_hd__o22a_1 _15511_ (.A1(_08480_),
-    .A2(_02727_),
-    .B1(_02756_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15470_ (.A(_02709_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02737_));
+ sky130_fd_sc_hd__o22a_1 _15471_ (.A1(_08436_),
+    .A2(_02708_),
+    .B1(_02737_),
     .B2(\u_usb_host.u_core.u_sie.crc_out_w[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00950_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15512_ (.A(_02725_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15472_ (.A(_02707_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02757_));
- sky130_fd_sc_hd__o22a_1 _15513_ (.A1(_08650_),
-    .A2(_02757_),
-    .B1(_02756_),
+    .X(_02738_));
+ sky130_fd_sc_hd__o22a_1 _15473_ (.A1(_08512_),
+    .A2(_02738_),
+    .B1(_02737_),
     .B2(\u_usb_host.u_core.u_sie.crc_out_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00951_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15514_ (.A(_02753_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02758_));
- sky130_fd_sc_hd__clkbuf_2 _15515_ (.A(_02758_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02759_));
- sky130_fd_sc_hd__o22a_1 _15516_ (.A1(_08656_),
-    .A2(_02757_),
-    .B1(_02759_),
+ sky130_fd_sc_hd__o22a_1 _15474_ (.A1(_08612_),
+    .A2(_02738_),
+    .B1(_02737_),
     .B2(\u_usb_host.u_core.u_sie.crc_out_w[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00952_));
- sky130_fd_sc_hd__xnor2_1 _15517_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[0] ),
+ sky130_fd_sc_hd__xnor2_1 _15475_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[0] ),
     .B(\u_usb_host.u_core.u_sie.crc_sum_q[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02760_));
- sky130_fd_sc_hd__xnor2_1 _15518_ (.A(_02732_),
-    .B(_02760_),
+    .Y(_02739_));
+ sky130_fd_sc_hd__xnor2_1 _15476_ (.A(_02712_),
+    .B(_02739_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02761_));
- sky130_fd_sc_hd__o22a_1 _15519_ (.A1(_08729_),
-    .A2(_02757_),
-    .B1(_02759_),
-    .B2(_02761_),
+    .Y(_02740_));
+ sky130_fd_sc_hd__o22a_1 _15477_ (.A1(_08683_),
+    .A2(_02738_),
+    .B1(_02737_),
+    .B2(_02740_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00953_));
- sky130_fd_sc_hd__xor2_1 _15520_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[15] ),
-    .B(_02746_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02762_));
- sky130_fd_sc_hd__nor2_1 _15521_ (.A(_02734_),
-    .B(_02762_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_02763_));
- sky130_fd_sc_hd__a21o_1 _15522_ (.A1(_02734_),
-    .A2(_02762_),
-    .B1(_02754_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02764_));
- sky130_fd_sc_hd__o22a_1 _15523_ (.A1(\u_usb_host.u_core.u_sie.crc_sum_q[7] ),
-    .A2(_02757_),
-    .B1(_02763_),
-    .B2(_02764_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_00954_));
- sky130_fd_sc_hd__xnor2_1 _15524_ (.A(_08380_),
+ sky130_fd_sc_hd__xnor2_1 _15478_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[15] ),
     .B(_02730_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02765_));
- sky130_fd_sc_hd__xnor2_1 _15525_ (.A(_02733_),
-    .B(_02765_),
+    .Y(_02741_));
+ sky130_fd_sc_hd__nor2_1 _15479_ (.A(_02714_),
+    .B(_02741_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02766_));
- sky130_fd_sc_hd__and2_1 _15526_ (.A(_08254_),
-    .B(_02766_),
+    .Y(_02742_));
+ sky130_fd_sc_hd__clkbuf_2 _15480_ (.A(_02709_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02767_));
- sky130_fd_sc_hd__nor2_1 _15527_ (.A(_08254_),
-    .B(_02766_),
+    .X(_02743_));
+ sky130_fd_sc_hd__a21o_1 _15481_ (.A1(_02714_),
+    .A2(_02741_),
+    .B1(_02743_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02768_));
- sky130_fd_sc_hd__o32a_1 _15528_ (.A1(_02759_),
-    .A2(_02767_),
-    .A3(_02768_),
-    .B1(_02726_),
-    .B2(_08238_),
+    .X(_02744_));
+ sky130_fd_sc_hd__o22a_1 _15482_ (.A1(\u_usb_host.u_core.u_sie.crc_sum_q[7] ),
+    .A2(_02738_),
+    .B1(_02742_),
+    .B2(_02744_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_00954_));
+ sky130_fd_sc_hd__clkbuf_2 _15483_ (.A(_02707_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02745_));
+ sky130_fd_sc_hd__xor2_1 _15484_ (.A(_08227_),
+    .B(\u_usb_host.u_core.u_sie.crc_sum_q[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02746_));
+ sky130_fd_sc_hd__xnor2_1 _15485_ (.A(_02713_),
+    .B(_02746_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_02747_));
+ sky130_fd_sc_hd__nor2_1 _15486_ (.A(_02716_),
+    .B(_02747_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_02748_));
+ sky130_fd_sc_hd__a21o_1 _15487_ (.A1(_02716_),
+    .A2(_02747_),
+    .B1(_02743_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02749_));
+ sky130_fd_sc_hd__o22a_1 _15488_ (.A1(\u_usb_host.u_core.u_sie.crc_sum_q[8] ),
+    .A2(_02745_),
+    .B1(_02748_),
+    .B2(_02749_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00955_));
- sky130_fd_sc_hd__nand2_1 _15529_ (.A(_08379_),
-    .B(_08480_),
+ sky130_fd_sc_hd__or2_1 _15489_ (.A(_08336_),
+    .B(_08436_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02769_));
- sky130_fd_sc_hd__or2_1 _15530_ (.A(_08379_),
-    .B(_08480_),
+    .X(_02750_));
+ sky130_fd_sc_hd__nand2_1 _15490_ (.A(_08336_),
+    .B(_08436_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02770_));
- sky130_fd_sc_hd__and3_1 _15531_ (.A(_02731_),
-    .B(_02769_),
-    .C(_02770_),
+    .Y(_02751_));
+ sky130_fd_sc_hd__and3_1 _15491_ (.A(_02717_),
+    .B(_02750_),
+    .C(_02751_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02771_));
- sky130_fd_sc_hd__a21oi_1 _15532_ (.A1(_02769_),
-    .A2(_02770_),
-    .B1(_02731_),
+    .X(_02752_));
+ sky130_fd_sc_hd__a21oi_1 _15492_ (.A1(_02750_),
+    .A2(_02751_),
+    .B1(_02717_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02772_));
- sky130_fd_sc_hd__o32a_1 _15533_ (.A1(_02754_),
-    .A2(_02771_),
-    .A3(_02772_),
-    .B1(_02726_),
+    .Y(_02753_));
+ sky130_fd_sc_hd__clkbuf_2 _15493_ (.A(_02707_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02754_));
+ sky130_fd_sc_hd__o32a_1 _15494_ (.A1(_02743_),
+    .A2(_02752_),
+    .A3(_02753_),
+    .B1(_02754_),
     .B2(\u_usb_host.u_core.u_sie.crc_out_w[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00956_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15534_ (.A(_02725_),
+ sky130_fd_sc_hd__xor2_1 _15495_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[3] ),
+    .B(_08512_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02773_));
- sky130_fd_sc_hd__xor2_1 _15535_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[3] ),
-    .B(_08650_),
+    .X(_02755_));
+ sky130_fd_sc_hd__xnor2_1 _15496_ (.A(_02715_),
+    .B(_02755_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02774_));
- sky130_fd_sc_hd__xnor2_1 _15536_ (.A(_02729_),
-    .B(_02774_),
+    .Y(_02756_));
+ sky130_fd_sc_hd__nor2_1 _15497_ (.A(_02720_),
+    .B(_02756_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02775_));
- sky130_fd_sc_hd__nor2_1 _15537_ (.A(_02736_),
-    .B(_02775_),
+    .Y(_02757_));
+ sky130_fd_sc_hd__a21o_1 _15498_ (.A1(_02720_),
+    .A2(_02756_),
+    .B1(_02743_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02776_));
- sky130_fd_sc_hd__a21o_1 _15538_ (.A1(_02736_),
-    .A2(_02775_),
-    .B1(_02758_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02777_));
- sky130_fd_sc_hd__o22a_1 _15539_ (.A1(\u_usb_host.u_core.u_sie.crc_out_w[2] ),
-    .A2(_02773_),
-    .B1(_02776_),
-    .B2(_02777_),
+    .X(_02758_));
+ sky130_fd_sc_hd__o22a_1 _15499_ (.A1(\u_usb_host.u_core.u_sie.crc_out_w[2] ),
+    .A2(_02745_),
+    .B1(_02757_),
+    .B2(_02758_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00957_));
- sky130_fd_sc_hd__xor2_1 _15540_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[5] ),
-    .B(_02736_),
+ sky130_fd_sc_hd__xor2_1 _15500_ (.A(\u_usb_host.u_core.u_sie.crc_sum_q[5] ),
+    .B(_02720_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02778_));
- sky130_fd_sc_hd__xnor2_1 _15541_ (.A(_08650_),
-    .B(_02744_),
+    .X(_02759_));
+ sky130_fd_sc_hd__xnor2_1 _15501_ (.A(_08512_),
+    .B(_02731_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02779_));
- sky130_fd_sc_hd__xnor2_1 _15542_ (.A(_02778_),
-    .B(_02779_),
+    .Y(_02760_));
+ sky130_fd_sc_hd__nor2_1 _15502_ (.A(_02759_),
+    .B(_02760_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02780_));
- sky130_fd_sc_hd__o22a_1 _15543_ (.A1(\u_usb_host.u_core.u_sie.crc_out_w[3] ),
-    .A2(_02773_),
-    .B1(_02759_),
-    .B2(_02780_),
+    .Y(_02761_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15503_ (.A(_02709_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02762_));
+ sky130_fd_sc_hd__a21o_1 _15504_ (.A1(_02759_),
+    .A2(_02760_),
+    .B1(_02762_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02763_));
+ sky130_fd_sc_hd__o22a_1 _15505_ (.A1(\u_usb_host.u_core.u_sie.crc_out_w[3] ),
+    .A2(_02745_),
+    .B1(_02761_),
+    .B2(_02763_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00958_));
- sky130_fd_sc_hd__xor2_2 _15544_ (.A(_02741_),
-    .B(_02745_),
+ sky130_fd_sc_hd__xor2_2 _15506_ (.A(_02726_),
+    .B(_02732_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02781_));
- sky130_fd_sc_hd__nor2_1 _15545_ (.A(_08656_),
-    .B(_02781_),
+    .X(_02764_));
+ sky130_fd_sc_hd__nor2_1 _15507_ (.A(_08612_),
+    .B(_02764_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02782_));
- sky130_fd_sc_hd__a21o_1 _15546_ (.A1(_08656_),
-    .A2(_02781_),
-    .B1(_02758_),
+    .Y(_02765_));
+ sky130_fd_sc_hd__a21o_1 _15508_ (.A1(_08612_),
+    .A2(_02764_),
+    .B1(_02762_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02783_));
- sky130_fd_sc_hd__o22a_1 _15547_ (.A1(\u_usb_host.u_core.u_sie.crc_out_w[4] ),
-    .A2(_02773_),
-    .B1(_02782_),
-    .B2(_02783_),
+    .X(_02766_));
+ sky130_fd_sc_hd__o22a_1 _15509_ (.A1(\u_usb_host.u_core.u_sie.crc_out_w[4] ),
+    .A2(_02745_),
+    .B1(_02765_),
+    .B2(_02766_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00959_));
- sky130_fd_sc_hd__nor2_1 _15548_ (.A(_08729_),
-    .B(_02743_),
+ sky130_fd_sc_hd__nor2_1 _15510_ (.A(_08683_),
+    .B(_02729_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02784_));
- sky130_fd_sc_hd__a21o_1 _15549_ (.A1(_08729_),
-    .A2(_02743_),
-    .B1(_02758_),
+    .Y(_02767_));
+ sky130_fd_sc_hd__a21o_1 _15511_ (.A1(_08683_),
+    .A2(_02729_),
+    .B1(_02762_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02785_));
- sky130_fd_sc_hd__o22a_1 _15550_ (.A1(\u_usb_host.u_core.u_sie.crc_out_w[5] ),
-    .A2(_02773_),
-    .B1(_02784_),
-    .B2(_02785_),
+    .X(_02768_));
+ sky130_fd_sc_hd__o22a_1 _15512_ (.A1(\u_usb_host.u_core.u_sie.crc_out_w[5] ),
+    .A2(_02754_),
+    .B1(_02767_),
+    .B2(_02768_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00960_));
- sky130_fd_sc_hd__xnor2_1 _15551_ (.A(_02747_),
-    .B(_02781_),
+ sky130_fd_sc_hd__xor2_1 _15513_ (.A(_02718_),
+    .B(_02722_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02786_));
- sky130_fd_sc_hd__xnor2_1 _15552_ (.A(_02739_),
-    .B(_02786_),
+    .X(_02769_));
+ sky130_fd_sc_hd__xnor2_1 _15514_ (.A(_02719_),
+    .B(_02730_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02787_));
- sky130_fd_sc_hd__and2_1 _15553_ (.A(_02746_),
-    .B(_02787_),
+    .Y(_02770_));
+ sky130_fd_sc_hd__xnor2_1 _15515_ (.A(_02764_),
+    .B(_02770_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02788_));
- sky130_fd_sc_hd__nor2_1 _15554_ (.A(_02746_),
-    .B(_02787_),
+    .Y(_02771_));
+ sky130_fd_sc_hd__nor2_1 _15516_ (.A(_02769_),
+    .B(_02771_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02789_));
- sky130_fd_sc_hd__o32a_1 _15555_ (.A1(_02754_),
-    .A2(_02788_),
-    .A3(_02789_),
-    .B1(_02726_),
-    .B2(\u_usb_host.u_core.u_sie.crc_sum_q[14] ),
+    .Y(_02772_));
+ sky130_fd_sc_hd__a21o_1 _15517_ (.A1(_02769_),
+    .A2(_02771_),
+    .B1(_02762_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02773_));
+ sky130_fd_sc_hd__o22a_1 _15518_ (.A1(\u_usb_host.u_core.u_sie.crc_sum_q[14] ),
+    .A2(_02754_),
+    .B1(_02772_),
+    .B2(_02773_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00961_));
- sky130_fd_sc_hd__nand2_1 _15556_ (.A(_08229_),
-    .B(_02751_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_02790_));
- sky130_fd_sc_hd__mux2_1 _15557_ (.A0(\u_usb_host.u_core.u_sie.crc_sum_q[15] ),
-    .A1(_02790_),
-    .S(_02725_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02791_));
- sky130_fd_sc_hd__clkbuf_1 _15558_ (.A(_02791_),
+ sky130_fd_sc_hd__o22a_1 _15519_ (.A1(\u_usb_host.u_core.u_sie.crc_sum_q[15] ),
+    .A2(_02754_),
+    .B1(_02735_),
+    .B2(_02710_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00962_));
- sky130_fd_sc_hd__o21a_1 _15559_ (.A1(\u_usb_host.u_core.u_sie.rx_active_q[0] ),
-    .A2(_05351_),
-    .B1(_05357_),
+ sky130_fd_sc_hd__o21a_1 _15520_ (.A1(\u_usb_host.u_core.u_sie.rx_active_q[0] ),
+    .A2(_05335_),
+    .B1(_05329_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02792_));
- sky130_fd_sc_hd__a21oi_1 _15560_ (.A1(_05910_),
-    .A2(_08372_),
-    .B1(_05301_),
+    .X(_02774_));
+ sky130_fd_sc_hd__a21oi_1 _15521_ (.A1(_05949_),
+    .A2(_08330_),
+    .B1(_05284_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02793_));
- sky130_fd_sc_hd__or3_1 _15561_ (.A(_05321_),
-    .B(_02792_),
-    .C(_02793_),
+    .Y(_02775_));
+ sky130_fd_sc_hd__or3_1 _15522_ (.A(_05301_),
+    .B(_02774_),
+    .C(_02775_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02794_));
- sky130_fd_sc_hd__nor3_1 _15562_ (.A(_05373_),
-    .B(_05378_),
-    .C(_02794_),
+    .X(_02776_));
+ sky130_fd_sc_hd__mux2_1 _15523_ (.A0(_05355_),
+    .A1(\u_usb_host.u_core.status_crc_err_w ),
+    .S(_02776_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02795_));
- sky130_fd_sc_hd__a22o_1 _15563_ (.A1(\u_usb_host.u_core.status_crc_err_w ),
-    .A2(_02794_),
-    .B1(_02795_),
-    .B2(_05369_),
+    .X(_02777_));
+ sky130_fd_sc_hd__clkbuf_1 _15524_ (.A(_02777_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00963_));
- sky130_fd_sc_hd__clkbuf_1 _15564_ (.A(_02304_),
+ sky130_fd_sc_hd__clkbuf_1 _15525_ (.A(_09603_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02796_));
- sky130_fd_sc_hd__nand2_1 _15565_ (.A(net110),
+    .X(_02778_));
+ sky130_fd_sc_hd__nand2_1 _15526_ (.A(net106),
     .B(\u_usb_host.u_core.u_fifo_tx.wr_ptr[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02797_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15566_ (.A(\u_usb_host.u_core.u_fifo_tx.wr_ptr[5] ),
+    .Y(_02779_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15527_ (.A(\u_usb_host.u_core.u_fifo_tx.wr_ptr[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02798_));
- sky130_fd_sc_hd__inv_2 _15567_ (.A(\u_usb_host.u_core.u_fifo_tx.count[6] ),
+    .X(_02780_));
+ sky130_fd_sc_hd__inv_2 _15528_ (.A(\u_usb_host.u_core.u_fifo_tx.count[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02799_));
- sky130_fd_sc_hd__or3_1 _15568_ (.A(\u_usb_host.u_core.u_fifo_tx.count[0] ),
+    .Y(_02781_));
+ sky130_fd_sc_hd__or3_1 _15529_ (.A(\u_usb_host.u_core.u_fifo_tx.count[0] ),
     .B(\u_usb_host.u_core.u_fifo_tx.count[1] ),
     .C(\u_usb_host.u_core.u_fifo_tx.count[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02800_));
- sky130_fd_sc_hd__or2_1 _15569_ (.A(\u_usb_host.u_core.u_fifo_tx.count[3] ),
-    .B(_02800_),
+    .X(_02782_));
+ sky130_fd_sc_hd__or2_1 _15530_ (.A(\u_usb_host.u_core.u_fifo_tx.count[3] ),
+    .B(_02782_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02801_));
- sky130_fd_sc_hd__or3_2 _15570_ (.A(\u_usb_host.u_core.u_fifo_tx.count[4] ),
+    .X(_02783_));
+ sky130_fd_sc_hd__or3_2 _15531_ (.A(\u_usb_host.u_core.u_fifo_tx.count[4] ),
     .B(\u_usb_host.u_core.u_fifo_tx.count[5] ),
-    .C(_02801_),
+    .C(_02783_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02802_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15571_ (.A(_02802_),
+    .X(_02784_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15532_ (.A(_02784_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02803_));
- sky130_fd_sc_hd__o21a_2 _15572_ (.A1(_02799_),
-    .A2(_02803_),
+    .X(_02785_));
+ sky130_fd_sc_hd__o21a_2 _15533_ (.A1(_02781_),
+    .A2(_02785_),
     .B1(\u_usb_host.u_core.u_fifo_tx.push_i ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02804_));
- sky130_fd_sc_hd__nand2_1 _15573_ (.A(_02798_),
-    .B(_02804_),
+    .X(_02786_));
+ sky130_fd_sc_hd__nand2_1 _15534_ (.A(_02780_),
+    .B(_02786_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02805_));
- sky130_fd_sc_hd__or2_4 _15574_ (.A(_02797_),
-    .B(_02805_),
+    .Y(_02787_));
+ sky130_fd_sc_hd__or2_2 _15535_ (.A(_02779_),
+    .B(_02787_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02806_));
- sky130_fd_sc_hd__clkbuf_4 _15575_ (.A(_02806_),
+    .X(_02788_));
+ sky130_fd_sc_hd__clkbuf_1 _15536_ (.A(_02788_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02807_));
- sky130_fd_sc_hd__nand2_1 _15576_ (.A(\u_usb_host.u_core.u_fifo_tx.wr_ptr[0] ),
-    .B(\u_usb_host.u_core.u_fifo_tx.wr_ptr[1] ),
+    .X(_02789_));
+ sky130_fd_sc_hd__nand2_1 _15537_ (.A(\u_usb_host.u_core.u_fifo_tx.wr_ptr[1] ),
+    .B(\u_usb_host.u_core.u_fifo_tx.wr_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02808_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15577_ (.A(_02808_),
+    .Y(_02790_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15538_ (.A(_02790_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02809_));
- sky130_fd_sc_hd__nand2_1 _15578_ (.A(\u_usb_host.u_core.u_fifo_tx.wr_ptr[2] ),
-    .B(\u_usb_host.u_core.u_fifo_tx.wr_ptr[3] ),
+    .X(_02791_));
+ sky130_fd_sc_hd__nand2_1 _15539_ (.A(\u_usb_host.u_core.u_fifo_tx.wr_ptr[3] ),
+    .B(\u_usb_host.u_core.u_fifo_tx.wr_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02810_));
- sky130_fd_sc_hd__or2_2 _15579_ (.A(_02809_),
-    .B(_02810_),
+    .Y(_02792_));
+ sky130_fd_sc_hd__or2_4 _15540_ (.A(_02791_),
+    .B(_02792_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02811_));
- sky130_fd_sc_hd__nor2_1 _15580_ (.A(_02807_),
-    .B(_02811_),
+    .X(_02793_));
+ sky130_fd_sc_hd__nor2_1 _15541_ (.A(_02789_),
+    .B(_02793_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02812_));
- sky130_fd_sc_hd__clkbuf_2 _15581_ (.A(_02812_),
+    .Y(_02794_));
+ sky130_fd_sc_hd__clkbuf_2 _15542_ (.A(_02794_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02813_));
- sky130_fd_sc_hd__mux2_1 _15582_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[63][0] ),
-    .A1(_02796_),
-    .S(_02813_),
+    .X(_02795_));
+ sky130_fd_sc_hd__mux2_1 _15543_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[63][0] ),
+    .A1(_02778_),
+    .S(_02795_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02814_));
- sky130_fd_sc_hd__clkbuf_1 _15583_ (.A(_02814_),
+    .X(_02796_));
+ sky130_fd_sc_hd__clkbuf_1 _15544_ (.A(_02796_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00964_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15584_ (.A(_02310_),
+ sky130_fd_sc_hd__clkbuf_1 _15545_ (.A(_09609_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02815_));
- sky130_fd_sc_hd__mux2_1 _15585_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[63][1] ),
-    .A1(_02815_),
-    .S(_02813_),
+    .X(_02797_));
+ sky130_fd_sc_hd__mux2_1 _15546_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[63][1] ),
+    .A1(_02797_),
+    .S(_02795_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02816_));
- sky130_fd_sc_hd__clkbuf_1 _15586_ (.A(_02816_),
+    .X(_02798_));
+ sky130_fd_sc_hd__clkbuf_1 _15547_ (.A(_02798_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00965_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15587_ (.A(_02314_),
+ sky130_fd_sc_hd__clkbuf_1 _15548_ (.A(_09613_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02817_));
- sky130_fd_sc_hd__mux2_1 _15588_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[63][2] ),
-    .A1(_02817_),
-    .S(_02813_),
+    .X(_02799_));
+ sky130_fd_sc_hd__mux2_1 _15549_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[63][2] ),
+    .A1(_02799_),
+    .S(_02795_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02818_));
- sky130_fd_sc_hd__clkbuf_1 _15589_ (.A(_02818_),
+    .X(_02800_));
+ sky130_fd_sc_hd__clkbuf_1 _15550_ (.A(_02800_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00966_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15590_ (.A(_02318_),
+ sky130_fd_sc_hd__clkbuf_1 _15551_ (.A(_09617_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02819_));
- sky130_fd_sc_hd__mux2_1 _15591_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[63][3] ),
-    .A1(_02819_),
-    .S(_02813_),
+    .X(_02801_));
+ sky130_fd_sc_hd__mux2_1 _15552_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[63][3] ),
+    .A1(_02801_),
+    .S(_02795_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02820_));
- sky130_fd_sc_hd__clkbuf_1 _15592_ (.A(_02820_),
+    .X(_02802_));
+ sky130_fd_sc_hd__clkbuf_1 _15553_ (.A(_02802_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00967_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15593_ (.A(_02322_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15554_ (.A(_09621_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02821_));
- sky130_fd_sc_hd__clkbuf_2 _15594_ (.A(_02812_),
+    .X(_02803_));
+ sky130_fd_sc_hd__clkbuf_4 _15555_ (.A(_02794_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02822_));
- sky130_fd_sc_hd__mux2_1 _15595_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[63][4] ),
-    .A1(_02821_),
-    .S(_02822_),
+    .X(_02804_));
+ sky130_fd_sc_hd__mux2_1 _15556_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[63][4] ),
+    .A1(_02803_),
+    .S(_02804_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02823_));
- sky130_fd_sc_hd__clkbuf_1 _15596_ (.A(_02823_),
+    .X(_02805_));
+ sky130_fd_sc_hd__clkbuf_1 _15557_ (.A(_02805_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00968_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15597_ (.A(_02331_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15558_ (.A(_09630_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02824_));
- sky130_fd_sc_hd__mux2_1 _15598_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[63][5] ),
-    .A1(_02824_),
-    .S(_02822_),
+    .X(_02806_));
+ sky130_fd_sc_hd__mux2_1 _15559_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[63][5] ),
+    .A1(_02806_),
+    .S(_02804_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02825_));
- sky130_fd_sc_hd__clkbuf_1 _15599_ (.A(_02825_),
+    .X(_02807_));
+ sky130_fd_sc_hd__clkbuf_1 _15560_ (.A(_02807_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00969_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15600_ (.A(_02338_),
+ sky130_fd_sc_hd__clkbuf_1 _15561_ (.A(_02305_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02826_));
- sky130_fd_sc_hd__mux2_1 _15601_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[63][6] ),
-    .A1(_02826_),
-    .S(_02822_),
+    .X(_02808_));
+ sky130_fd_sc_hd__mux2_1 _15562_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[63][6] ),
+    .A1(_02808_),
+    .S(_02804_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02827_));
- sky130_fd_sc_hd__clkbuf_1 _15602_ (.A(_02827_),
+    .X(_02809_));
+ sky130_fd_sc_hd__clkbuf_1 _15563_ (.A(_02809_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00970_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15603_ (.A(_02344_),
+ sky130_fd_sc_hd__clkbuf_1 _15564_ (.A(_02311_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02828_));
- sky130_fd_sc_hd__mux2_1 _15604_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[63][7] ),
-    .A1(_02828_),
-    .S(_02822_),
+    .X(_02810_));
+ sky130_fd_sc_hd__mux2_1 _15565_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[63][7] ),
+    .A1(_02810_),
+    .S(_02804_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02829_));
- sky130_fd_sc_hd__clkbuf_1 _15605_ (.A(_02829_),
+    .X(_02811_));
+ sky130_fd_sc_hd__clkbuf_1 _15566_ (.A(_02811_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00971_));
- sky130_fd_sc_hd__nor2_1 _15606_ (.A(_07478_),
-    .B(_07758_),
+ sky130_fd_sc_hd__nor2_1 _15567_ (.A(_05811_),
+    .B(_07757_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02830_));
- sky130_fd_sc_hd__buf_2 _15607_ (.A(_02830_),
+    .Y(_02812_));
+ sky130_fd_sc_hd__clkbuf_2 _15568_ (.A(_02812_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02831_));
- sky130_fd_sc_hd__clkbuf_2 _15608_ (.A(_02831_),
+    .X(_02813_));
+ sky130_fd_sc_hd__clkbuf_2 _15569_ (.A(_02813_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02832_));
- sky130_fd_sc_hd__mux2_1 _15609_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][4] ),
-    .A1(_07842_),
-    .S(_02832_),
+    .X(_02814_));
+ sky130_fd_sc_hd__mux2_1 _15570_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][4] ),
+    .A1(_07854_),
+    .S(_02814_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02833_));
- sky130_fd_sc_hd__clkbuf_1 _15610_ (.A(_02833_),
+    .X(_02815_));
+ sky130_fd_sc_hd__clkbuf_1 _15571_ (.A(_02815_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00972_));
- sky130_fd_sc_hd__mux2_1 _15611_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][5] ),
-    .A1(_07846_),
-    .S(_02832_),
+ sky130_fd_sc_hd__mux2_1 _15572_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][5] ),
+    .A1(_07858_),
+    .S(_02814_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02834_));
- sky130_fd_sc_hd__clkbuf_1 _15612_ (.A(_02834_),
+    .X(_02816_));
+ sky130_fd_sc_hd__clkbuf_1 _15573_ (.A(_02816_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00973_));
- sky130_fd_sc_hd__mux2_1 _15613_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][6] ),
-    .A1(_07848_),
-    .S(_02832_),
+ sky130_fd_sc_hd__mux2_1 _15574_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][6] ),
+    .A1(_07860_),
+    .S(_02814_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02835_));
- sky130_fd_sc_hd__clkbuf_1 _15614_ (.A(_02835_),
+    .X(_02817_));
+ sky130_fd_sc_hd__clkbuf_1 _15575_ (.A(_02817_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00974_));
- sky130_fd_sc_hd__clkbuf_2 _15615_ (.A(_02831_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15576_ (.A(_02813_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02836_));
- sky130_fd_sc_hd__mux2_1 _15616_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][7] ),
-    .A1(_07862_),
-    .S(_02836_),
+    .X(_02818_));
+ sky130_fd_sc_hd__mux2_1 _15577_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][7] ),
+    .A1(_09544_),
+    .S(_02818_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02837_));
- sky130_fd_sc_hd__clkbuf_1 _15617_ (.A(_02837_),
+    .X(_02819_));
+ sky130_fd_sc_hd__clkbuf_1 _15578_ (.A(_02819_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00975_));
- sky130_fd_sc_hd__mux2_1 _15618_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][8] ),
-    .A1(_07875_),
-    .S(_02836_),
+ sky130_fd_sc_hd__mux2_1 _15579_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][8] ),
+    .A1(_02430_),
+    .S(_02818_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02838_));
- sky130_fd_sc_hd__clkbuf_1 _15619_ (.A(_02838_),
+    .X(_02820_));
+ sky130_fd_sc_hd__clkbuf_1 _15580_ (.A(_02820_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00976_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15620_ (.A(net42),
+ sky130_fd_sc_hd__buf_2 _15581_ (.A(net42),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02839_));
- sky130_fd_sc_hd__mux2_1 _15621_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][9] ),
-    .A1(_02839_),
-    .S(_02836_),
+    .X(_02821_));
+ sky130_fd_sc_hd__mux2_1 _15582_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][9] ),
+    .A1(_02821_),
+    .S(_02818_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02840_));
- sky130_fd_sc_hd__clkbuf_1 _15622_ (.A(_02840_),
+    .X(_02822_));
+ sky130_fd_sc_hd__clkbuf_1 _15583_ (.A(_02822_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00977_));
- sky130_fd_sc_hd__clkbuf_2 _15623_ (.A(net43),
+ sky130_fd_sc_hd__buf_2 _15584_ (.A(net43),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02841_));
- sky130_fd_sc_hd__mux2_1 _15624_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][10] ),
-    .A1(_02841_),
-    .S(_02836_),
+    .X(_02823_));
+ sky130_fd_sc_hd__mux2_1 _15585_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][10] ),
+    .A1(_02823_),
+    .S(_02818_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02842_));
- sky130_fd_sc_hd__clkbuf_1 _15625_ (.A(_02842_),
+    .X(_02824_));
+ sky130_fd_sc_hd__clkbuf_1 _15586_ (.A(_02824_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00978_));
- sky130_fd_sc_hd__clkbuf_2 _15626_ (.A(net44),
+ sky130_fd_sc_hd__buf_2 _15587_ (.A(net44),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02843_));
- sky130_fd_sc_hd__clkbuf_2 _15627_ (.A(_02831_),
+    .X(_02825_));
+ sky130_fd_sc_hd__clkbuf_2 _15588_ (.A(_02813_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02844_));
- sky130_fd_sc_hd__mux2_1 _15628_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][11] ),
-    .A1(_02843_),
-    .S(_02844_),
+    .X(_02826_));
+ sky130_fd_sc_hd__mux2_1 _15589_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][11] ),
+    .A1(_02825_),
+    .S(_02826_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02845_));
- sky130_fd_sc_hd__clkbuf_1 _15629_ (.A(_02845_),
+    .X(_02827_));
+ sky130_fd_sc_hd__clkbuf_1 _15590_ (.A(_02827_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00979_));
- sky130_fd_sc_hd__mux2_1 _15630_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][12] ),
-    .A1(_07500_),
-    .S(_02844_),
+ sky130_fd_sc_hd__mux2_1 _15591_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][12] ),
+    .A1(_07503_),
+    .S(_02826_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02846_));
- sky130_fd_sc_hd__clkbuf_1 _15631_ (.A(_02846_),
+    .X(_02828_));
+ sky130_fd_sc_hd__clkbuf_1 _15592_ (.A(_02828_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00980_));
- sky130_fd_sc_hd__mux2_1 _15632_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][13] ),
-    .A1(_07503_),
-    .S(_02844_),
+ sky130_fd_sc_hd__mux2_1 _15593_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][13] ),
+    .A1(_07506_),
+    .S(_02826_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02847_));
- sky130_fd_sc_hd__clkbuf_1 _15633_ (.A(_02847_),
+    .X(_02829_));
+ sky130_fd_sc_hd__clkbuf_1 _15594_ (.A(_02829_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00981_));
- sky130_fd_sc_hd__mux2_1 _15634_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][14] ),
-    .A1(_07505_),
-    .S(_02844_),
+ sky130_fd_sc_hd__mux2_1 _15595_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][14] ),
+    .A1(_07508_),
+    .S(_02826_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02848_));
- sky130_fd_sc_hd__clkbuf_1 _15635_ (.A(_02848_),
+    .X(_02830_));
+ sky130_fd_sc_hd__clkbuf_1 _15596_ (.A(_02830_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00982_));
- sky130_fd_sc_hd__clkbuf_2 _15636_ (.A(_02831_),
+ sky130_fd_sc_hd__clkbuf_2 _15597_ (.A(_02813_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02849_));
- sky130_fd_sc_hd__mux2_1 _15637_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][15] ),
-    .A1(_07507_),
-    .S(_02849_),
+    .X(_02831_));
+ sky130_fd_sc_hd__mux2_1 _15598_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][15] ),
+    .A1(_07510_),
+    .S(_02831_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02850_));
- sky130_fd_sc_hd__clkbuf_1 _15638_ (.A(_02850_),
+    .X(_02832_));
+ sky130_fd_sc_hd__clkbuf_1 _15599_ (.A(_02832_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00983_));
- sky130_fd_sc_hd__mux2_1 _15639_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][16] ),
-    .A1(_07509_),
-    .S(_02849_),
+ sky130_fd_sc_hd__mux2_1 _15600_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][16] ),
+    .A1(_07512_),
+    .S(_02831_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02851_));
- sky130_fd_sc_hd__clkbuf_1 _15640_ (.A(_02851_),
+    .X(_02833_));
+ sky130_fd_sc_hd__clkbuf_1 _15601_ (.A(_02833_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00984_));
- sky130_fd_sc_hd__mux2_1 _15641_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][17] ),
-    .A1(_07512_),
-    .S(_02849_),
+ sky130_fd_sc_hd__mux2_1 _15602_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][17] ),
+    .A1(_07515_),
+    .S(_02831_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02852_));
- sky130_fd_sc_hd__clkbuf_1 _15642_ (.A(_02852_),
+    .X(_02834_));
+ sky130_fd_sc_hd__clkbuf_1 _15603_ (.A(_02834_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00985_));
- sky130_fd_sc_hd__mux2_1 _15643_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][18] ),
-    .A1(_07514_),
-    .S(_02849_),
+ sky130_fd_sc_hd__mux2_1 _15604_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][18] ),
+    .A1(_07517_),
+    .S(_02831_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02853_));
- sky130_fd_sc_hd__clkbuf_1 _15644_ (.A(_02853_),
+    .X(_02835_));
+ sky130_fd_sc_hd__clkbuf_1 _15605_ (.A(_02835_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00986_));
- sky130_fd_sc_hd__clkbuf_2 _15645_ (.A(_02830_),
+ sky130_fd_sc_hd__clkbuf_2 _15606_ (.A(_02812_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02854_));
- sky130_fd_sc_hd__clkbuf_2 _15646_ (.A(_02854_),
+    .X(_02836_));
+ sky130_fd_sc_hd__buf_2 _15607_ (.A(_02836_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02855_));
- sky130_fd_sc_hd__mux2_1 _15647_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][19] ),
-    .A1(_07516_),
-    .S(_02855_),
+    .X(_02837_));
+ sky130_fd_sc_hd__mux2_1 _15608_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][19] ),
+    .A1(_07519_),
+    .S(_02837_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02856_));
- sky130_fd_sc_hd__clkbuf_1 _15648_ (.A(_02856_),
+    .X(_02838_));
+ sky130_fd_sc_hd__clkbuf_1 _15609_ (.A(_02838_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00987_));
- sky130_fd_sc_hd__mux2_1 _15649_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][20] ),
-    .A1(_07518_),
-    .S(_02855_),
+ sky130_fd_sc_hd__mux2_1 _15610_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][20] ),
+    .A1(_07521_),
+    .S(_02837_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02857_));
- sky130_fd_sc_hd__clkbuf_1 _15650_ (.A(_02857_),
+    .X(_02839_));
+ sky130_fd_sc_hd__clkbuf_1 _15611_ (.A(_02839_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00988_));
- sky130_fd_sc_hd__mux2_1 _15651_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][21] ),
-    .A1(_07521_),
-    .S(_02855_),
+ sky130_fd_sc_hd__mux2_1 _15612_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][21] ),
+    .A1(_07524_),
+    .S(_02837_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02858_));
- sky130_fd_sc_hd__clkbuf_1 _15652_ (.A(_02858_),
+    .X(_02840_));
+ sky130_fd_sc_hd__clkbuf_1 _15613_ (.A(_02840_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00989_));
- sky130_fd_sc_hd__mux2_1 _15653_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][22] ),
-    .A1(_07523_),
-    .S(_02855_),
+ sky130_fd_sc_hd__mux2_1 _15614_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][22] ),
+    .A1(_07526_),
+    .S(_02837_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02859_));
- sky130_fd_sc_hd__clkbuf_1 _15654_ (.A(_02859_),
+    .X(_02841_));
+ sky130_fd_sc_hd__clkbuf_1 _15615_ (.A(_02841_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00990_));
- sky130_fd_sc_hd__clkbuf_2 _15655_ (.A(_02854_),
+ sky130_fd_sc_hd__clkbuf_2 _15616_ (.A(_02836_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02860_));
- sky130_fd_sc_hd__mux2_1 _15656_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][23] ),
-    .A1(_07525_),
-    .S(_02860_),
+    .X(_02842_));
+ sky130_fd_sc_hd__mux2_1 _15617_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][23] ),
+    .A1(_07528_),
+    .S(_02842_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02861_));
- sky130_fd_sc_hd__clkbuf_1 _15657_ (.A(_02861_),
+    .X(_02843_));
+ sky130_fd_sc_hd__clkbuf_1 _15618_ (.A(_02843_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00991_));
- sky130_fd_sc_hd__mux2_1 _15658_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][24] ),
-    .A1(_07527_),
-    .S(_02860_),
+ sky130_fd_sc_hd__mux2_1 _15619_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][24] ),
+    .A1(_07530_),
+    .S(_02842_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02862_));
- sky130_fd_sc_hd__clkbuf_1 _15659_ (.A(_02862_),
+    .X(_02844_));
+ sky130_fd_sc_hd__clkbuf_1 _15620_ (.A(_02844_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00992_));
- sky130_fd_sc_hd__mux2_1 _15660_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][25] ),
-    .A1(_07530_),
-    .S(_02860_),
+ sky130_fd_sc_hd__mux2_1 _15621_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][25] ),
+    .A1(_07533_),
+    .S(_02842_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02863_));
- sky130_fd_sc_hd__clkbuf_1 _15661_ (.A(_02863_),
+    .X(_02845_));
+ sky130_fd_sc_hd__clkbuf_1 _15622_ (.A(_02845_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00993_));
- sky130_fd_sc_hd__mux2_1 _15662_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][26] ),
-    .A1(_07532_),
-    .S(_02860_),
+ sky130_fd_sc_hd__mux2_1 _15623_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][26] ),
+    .A1(_07535_),
+    .S(_02842_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02864_));
- sky130_fd_sc_hd__clkbuf_1 _15663_ (.A(_02864_),
+    .X(_02846_));
+ sky130_fd_sc_hd__clkbuf_1 _15624_ (.A(_02846_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00994_));
- sky130_fd_sc_hd__clkbuf_2 _15664_ (.A(_02854_),
+ sky130_fd_sc_hd__clkbuf_2 _15625_ (.A(_02836_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02865_));
- sky130_fd_sc_hd__mux2_1 _15665_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][27] ),
-    .A1(_07534_),
-    .S(_02865_),
+    .X(_02847_));
+ sky130_fd_sc_hd__mux2_1 _15626_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][27] ),
+    .A1(_07537_),
+    .S(_02847_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02866_));
- sky130_fd_sc_hd__clkbuf_1 _15666_ (.A(_02866_),
+    .X(_02848_));
+ sky130_fd_sc_hd__clkbuf_1 _15627_ (.A(_02848_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00995_));
- sky130_fd_sc_hd__mux2_1 _15667_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][32] ),
-    .A1(_07536_),
-    .S(_02865_),
+ sky130_fd_sc_hd__mux2_1 _15628_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][32] ),
+    .A1(_07539_),
+    .S(_02847_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02867_));
- sky130_fd_sc_hd__clkbuf_1 _15668_ (.A(_02867_),
+    .X(_02849_));
+ sky130_fd_sc_hd__clkbuf_1 _15629_ (.A(_02849_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00996_));
- sky130_fd_sc_hd__mux2_1 _15669_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][33] ),
-    .A1(_07539_),
-    .S(_02865_),
+ sky130_fd_sc_hd__mux2_1 _15630_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][33] ),
+    .A1(_07542_),
+    .S(_02847_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02868_));
- sky130_fd_sc_hd__clkbuf_1 _15670_ (.A(_02868_),
+    .X(_02850_));
+ sky130_fd_sc_hd__clkbuf_1 _15631_ (.A(_02850_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00997_));
- sky130_fd_sc_hd__mux2_1 _15671_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][34] ),
-    .A1(_07541_),
-    .S(_02865_),
+ sky130_fd_sc_hd__mux2_1 _15632_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][34] ),
+    .A1(_07544_),
+    .S(_02847_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02869_));
- sky130_fd_sc_hd__clkbuf_1 _15672_ (.A(_02869_),
+    .X(_02851_));
+ sky130_fd_sc_hd__clkbuf_1 _15633_ (.A(_02851_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00998_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15673_ (.A(_02854_),
+ sky130_fd_sc_hd__clkbuf_2 _15634_ (.A(_02836_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02870_));
- sky130_fd_sc_hd__mux2_1 _15674_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][35] ),
+    .X(_02852_));
+ sky130_fd_sc_hd__mux2_1 _15635_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][35] ),
     .A1(net39),
-    .S(_02870_),
+    .S(_02852_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02871_));
- sky130_fd_sc_hd__clkbuf_1 _15675_ (.A(_02871_),
+    .X(_02853_));
+ sky130_fd_sc_hd__clkbuf_1 _15636_ (.A(_02853_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_00999_));
- sky130_fd_sc_hd__mux2_1 _15676_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][36] ),
-    .A1(_07546_),
-    .S(_02870_),
+ sky130_fd_sc_hd__mux2_1 _15637_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][36] ),
+    .A1(_07548_),
+    .S(_02852_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02872_));
- sky130_fd_sc_hd__clkbuf_1 _15677_ (.A(_02872_),
+    .X(_02854_));
+ sky130_fd_sc_hd__clkbuf_1 _15638_ (.A(_02854_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01000_));
- sky130_fd_sc_hd__mux2_1 _15678_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][37] ),
+ sky130_fd_sc_hd__mux2_1 _15639_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][37] ),
     .A1(net2),
-    .S(_02870_),
+    .S(_02852_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02873_));
- sky130_fd_sc_hd__clkbuf_1 _15679_ (.A(_02873_),
+    .X(_02855_));
+ sky130_fd_sc_hd__clkbuf_1 _15640_ (.A(_02855_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01001_));
- sky130_fd_sc_hd__mux2_1 _15680_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][38] ),
+ sky130_fd_sc_hd__mux2_1 _15641_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][38] ),
     .A1(net3),
-    .S(_02870_),
+    .S(_02852_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02874_));
- sky130_fd_sc_hd__clkbuf_1 _15681_ (.A(_02874_),
+    .X(_02856_));
+ sky130_fd_sc_hd__clkbuf_1 _15642_ (.A(_02856_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01002_));
- sky130_fd_sc_hd__clkbuf_2 _15682_ (.A(_02830_),
+ sky130_fd_sc_hd__clkbuf_2 _15643_ (.A(_02812_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02875_));
- sky130_fd_sc_hd__mux2_1 _15683_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][39] ),
-    .A1(_07552_),
-    .S(_02875_),
+    .X(_02857_));
+ sky130_fd_sc_hd__mux2_1 _15644_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][39] ),
+    .A1(_07553_),
+    .S(_02857_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02876_));
- sky130_fd_sc_hd__clkbuf_1 _15684_ (.A(_02876_),
+    .X(_02858_));
+ sky130_fd_sc_hd__clkbuf_1 _15645_ (.A(_02858_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01003_));
- sky130_fd_sc_hd__mux2_1 _15685_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][40] ),
-    .A1(_07554_),
-    .S(_02875_),
+ sky130_fd_sc_hd__mux2_1 _15646_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][40] ),
+    .A1(_07555_),
+    .S(_02857_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02877_));
- sky130_fd_sc_hd__clkbuf_1 _15686_ (.A(_02877_),
+    .X(_02859_));
+ sky130_fd_sc_hd__clkbuf_1 _15647_ (.A(_02859_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01004_));
- sky130_fd_sc_hd__mux2_1 _15687_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][41] ),
-    .A1(_07556_),
-    .S(_02875_),
+ sky130_fd_sc_hd__mux2_1 _15648_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][41] ),
+    .A1(_07557_),
+    .S(_02857_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02878_));
- sky130_fd_sc_hd__clkbuf_1 _15688_ (.A(_02878_),
+    .X(_02860_));
+ sky130_fd_sc_hd__clkbuf_1 _15649_ (.A(_02860_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01005_));
- sky130_fd_sc_hd__mux2_1 _15689_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][42] ),
-    .A1(_07558_),
-    .S(_02875_),
+ sky130_fd_sc_hd__mux2_1 _15650_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.mem[3][42] ),
+    .A1(_07559_),
+    .S(_02857_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02879_));
- sky130_fd_sc_hd__clkbuf_1 _15690_ (.A(_02879_),
+    .X(_02861_));
+ sky130_fd_sc_hd__clkbuf_1 _15651_ (.A(_02861_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01006_));
- sky130_fd_sc_hd__clkbuf_1 _15691_ (.A(_02810_),
+ sky130_fd_sc_hd__clkbuf_1 _15652_ (.A(_02792_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02880_));
- sky130_fd_sc_hd__clkbuf_1 _15692_ (.A(\u_usb_host.u_core.u_fifo_tx.wr_ptr[1] ),
+    .X(_02862_));
+ sky130_fd_sc_hd__clkbuf_1 _15653_ (.A(\u_usb_host.u_core.u_fifo_tx.wr_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02881_));
- sky130_fd_sc_hd__or2b_1 _15693_ (.A(\u_usb_host.u_core.u_fifo_tx.wr_ptr[0] ),
-    .B_N(_02881_),
+    .X(_02863_));
+ sky130_fd_sc_hd__or2b_1 _15654_ (.A(\u_usb_host.u_core.u_fifo_tx.wr_ptr[0] ),
+    .B_N(_02863_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02882_));
- sky130_fd_sc_hd__or2_2 _15694_ (.A(_02880_),
-    .B(_02882_),
+    .X(_02864_));
+ sky130_fd_sc_hd__or2_4 _15655_ (.A(_02862_),
+    .B(_02864_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02883_));
- sky130_fd_sc_hd__nor2_1 _15695_ (.A(_02807_),
-    .B(_02883_),
+    .X(_02865_));
+ sky130_fd_sc_hd__nor2_1 _15656_ (.A(_02789_),
+    .B(_02865_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02884_));
- sky130_fd_sc_hd__clkbuf_2 _15696_ (.A(_02884_),
+    .Y(_02866_));
+ sky130_fd_sc_hd__clkbuf_2 _15657_ (.A(_02866_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02885_));
- sky130_fd_sc_hd__mux2_1 _15697_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[62][0] ),
-    .A1(_02796_),
-    .S(_02885_),
+    .X(_02867_));
+ sky130_fd_sc_hd__mux2_1 _15658_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[62][0] ),
+    .A1(_02778_),
+    .S(_02867_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02886_));
- sky130_fd_sc_hd__clkbuf_1 _15698_ (.A(_02886_),
+    .X(_02868_));
+ sky130_fd_sc_hd__clkbuf_1 _15659_ (.A(_02868_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01007_));
- sky130_fd_sc_hd__mux2_1 _15699_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[62][1] ),
-    .A1(_02815_),
-    .S(_02885_),
+ sky130_fd_sc_hd__mux2_1 _15660_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[62][1] ),
+    .A1(_02797_),
+    .S(_02867_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02887_));
- sky130_fd_sc_hd__clkbuf_1 _15700_ (.A(_02887_),
+    .X(_02869_));
+ sky130_fd_sc_hd__clkbuf_1 _15661_ (.A(_02869_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01008_));
- sky130_fd_sc_hd__mux2_1 _15701_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[62][2] ),
-    .A1(_02817_),
-    .S(_02885_),
+ sky130_fd_sc_hd__mux2_1 _15662_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[62][2] ),
+    .A1(_02799_),
+    .S(_02867_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02888_));
- sky130_fd_sc_hd__clkbuf_1 _15702_ (.A(_02888_),
+    .X(_02870_));
+ sky130_fd_sc_hd__clkbuf_1 _15663_ (.A(_02870_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01009_));
- sky130_fd_sc_hd__mux2_1 _15703_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[62][3] ),
-    .A1(_02819_),
-    .S(_02885_),
+ sky130_fd_sc_hd__mux2_1 _15664_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[62][3] ),
+    .A1(_02801_),
+    .S(_02867_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02889_));
- sky130_fd_sc_hd__clkbuf_1 _15704_ (.A(_02889_),
+    .X(_02871_));
+ sky130_fd_sc_hd__clkbuf_1 _15665_ (.A(_02871_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01010_));
- sky130_fd_sc_hd__clkbuf_2 _15705_ (.A(_02884_),
+ sky130_fd_sc_hd__clkbuf_4 _15666_ (.A(_02866_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02890_));
- sky130_fd_sc_hd__mux2_1 _15706_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[62][4] ),
-    .A1(_02821_),
-    .S(_02890_),
+    .X(_02872_));
+ sky130_fd_sc_hd__mux2_1 _15667_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[62][4] ),
+    .A1(_02803_),
+    .S(_02872_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02891_));
- sky130_fd_sc_hd__clkbuf_1 _15707_ (.A(_02891_),
+    .X(_02873_));
+ sky130_fd_sc_hd__clkbuf_1 _15668_ (.A(_02873_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01011_));
- sky130_fd_sc_hd__mux2_1 _15708_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[62][5] ),
-    .A1(_02824_),
-    .S(_02890_),
+ sky130_fd_sc_hd__mux2_1 _15669_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[62][5] ),
+    .A1(_02806_),
+    .S(_02872_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02892_));
- sky130_fd_sc_hd__clkbuf_1 _15709_ (.A(_02892_),
+    .X(_02874_));
+ sky130_fd_sc_hd__clkbuf_1 _15670_ (.A(_02874_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01012_));
- sky130_fd_sc_hd__mux2_1 _15710_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[62][6] ),
-    .A1(_02826_),
-    .S(_02890_),
+ sky130_fd_sc_hd__mux2_1 _15671_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[62][6] ),
+    .A1(_02808_),
+    .S(_02872_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02893_));
- sky130_fd_sc_hd__clkbuf_1 _15711_ (.A(_02893_),
+    .X(_02875_));
+ sky130_fd_sc_hd__clkbuf_1 _15672_ (.A(_02875_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01013_));
- sky130_fd_sc_hd__mux2_1 _15712_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[62][7] ),
-    .A1(_02828_),
-    .S(_02890_),
+ sky130_fd_sc_hd__mux2_1 _15673_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[62][7] ),
+    .A1(_02810_),
+    .S(_02872_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02894_));
- sky130_fd_sc_hd__clkbuf_1 _15713_ (.A(_02894_),
+    .X(_02876_));
+ sky130_fd_sc_hd__clkbuf_1 _15674_ (.A(_02876_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01014_));
- sky130_fd_sc_hd__clkbuf_2 _15714_ (.A(_02304_),
+ sky130_fd_sc_hd__clkbuf_2 _15675_ (.A(_09603_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02895_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15715_ (.A(_02895_),
+    .X(_02877_));
+ sky130_fd_sc_hd__clkbuf_1 _15676_ (.A(_02877_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02896_));
- sky130_fd_sc_hd__clkbuf_1 _15716_ (.A(\u_usb_host.u_core.u_fifo_tx.wr_ptr[0] ),
+    .X(_02878_));
+ sky130_fd_sc_hd__clkbuf_1 _15677_ (.A(\u_usb_host.u_core.u_fifo_tx.wr_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02897_));
- sky130_fd_sc_hd__or2b_1 _15717_ (.A(\u_usb_host.u_core.u_fifo_tx.wr_ptr[1] ),
-    .B_N(_02897_),
+    .X(_02879_));
+ sky130_fd_sc_hd__or2b_1 _15678_ (.A(\u_usb_host.u_core.u_fifo_tx.wr_ptr[1] ),
+    .B_N(_02879_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02898_));
- sky130_fd_sc_hd__or2_4 _15718_ (.A(_02880_),
-    .B(_02898_),
+    .X(_02880_));
+ sky130_fd_sc_hd__or2_4 _15679_ (.A(_02862_),
+    .B(_02880_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02899_));
- sky130_fd_sc_hd__nor2_8 _15719_ (.A(_02807_),
-    .B(_02899_),
+    .X(_02881_));
+ sky130_fd_sc_hd__nor2_1 _15680_ (.A(_02789_),
+    .B(_02881_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02900_));
- sky130_fd_sc_hd__clkbuf_2 _15720_ (.A(_02900_),
+    .Y(_02882_));
+ sky130_fd_sc_hd__clkbuf_2 _15681_ (.A(_02882_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02901_));
- sky130_fd_sc_hd__mux2_1 _15721_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[61][0] ),
-    .A1(_02896_),
-    .S(_02901_),
+    .X(_02883_));
+ sky130_fd_sc_hd__mux2_1 _15682_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[61][0] ),
+    .A1(_02878_),
+    .S(_02883_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02902_));
- sky130_fd_sc_hd__clkbuf_1 _15722_ (.A(_02902_),
+    .X(_02884_));
+ sky130_fd_sc_hd__clkbuf_1 _15683_ (.A(_02884_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01015_));
- sky130_fd_sc_hd__clkbuf_2 _15723_ (.A(_02310_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15684_ (.A(_09609_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02903_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15724_ (.A(_02903_),
+    .X(_02885_));
+ sky130_fd_sc_hd__clkbuf_1 _15685_ (.A(_02885_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02904_));
- sky130_fd_sc_hd__mux2_1 _15725_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[61][1] ),
-    .A1(_02904_),
-    .S(_02901_),
+    .X(_02886_));
+ sky130_fd_sc_hd__mux2_1 _15686_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[61][1] ),
+    .A1(_02886_),
+    .S(_02883_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02905_));
- sky130_fd_sc_hd__clkbuf_1 _15726_ (.A(_02905_),
+    .X(_02887_));
+ sky130_fd_sc_hd__clkbuf_1 _15687_ (.A(_02887_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01016_));
- sky130_fd_sc_hd__clkbuf_2 _15727_ (.A(_02314_),
+ sky130_fd_sc_hd__clkbuf_4 _15688_ (.A(_09613_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02906_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15728_ (.A(_02906_),
+    .X(_02888_));
+ sky130_fd_sc_hd__clkbuf_1 _15689_ (.A(_02888_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02907_));
- sky130_fd_sc_hd__mux2_1 _15729_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[61][2] ),
-    .A1(_02907_),
-    .S(_02901_),
+    .X(_02889_));
+ sky130_fd_sc_hd__mux2_1 _15690_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[61][2] ),
+    .A1(_02889_),
+    .S(_02883_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02908_));
- sky130_fd_sc_hd__clkbuf_1 _15730_ (.A(_02908_),
+    .X(_02890_));
+ sky130_fd_sc_hd__clkbuf_1 _15691_ (.A(_02890_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01017_));
- sky130_fd_sc_hd__clkbuf_2 _15731_ (.A(_02318_),
+ sky130_fd_sc_hd__clkbuf_2 _15692_ (.A(_09617_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02909_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15732_ (.A(_02909_),
+    .X(_02891_));
+ sky130_fd_sc_hd__clkbuf_1 _15693_ (.A(_02891_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02910_));
- sky130_fd_sc_hd__mux2_1 _15733_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[61][3] ),
-    .A1(_02910_),
-    .S(_02901_),
+    .X(_02892_));
+ sky130_fd_sc_hd__mux2_1 _15694_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[61][3] ),
+    .A1(_02892_),
+    .S(_02883_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02911_));
- sky130_fd_sc_hd__clkbuf_1 _15734_ (.A(_02911_),
+    .X(_02893_));
+ sky130_fd_sc_hd__clkbuf_1 _15695_ (.A(_02893_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01018_));
- sky130_fd_sc_hd__clkbuf_4 _15735_ (.A(_02322_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15696_ (.A(_09621_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02912_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15736_ (.A(_02912_),
+    .X(_02894_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15697_ (.A(_02894_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02913_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15737_ (.A(_02900_),
+    .X(_02895_));
+ sky130_fd_sc_hd__clkbuf_2 _15698_ (.A(_02882_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02914_));
- sky130_fd_sc_hd__mux2_1 _15738_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[61][4] ),
-    .A1(_02913_),
-    .S(_02914_),
+    .X(_02896_));
+ sky130_fd_sc_hd__mux2_1 _15699_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[61][4] ),
+    .A1(_02895_),
+    .S(_02896_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02915_));
- sky130_fd_sc_hd__clkbuf_1 _15739_ (.A(_02915_),
+    .X(_02897_));
+ sky130_fd_sc_hd__clkbuf_1 _15700_ (.A(_02897_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01019_));
- sky130_fd_sc_hd__clkbuf_4 _15740_ (.A(_02331_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15701_ (.A(_09630_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02916_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15741_ (.A(_02916_),
+    .X(_02898_));
+ sky130_fd_sc_hd__clkbuf_1 _15702_ (.A(_02898_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02917_));
- sky130_fd_sc_hd__mux2_1 _15742_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[61][5] ),
-    .A1(_02917_),
-    .S(_02914_),
+    .X(_02899_));
+ sky130_fd_sc_hd__mux2_1 _15703_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[61][5] ),
+    .A1(_02899_),
+    .S(_02896_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02918_));
- sky130_fd_sc_hd__clkbuf_1 _15743_ (.A(_02918_),
+    .X(_02900_));
+ sky130_fd_sc_hd__clkbuf_1 _15704_ (.A(_02900_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01020_));
- sky130_fd_sc_hd__clkbuf_4 _15744_ (.A(_02338_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15705_ (.A(_02305_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02919_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15745_ (.A(_02919_),
+    .X(_02901_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15706_ (.A(_02901_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02920_));
- sky130_fd_sc_hd__mux2_1 _15746_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[61][6] ),
-    .A1(_02920_),
-    .S(_02914_),
+    .X(_02902_));
+ sky130_fd_sc_hd__mux2_1 _15707_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[61][6] ),
+    .A1(_02902_),
+    .S(_02896_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02921_));
- sky130_fd_sc_hd__clkbuf_1 _15747_ (.A(_02921_),
+    .X(_02903_));
+ sky130_fd_sc_hd__clkbuf_1 _15708_ (.A(_02903_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01021_));
- sky130_fd_sc_hd__clkbuf_4 _15748_ (.A(_02344_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15709_ (.A(_02311_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02922_));
- sky130_fd_sc_hd__clkbuf_2 _15749_ (.A(_02922_),
+    .X(_02904_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15710_ (.A(_02904_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02923_));
- sky130_fd_sc_hd__mux2_1 _15750_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[61][7] ),
-    .A1(_02923_),
-    .S(_02914_),
+    .X(_02905_));
+ sky130_fd_sc_hd__mux2_1 _15711_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[61][7] ),
+    .A1(_02905_),
+    .S(_02896_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02924_));
- sky130_fd_sc_hd__clkbuf_1 _15751_ (.A(_02924_),
+    .X(_02906_));
+ sky130_fd_sc_hd__clkbuf_1 _15712_ (.A(_02906_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01022_));
- sky130_fd_sc_hd__or2_1 _15752_ (.A(\u_usb_host.u_core.u_fifo_tx.wr_ptr[0] ),
-    .B(\u_usb_host.u_core.u_fifo_tx.wr_ptr[1] ),
+ sky130_fd_sc_hd__or2_1 _15713_ (.A(\u_usb_host.u_core.u_fifo_tx.wr_ptr[1] ),
+    .B(\u_usb_host.u_core.u_fifo_tx.wr_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02925_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15753_ (.A(_02925_),
+    .X(_02907_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15714_ (.A(_02907_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02926_));
- sky130_fd_sc_hd__or2_4 _15754_ (.A(_02880_),
-    .B(_02926_),
+    .X(_02908_));
+ sky130_fd_sc_hd__or2_4 _15715_ (.A(_02862_),
+    .B(_02908_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02927_));
- sky130_fd_sc_hd__nor2_8 _15755_ (.A(_02807_),
-    .B(_02927_),
+    .X(_02909_));
+ sky130_fd_sc_hd__nor2_1 _15716_ (.A(_02789_),
+    .B(_02909_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02928_));
- sky130_fd_sc_hd__clkbuf_2 _15756_ (.A(_02928_),
+    .Y(_02910_));
+ sky130_fd_sc_hd__clkbuf_2 _15717_ (.A(_02910_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02929_));
- sky130_fd_sc_hd__mux2_1 _15757_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[60][0] ),
-    .A1(_02896_),
-    .S(_02929_),
+    .X(_02911_));
+ sky130_fd_sc_hd__mux2_1 _15718_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[60][0] ),
+    .A1(_02878_),
+    .S(_02911_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02930_));
- sky130_fd_sc_hd__clkbuf_1 _15758_ (.A(_02930_),
+    .X(_02912_));
+ sky130_fd_sc_hd__clkbuf_1 _15719_ (.A(_02912_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01023_));
- sky130_fd_sc_hd__mux2_1 _15759_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[60][1] ),
-    .A1(_02904_),
-    .S(_02929_),
+ sky130_fd_sc_hd__mux2_1 _15720_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[60][1] ),
+    .A1(_02886_),
+    .S(_02911_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02931_));
- sky130_fd_sc_hd__clkbuf_1 _15760_ (.A(_02931_),
+    .X(_02913_));
+ sky130_fd_sc_hd__clkbuf_1 _15721_ (.A(_02913_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01024_));
- sky130_fd_sc_hd__mux2_1 _15761_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[60][2] ),
-    .A1(_02907_),
-    .S(_02929_),
+ sky130_fd_sc_hd__mux2_1 _15722_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[60][2] ),
+    .A1(_02889_),
+    .S(_02911_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02932_));
- sky130_fd_sc_hd__clkbuf_1 _15762_ (.A(_02932_),
+    .X(_02914_));
+ sky130_fd_sc_hd__clkbuf_1 _15723_ (.A(_02914_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01025_));
- sky130_fd_sc_hd__mux2_1 _15763_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[60][3] ),
-    .A1(_02910_),
-    .S(_02929_),
+ sky130_fd_sc_hd__mux2_1 _15724_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[60][3] ),
+    .A1(_02892_),
+    .S(_02911_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02933_));
- sky130_fd_sc_hd__clkbuf_1 _15764_ (.A(_02933_),
+    .X(_02915_));
+ sky130_fd_sc_hd__clkbuf_1 _15725_ (.A(_02915_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01026_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15765_ (.A(_02928_),
+ sky130_fd_sc_hd__clkbuf_2 _15726_ (.A(_02910_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02934_));
- sky130_fd_sc_hd__mux2_1 _15766_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[60][4] ),
-    .A1(_02913_),
-    .S(_02934_),
+    .X(_02916_));
+ sky130_fd_sc_hd__mux2_1 _15727_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[60][4] ),
+    .A1(_02895_),
+    .S(_02916_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02935_));
- sky130_fd_sc_hd__clkbuf_1 _15767_ (.A(_02935_),
+    .X(_02917_));
+ sky130_fd_sc_hd__clkbuf_1 _15728_ (.A(_02917_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01027_));
- sky130_fd_sc_hd__mux2_1 _15768_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[60][5] ),
-    .A1(_02917_),
-    .S(_02934_),
+ sky130_fd_sc_hd__mux2_1 _15729_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[60][5] ),
+    .A1(_02899_),
+    .S(_02916_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02936_));
- sky130_fd_sc_hd__clkbuf_1 _15769_ (.A(_02936_),
+    .X(_02918_));
+ sky130_fd_sc_hd__clkbuf_1 _15730_ (.A(_02918_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01028_));
- sky130_fd_sc_hd__mux2_1 _15770_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[60][6] ),
-    .A1(_02920_),
-    .S(_02934_),
+ sky130_fd_sc_hd__mux2_1 _15731_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[60][6] ),
+    .A1(_02902_),
+    .S(_02916_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02937_));
- sky130_fd_sc_hd__clkbuf_1 _15771_ (.A(_02937_),
+    .X(_02919_));
+ sky130_fd_sc_hd__clkbuf_1 _15732_ (.A(_02919_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01029_));
- sky130_fd_sc_hd__mux2_1 _15772_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[60][7] ),
-    .A1(_02923_),
-    .S(_02934_),
+ sky130_fd_sc_hd__mux2_1 _15733_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[60][7] ),
+    .A1(_02905_),
+    .S(_02916_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02938_));
- sky130_fd_sc_hd__clkbuf_1 _15773_ (.A(_02938_),
+    .X(_02920_));
+ sky130_fd_sc_hd__clkbuf_1 _15734_ (.A(_02920_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01030_));
- sky130_fd_sc_hd__buf_2 _15774_ (.A(_02806_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15735_ (.A(_02788_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02939_));
- sky130_fd_sc_hd__clkbuf_1 _15775_ (.A(\u_usb_host.u_core.u_fifo_tx.wr_ptr[2] ),
+    .X(_02921_));
+ sky130_fd_sc_hd__clkbuf_1 _15736_ (.A(\u_usb_host.u_core.u_fifo_tx.wr_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02940_));
- sky130_fd_sc_hd__clkbuf_1 _15776_ (.A(_02940_),
+    .X(_02922_));
+ sky130_fd_sc_hd__clkbuf_1 _15737_ (.A(\u_usb_host.u_core.u_fifo_tx.wr_ptr[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02941_));
- sky130_fd_sc_hd__clkbuf_1 _15777_ (.A(\u_usb_host.u_core.u_fifo_tx.wr_ptr[3] ),
+    .X(_02923_));
+ sky130_fd_sc_hd__or2b_1 _15738_ (.A(_02922_),
+    .B_N(_02923_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02942_));
- sky130_fd_sc_hd__clkbuf_1 _15778_ (.A(_02942_),
+    .X(_02924_));
+ sky130_fd_sc_hd__or2_4 _15739_ (.A(_02791_),
+    .B(_02924_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02943_));
- sky130_fd_sc_hd__or3b_4 _15779_ (.A(_02808_),
-    .B(_02941_),
-    .C_N(_02943_),
+    .X(_02925_));
+ sky130_fd_sc_hd__nor2_1 _15740_ (.A(_02921_),
+    .B(_02925_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02944_));
- sky130_fd_sc_hd__nor2_4 _15780_ (.A(_02939_),
-    .B(_02944_),
+    .Y(_02926_));
+ sky130_fd_sc_hd__clkbuf_2 _15741_ (.A(_02926_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02945_));
- sky130_fd_sc_hd__clkbuf_2 _15781_ (.A(_02945_),
+    .X(_02927_));
+ sky130_fd_sc_hd__mux2_1 _15742_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[59][0] ),
+    .A1(_02878_),
+    .S(_02927_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02946_));
- sky130_fd_sc_hd__mux2_1 _15782_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[59][0] ),
-    .A1(_02896_),
-    .S(_02946_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02947_));
- sky130_fd_sc_hd__clkbuf_1 _15783_ (.A(_02947_),
+    .X(_02928_));
+ sky130_fd_sc_hd__clkbuf_1 _15743_ (.A(_02928_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01031_));
- sky130_fd_sc_hd__mux2_1 _15784_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[59][1] ),
-    .A1(_02904_),
-    .S(_02946_),
+ sky130_fd_sc_hd__mux2_1 _15744_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[59][1] ),
+    .A1(_02886_),
+    .S(_02927_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02948_));
- sky130_fd_sc_hd__clkbuf_1 _15785_ (.A(_02948_),
+    .X(_02929_));
+ sky130_fd_sc_hd__clkbuf_1 _15745_ (.A(_02929_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01032_));
- sky130_fd_sc_hd__mux2_1 _15786_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[59][2] ),
-    .A1(_02907_),
-    .S(_02946_),
+ sky130_fd_sc_hd__mux2_1 _15746_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[59][2] ),
+    .A1(_02889_),
+    .S(_02927_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02949_));
- sky130_fd_sc_hd__clkbuf_1 _15787_ (.A(_02949_),
+    .X(_02930_));
+ sky130_fd_sc_hd__clkbuf_1 _15747_ (.A(_02930_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01033_));
- sky130_fd_sc_hd__mux2_1 _15788_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[59][3] ),
-    .A1(_02910_),
-    .S(_02946_),
+ sky130_fd_sc_hd__mux2_1 _15748_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[59][3] ),
+    .A1(_02892_),
+    .S(_02927_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02950_));
- sky130_fd_sc_hd__clkbuf_1 _15789_ (.A(_02950_),
+    .X(_02931_));
+ sky130_fd_sc_hd__clkbuf_1 _15749_ (.A(_02931_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01034_));
- sky130_fd_sc_hd__clkbuf_2 _15790_ (.A(_02945_),
+ sky130_fd_sc_hd__clkbuf_2 _15750_ (.A(_02926_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02951_));
- sky130_fd_sc_hd__mux2_1 _15791_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[59][4] ),
-    .A1(_02913_),
-    .S(_02951_),
+    .X(_02932_));
+ sky130_fd_sc_hd__mux2_1 _15751_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[59][4] ),
+    .A1(_02895_),
+    .S(_02932_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02952_));
- sky130_fd_sc_hd__clkbuf_1 _15792_ (.A(_02952_),
+    .X(_02933_));
+ sky130_fd_sc_hd__clkbuf_1 _15752_ (.A(_02933_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01035_));
- sky130_fd_sc_hd__mux2_1 _15793_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[59][5] ),
-    .A1(_02917_),
-    .S(_02951_),
+ sky130_fd_sc_hd__mux2_1 _15753_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[59][5] ),
+    .A1(_02899_),
+    .S(_02932_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02953_));
- sky130_fd_sc_hd__clkbuf_1 _15794_ (.A(_02953_),
+    .X(_02934_));
+ sky130_fd_sc_hd__clkbuf_1 _15754_ (.A(_02934_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01036_));
- sky130_fd_sc_hd__mux2_1 _15795_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[59][6] ),
-    .A1(_02920_),
-    .S(_02951_),
+ sky130_fd_sc_hd__mux2_1 _15755_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[59][6] ),
+    .A1(_02902_),
+    .S(_02932_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02954_));
- sky130_fd_sc_hd__clkbuf_1 _15796_ (.A(_02954_),
+    .X(_02935_));
+ sky130_fd_sc_hd__clkbuf_1 _15756_ (.A(_02935_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01037_));
- sky130_fd_sc_hd__mux2_1 _15797_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[59][7] ),
-    .A1(_02923_),
-    .S(_02951_),
+ sky130_fd_sc_hd__mux2_1 _15757_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[59][7] ),
+    .A1(_02905_),
+    .S(_02932_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02955_));
- sky130_fd_sc_hd__clkbuf_1 _15798_ (.A(_02955_),
+    .X(_02936_));
+ sky130_fd_sc_hd__clkbuf_1 _15758_ (.A(_02936_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01038_));
- sky130_fd_sc_hd__or3b_4 _15799_ (.A(_02882_),
-    .B(_02941_),
-    .C_N(_02943_),
+ sky130_fd_sc_hd__or2_4 _15759_ (.A(_02864_),
+    .B(_02924_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02956_));
- sky130_fd_sc_hd__nor2_4 _15800_ (.A(_02939_),
-    .B(_02956_),
+    .X(_02937_));
+ sky130_fd_sc_hd__nor2_1 _15760_ (.A(_02921_),
+    .B(_02937_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02957_));
- sky130_fd_sc_hd__clkbuf_2 _15801_ (.A(_02957_),
+    .Y(_02938_));
+ sky130_fd_sc_hd__clkbuf_2 _15761_ (.A(_02938_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02958_));
- sky130_fd_sc_hd__mux2_1 _15802_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[58][0] ),
-    .A1(_02896_),
-    .S(_02958_),
+    .X(_02939_));
+ sky130_fd_sc_hd__mux2_1 _15762_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[58][0] ),
+    .A1(_02878_),
+    .S(_02939_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02959_));
- sky130_fd_sc_hd__clkbuf_1 _15803_ (.A(_02959_),
+    .X(_02940_));
+ sky130_fd_sc_hd__clkbuf_1 _15763_ (.A(_02940_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01039_));
- sky130_fd_sc_hd__mux2_1 _15804_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[58][1] ),
-    .A1(_02904_),
-    .S(_02958_),
+ sky130_fd_sc_hd__mux2_1 _15764_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[58][1] ),
+    .A1(_02886_),
+    .S(_02939_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02960_));
- sky130_fd_sc_hd__clkbuf_1 _15805_ (.A(_02960_),
+    .X(_02941_));
+ sky130_fd_sc_hd__clkbuf_1 _15765_ (.A(_02941_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01040_));
- sky130_fd_sc_hd__mux2_1 _15806_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[58][2] ),
-    .A1(_02907_),
-    .S(_02958_),
+ sky130_fd_sc_hd__mux2_1 _15766_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[58][2] ),
+    .A1(_02889_),
+    .S(_02939_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02961_));
- sky130_fd_sc_hd__clkbuf_1 _15807_ (.A(_02961_),
+    .X(_02942_));
+ sky130_fd_sc_hd__clkbuf_1 _15767_ (.A(_02942_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01041_));
- sky130_fd_sc_hd__mux2_1 _15808_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[58][3] ),
-    .A1(_02910_),
-    .S(_02958_),
+ sky130_fd_sc_hd__mux2_1 _15768_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[58][3] ),
+    .A1(_02892_),
+    .S(_02939_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02962_));
- sky130_fd_sc_hd__clkbuf_1 _15809_ (.A(_02962_),
+    .X(_02943_));
+ sky130_fd_sc_hd__clkbuf_1 _15769_ (.A(_02943_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01042_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15810_ (.A(_02957_),
+ sky130_fd_sc_hd__buf_2 _15770_ (.A(_02938_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02963_));
- sky130_fd_sc_hd__mux2_1 _15811_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[58][4] ),
-    .A1(_02913_),
-    .S(_02963_),
+    .X(_02944_));
+ sky130_fd_sc_hd__mux2_1 _15771_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[58][4] ),
+    .A1(_02895_),
+    .S(_02944_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02964_));
- sky130_fd_sc_hd__clkbuf_1 _15812_ (.A(_02964_),
+    .X(_02945_));
+ sky130_fd_sc_hd__clkbuf_1 _15772_ (.A(_02945_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01043_));
- sky130_fd_sc_hd__mux2_1 _15813_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[58][5] ),
-    .A1(_02917_),
-    .S(_02963_),
+ sky130_fd_sc_hd__mux2_1 _15773_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[58][5] ),
+    .A1(_02899_),
+    .S(_02944_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02965_));
- sky130_fd_sc_hd__clkbuf_1 _15814_ (.A(_02965_),
+    .X(_02946_));
+ sky130_fd_sc_hd__clkbuf_1 _15774_ (.A(_02946_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01044_));
- sky130_fd_sc_hd__mux2_1 _15815_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[58][6] ),
-    .A1(_02920_),
-    .S(_02963_),
+ sky130_fd_sc_hd__mux2_1 _15775_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[58][6] ),
+    .A1(_02902_),
+    .S(_02944_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02966_));
- sky130_fd_sc_hd__clkbuf_1 _15816_ (.A(_02966_),
+    .X(_02947_));
+ sky130_fd_sc_hd__clkbuf_1 _15776_ (.A(_02947_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01045_));
- sky130_fd_sc_hd__mux2_1 _15817_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[58][7] ),
-    .A1(_02923_),
-    .S(_02963_),
+ sky130_fd_sc_hd__mux2_1 _15777_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[58][7] ),
+    .A1(_02905_),
+    .S(_02944_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02967_));
- sky130_fd_sc_hd__clkbuf_1 _15818_ (.A(_02967_),
+    .X(_02948_));
+ sky130_fd_sc_hd__clkbuf_1 _15778_ (.A(_02948_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01046_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15819_ (.A(_02895_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15779_ (.A(_02877_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02949_));
+ sky130_fd_sc_hd__or2_4 _15780_ (.A(_02880_),
+    .B(_02924_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02950_));
+ sky130_fd_sc_hd__nor2_2 _15781_ (.A(_02921_),
+    .B(_02950_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_02951_));
+ sky130_fd_sc_hd__clkbuf_2 _15782_ (.A(_02951_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02952_));
+ sky130_fd_sc_hd__mux2_1 _15783_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[57][0] ),
+    .A1(_02949_),
+    .S(_02952_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02953_));
+ sky130_fd_sc_hd__clkbuf_1 _15784_ (.A(_02953_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01047_));
+ sky130_fd_sc_hd__clkbuf_1 _15785_ (.A(_02885_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02954_));
+ sky130_fd_sc_hd__mux2_1 _15786_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[57][1] ),
+    .A1(_02954_),
+    .S(_02952_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02955_));
+ sky130_fd_sc_hd__clkbuf_1 _15787_ (.A(_02955_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01048_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15788_ (.A(_02888_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02956_));
+ sky130_fd_sc_hd__mux2_1 _15789_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[57][2] ),
+    .A1(_02956_),
+    .S(_02952_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02957_));
+ sky130_fd_sc_hd__clkbuf_1 _15790_ (.A(_02957_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01049_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15791_ (.A(_02891_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02958_));
+ sky130_fd_sc_hd__mux2_1 _15792_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[57][3] ),
+    .A1(_02958_),
+    .S(_02952_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02959_));
+ sky130_fd_sc_hd__clkbuf_1 _15793_ (.A(_02959_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01050_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15794_ (.A(_02894_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02960_));
+ sky130_fd_sc_hd__clkbuf_2 _15795_ (.A(_02951_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02961_));
+ sky130_fd_sc_hd__mux2_1 _15796_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[57][4] ),
+    .A1(_02960_),
+    .S(_02961_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02962_));
+ sky130_fd_sc_hd__clkbuf_1 _15797_ (.A(_02962_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01051_));
+ sky130_fd_sc_hd__clkbuf_1 _15798_ (.A(_02898_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02963_));
+ sky130_fd_sc_hd__mux2_1 _15799_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[57][5] ),
+    .A1(_02963_),
+    .S(_02961_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02964_));
+ sky130_fd_sc_hd__clkbuf_1 _15800_ (.A(_02964_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01052_));
+ sky130_fd_sc_hd__clkbuf_1 _15801_ (.A(_02901_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02965_));
+ sky130_fd_sc_hd__mux2_1 _15802_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[57][6] ),
+    .A1(_02965_),
+    .S(_02961_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02966_));
+ sky130_fd_sc_hd__clkbuf_1 _15803_ (.A(_02966_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01053_));
+ sky130_fd_sc_hd__clkbuf_1 _15804_ (.A(_02904_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02967_));
+ sky130_fd_sc_hd__mux2_1 _15805_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[57][7] ),
+    .A1(_02967_),
+    .S(_02961_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02968_));
- sky130_fd_sc_hd__or3b_4 _15820_ (.A(_02898_),
-    .B(_02941_),
-    .C_N(_02943_),
+ sky130_fd_sc_hd__clkbuf_1 _15806_ (.A(_02968_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01054_));
+ sky130_fd_sc_hd__or2_4 _15807_ (.A(_02908_),
+    .B(_02924_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02969_));
- sky130_fd_sc_hd__nor2_2 _15821_ (.A(_02939_),
+ sky130_fd_sc_hd__nor2_2 _15808_ (.A(_02921_),
     .B(_02969_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_02970_));
- sky130_fd_sc_hd__clkbuf_2 _15822_ (.A(_02970_),
+ sky130_fd_sc_hd__clkbuf_2 _15809_ (.A(_02970_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02971_));
- sky130_fd_sc_hd__mux2_1 _15823_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[57][0] ),
-    .A1(_02968_),
+ sky130_fd_sc_hd__mux2_1 _15810_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[56][0] ),
+    .A1(_02949_),
     .S(_02971_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02972_));
- sky130_fd_sc_hd__clkbuf_1 _15824_ (.A(_02972_),
+ sky130_fd_sc_hd__clkbuf_1 _15811_ (.A(_02972_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_01047_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15825_ (.A(_02903_),
+    .X(_01055_));
+ sky130_fd_sc_hd__mux2_1 _15812_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[56][1] ),
+    .A1(_02954_),
+    .S(_02971_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02973_));
- sky130_fd_sc_hd__mux2_1 _15826_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[57][1] ),
-    .A1(_02973_),
+ sky130_fd_sc_hd__clkbuf_1 _15813_ (.A(_02973_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01056_));
+ sky130_fd_sc_hd__mux2_1 _15814_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[56][2] ),
+    .A1(_02956_),
     .S(_02971_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02974_));
- sky130_fd_sc_hd__clkbuf_1 _15827_ (.A(_02974_),
+ sky130_fd_sc_hd__clkbuf_1 _15815_ (.A(_02974_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_01048_));
- sky130_fd_sc_hd__clkbuf_1 _15828_ (.A(_02906_),
+    .X(_01057_));
+ sky130_fd_sc_hd__mux2_1 _15816_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[56][3] ),
+    .A1(_02958_),
+    .S(_02971_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02975_));
- sky130_fd_sc_hd__mux2_1 _15829_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[57][2] ),
-    .A1(_02975_),
-    .S(_02971_),
+ sky130_fd_sc_hd__clkbuf_1 _15817_ (.A(_02975_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01058_));
+ sky130_fd_sc_hd__buf_2 _15818_ (.A(_02970_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02976_));
- sky130_fd_sc_hd__clkbuf_1 _15830_ (.A(_02976_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01049_));
- sky130_fd_sc_hd__clkbuf_1 _15831_ (.A(_02909_),
+ sky130_fd_sc_hd__mux2_1 _15819_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[56][4] ),
+    .A1(_02960_),
+    .S(_02976_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02977_));
- sky130_fd_sc_hd__mux2_1 _15832_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[57][3] ),
-    .A1(_02977_),
-    .S(_02971_),
+ sky130_fd_sc_hd__clkbuf_1 _15820_ (.A(_02977_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01059_));
+ sky130_fd_sc_hd__mux2_1 _15821_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[56][5] ),
+    .A1(_02963_),
+    .S(_02976_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02978_));
- sky130_fd_sc_hd__clkbuf_1 _15833_ (.A(_02978_),
+ sky130_fd_sc_hd__clkbuf_1 _15822_ (.A(_02978_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_01050_));
- sky130_fd_sc_hd__clkbuf_1 _15834_ (.A(_02912_),
+    .X(_01060_));
+ sky130_fd_sc_hd__mux2_1 _15823_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[56][6] ),
+    .A1(_02965_),
+    .S(_02976_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02979_));
- sky130_fd_sc_hd__clkbuf_2 _15835_ (.A(_02970_),
+ sky130_fd_sc_hd__clkbuf_1 _15824_ (.A(_02979_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01061_));
+ sky130_fd_sc_hd__mux2_1 _15825_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[56][7] ),
+    .A1(_02967_),
+    .S(_02976_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02980_));
- sky130_fd_sc_hd__mux2_1 _15836_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[57][4] ),
-    .A1(_02979_),
-    .S(_02980_),
+ sky130_fd_sc_hd__clkbuf_1 _15826_ (.A(_02980_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01062_));
+ sky130_fd_sc_hd__clkbuf_2 _15827_ (.A(_02788_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02981_));
- sky130_fd_sc_hd__clkbuf_1 _15837_ (.A(_02981_),
+ sky130_fd_sc_hd__nand3_1 _15828_ (.A(_02863_),
+    .B(_02879_),
+    .C(_02922_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_01051_));
- sky130_fd_sc_hd__clkbuf_1 _15838_ (.A(_02916_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02982_));
- sky130_fd_sc_hd__mux2_1 _15839_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[57][5] ),
-    .A1(_02982_),
-    .S(_02980_),
+    .Y(_02982_));
+ sky130_fd_sc_hd__or2_1 _15829_ (.A(_02923_),
+    .B(_02982_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02983_));
- sky130_fd_sc_hd__clkbuf_1 _15840_ (.A(_02983_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01052_));
- sky130_fd_sc_hd__clkbuf_1 _15841_ (.A(_02919_),
+ sky130_fd_sc_hd__buf_4 _15830_ (.A(_02983_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02984_));
- sky130_fd_sc_hd__mux2_1 _15842_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[57][6] ),
-    .A1(_02984_),
-    .S(_02980_),
+ sky130_fd_sc_hd__nor2_2 _15831_ (.A(_02981_),
+    .B(_02984_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02985_));
- sky130_fd_sc_hd__clkbuf_1 _15843_ (.A(_02985_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01053_));
- sky130_fd_sc_hd__clkbuf_1 _15844_ (.A(_02922_),
+    .Y(_02985_));
+ sky130_fd_sc_hd__buf_2 _15832_ (.A(_02985_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02986_));
- sky130_fd_sc_hd__mux2_1 _15845_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[57][7] ),
-    .A1(_02986_),
-    .S(_02980_),
+ sky130_fd_sc_hd__mux2_1 _15833_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[55][0] ),
+    .A1(_02949_),
+    .S(_02986_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02987_));
- sky130_fd_sc_hd__clkbuf_1 _15846_ (.A(_02987_),
+ sky130_fd_sc_hd__clkbuf_1 _15834_ (.A(_02987_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_01054_));
- sky130_fd_sc_hd__clkbuf_1 _15847_ (.A(_02940_),
+    .X(_01063_));
+ sky130_fd_sc_hd__mux2_1 _15835_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[55][1] ),
+    .A1(_02954_),
+    .S(_02986_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02988_));
- sky130_fd_sc_hd__or3b_4 _15848_ (.A(_02925_),
-    .B(_02988_),
-    .C_N(_02942_),
+ sky130_fd_sc_hd__clkbuf_1 _15836_ (.A(_02988_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01064_));
+ sky130_fd_sc_hd__mux2_1 _15837_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[55][2] ),
+    .A1(_02956_),
+    .S(_02986_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02989_));
- sky130_fd_sc_hd__nor2_2 _15849_ (.A(_02939_),
-    .B(_02989_),
+ sky130_fd_sc_hd__clkbuf_1 _15838_ (.A(_02989_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02990_));
- sky130_fd_sc_hd__clkbuf_2 _15850_ (.A(_02990_),
+    .X(_01065_));
+ sky130_fd_sc_hd__mux2_1 _15839_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[55][3] ),
+    .A1(_02958_),
+    .S(_02986_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02990_));
+ sky130_fd_sc_hd__clkbuf_1 _15840_ (.A(_02990_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01066_));
+ sky130_fd_sc_hd__clkbuf_2 _15841_ (.A(_02985_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02991_));
- sky130_fd_sc_hd__mux2_1 _15851_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[56][0] ),
-    .A1(_02968_),
+ sky130_fd_sc_hd__mux2_1 _15842_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[55][4] ),
+    .A1(_02960_),
     .S(_02991_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02992_));
- sky130_fd_sc_hd__clkbuf_1 _15852_ (.A(_02992_),
+ sky130_fd_sc_hd__clkbuf_1 _15843_ (.A(_02992_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_01055_));
- sky130_fd_sc_hd__mux2_1 _15853_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[56][1] ),
-    .A1(_02973_),
+    .X(_01067_));
+ sky130_fd_sc_hd__mux2_1 _15844_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[55][5] ),
+    .A1(_02963_),
     .S(_02991_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02993_));
- sky130_fd_sc_hd__clkbuf_1 _15854_ (.A(_02993_),
+ sky130_fd_sc_hd__clkbuf_1 _15845_ (.A(_02993_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_01056_));
- sky130_fd_sc_hd__mux2_1 _15855_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[56][2] ),
-    .A1(_02975_),
+    .X(_01068_));
+ sky130_fd_sc_hd__mux2_1 _15846_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[55][6] ),
+    .A1(_02965_),
     .S(_02991_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02994_));
- sky130_fd_sc_hd__clkbuf_1 _15856_ (.A(_02994_),
+ sky130_fd_sc_hd__clkbuf_1 _15847_ (.A(_02994_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_01057_));
- sky130_fd_sc_hd__mux2_1 _15857_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[56][3] ),
-    .A1(_02977_),
+    .X(_01069_));
+ sky130_fd_sc_hd__mux2_1 _15848_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[55][7] ),
+    .A1(_02967_),
     .S(_02991_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02995_));
- sky130_fd_sc_hd__clkbuf_1 _15858_ (.A(_02995_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01058_));
- sky130_fd_sc_hd__clkbuf_2 _15859_ (.A(_02990_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02996_));
- sky130_fd_sc_hd__mux2_1 _15860_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[56][4] ),
-    .A1(_02979_),
-    .S(_02996_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02997_));
- sky130_fd_sc_hd__clkbuf_1 _15861_ (.A(_02997_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01059_));
- sky130_fd_sc_hd__mux2_1 _15862_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[56][5] ),
-    .A1(_02982_),
-    .S(_02996_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02998_));
- sky130_fd_sc_hd__clkbuf_1 _15863_ (.A(_02998_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01060_));
- sky130_fd_sc_hd__mux2_1 _15864_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[56][6] ),
-    .A1(_02984_),
-    .S(_02996_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02999_));
- sky130_fd_sc_hd__clkbuf_1 _15865_ (.A(_02999_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01061_));
- sky130_fd_sc_hd__mux2_1 _15866_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[56][7] ),
-    .A1(_02986_),
-    .S(_02996_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03000_));
- sky130_fd_sc_hd__clkbuf_1 _15867_ (.A(_03000_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01062_));
- sky130_fd_sc_hd__clkbuf_2 _15868_ (.A(_02806_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03001_));
- sky130_fd_sc_hd__nand3_1 _15869_ (.A(_02897_),
-    .B(_02881_),
-    .C(_02940_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_03002_));
- sky130_fd_sc_hd__or2_1 _15870_ (.A(_02943_),
-    .B(_03002_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03003_));
- sky130_fd_sc_hd__clkbuf_4 _15871_ (.A(_03003_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03004_));
- sky130_fd_sc_hd__nor2_2 _15872_ (.A(_03001_),
-    .B(_03004_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_03005_));
- sky130_fd_sc_hd__clkbuf_2 _15873_ (.A(_03005_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03006_));
- sky130_fd_sc_hd__mux2_1 _15874_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[55][0] ),
-    .A1(_02968_),
-    .S(_03006_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03007_));
- sky130_fd_sc_hd__clkbuf_1 _15875_ (.A(_03007_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01063_));
- sky130_fd_sc_hd__mux2_1 _15876_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[55][1] ),
-    .A1(_02973_),
-    .S(_03006_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03008_));
- sky130_fd_sc_hd__clkbuf_1 _15877_ (.A(_03008_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01064_));
- sky130_fd_sc_hd__mux2_1 _15878_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[55][2] ),
-    .A1(_02975_),
-    .S(_03006_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03009_));
- sky130_fd_sc_hd__clkbuf_1 _15879_ (.A(_03009_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01065_));
- sky130_fd_sc_hd__mux2_1 _15880_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[55][3] ),
-    .A1(_02977_),
-    .S(_03006_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03010_));
- sky130_fd_sc_hd__clkbuf_1 _15881_ (.A(_03010_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01066_));
- sky130_fd_sc_hd__clkbuf_2 _15882_ (.A(_03005_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03011_));
- sky130_fd_sc_hd__mux2_1 _15883_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[55][4] ),
-    .A1(_02979_),
-    .S(_03011_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03012_));
- sky130_fd_sc_hd__clkbuf_1 _15884_ (.A(_03012_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01067_));
- sky130_fd_sc_hd__mux2_1 _15885_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[55][5] ),
-    .A1(_02982_),
-    .S(_03011_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03013_));
- sky130_fd_sc_hd__clkbuf_1 _15886_ (.A(_03013_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01068_));
- sky130_fd_sc_hd__mux2_1 _15887_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[55][6] ),
-    .A1(_02984_),
-    .S(_03011_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03014_));
- sky130_fd_sc_hd__clkbuf_1 _15888_ (.A(_03014_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01069_));
- sky130_fd_sc_hd__mux2_1 _15889_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[55][7] ),
-    .A1(_02986_),
-    .S(_03011_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03015_));
- sky130_fd_sc_hd__clkbuf_1 _15890_ (.A(_03015_),
+ sky130_fd_sc_hd__clkbuf_1 _15849_ (.A(_02995_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01070_));
- sky130_fd_sc_hd__clkbuf_1 _15891_ (.A(_02942_),
+ sky130_fd_sc_hd__clkbuf_1 _15850_ (.A(_02923_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03016_));
- sky130_fd_sc_hd__or3b_4 _15892_ (.A(_03016_),
-    .B(_02882_),
-    .C_N(_02988_),
+    .X(_02996_));
+ sky130_fd_sc_hd__clkbuf_1 _15851_ (.A(_02922_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03017_));
- sky130_fd_sc_hd__nor2_2 _15893_ (.A(_03001_),
-    .B(_03017_),
+    .X(_02997_));
+ sky130_fd_sc_hd__or3b_4 _15852_ (.A(_02864_),
+    .B(_02996_),
+    .C_N(_02997_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03018_));
- sky130_fd_sc_hd__clkbuf_2 _15894_ (.A(_03018_),
+    .X(_02998_));
+ sky130_fd_sc_hd__nor2_2 _15853_ (.A(_02981_),
+    .B(_02998_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03019_));
- sky130_fd_sc_hd__mux2_1 _15895_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[54][0] ),
-    .A1(_02968_),
-    .S(_03019_),
+    .Y(_02999_));
+ sky130_fd_sc_hd__clkbuf_2 _15854_ (.A(_02999_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03020_));
- sky130_fd_sc_hd__clkbuf_1 _15896_ (.A(_03020_),
+    .X(_03000_));
+ sky130_fd_sc_hd__mux2_1 _15855_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[54][0] ),
+    .A1(_02949_),
+    .S(_03000_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03001_));
+ sky130_fd_sc_hd__clkbuf_1 _15856_ (.A(_03001_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01071_));
- sky130_fd_sc_hd__mux2_1 _15897_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[54][1] ),
-    .A1(_02973_),
-    .S(_03019_),
+ sky130_fd_sc_hd__mux2_1 _15857_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[54][1] ),
+    .A1(_02954_),
+    .S(_03000_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03021_));
- sky130_fd_sc_hd__clkbuf_1 _15898_ (.A(_03021_),
+    .X(_03002_));
+ sky130_fd_sc_hd__clkbuf_1 _15858_ (.A(_03002_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01072_));
- sky130_fd_sc_hd__mux2_1 _15899_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[54][2] ),
-    .A1(_02975_),
-    .S(_03019_),
+ sky130_fd_sc_hd__mux2_1 _15859_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[54][2] ),
+    .A1(_02956_),
+    .S(_03000_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03022_));
- sky130_fd_sc_hd__clkbuf_1 _15900_ (.A(_03022_),
+    .X(_03003_));
+ sky130_fd_sc_hd__clkbuf_1 _15860_ (.A(_03003_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01073_));
- sky130_fd_sc_hd__mux2_1 _15901_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[54][3] ),
-    .A1(_02977_),
-    .S(_03019_),
+ sky130_fd_sc_hd__mux2_1 _15861_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[54][3] ),
+    .A1(_02958_),
+    .S(_03000_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03023_));
- sky130_fd_sc_hd__clkbuf_1 _15902_ (.A(_03023_),
+    .X(_03004_));
+ sky130_fd_sc_hd__clkbuf_1 _15862_ (.A(_03004_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01074_));
- sky130_fd_sc_hd__clkbuf_2 _15903_ (.A(_03018_),
+ sky130_fd_sc_hd__clkbuf_2 _15863_ (.A(_02999_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03024_));
- sky130_fd_sc_hd__mux2_1 _15904_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[54][4] ),
-    .A1(_02979_),
-    .S(_03024_),
+    .X(_03005_));
+ sky130_fd_sc_hd__mux2_1 _15864_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[54][4] ),
+    .A1(_02960_),
+    .S(_03005_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03025_));
- sky130_fd_sc_hd__clkbuf_1 _15905_ (.A(_03025_),
+    .X(_03006_));
+ sky130_fd_sc_hd__clkbuf_1 _15865_ (.A(_03006_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01075_));
- sky130_fd_sc_hd__mux2_1 _15906_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[54][5] ),
-    .A1(_02982_),
-    .S(_03024_),
+ sky130_fd_sc_hd__mux2_1 _15866_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[54][5] ),
+    .A1(_02963_),
+    .S(_03005_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03026_));
- sky130_fd_sc_hd__clkbuf_1 _15907_ (.A(_03026_),
+    .X(_03007_));
+ sky130_fd_sc_hd__clkbuf_1 _15867_ (.A(_03007_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01076_));
- sky130_fd_sc_hd__mux2_1 _15908_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[54][6] ),
-    .A1(_02984_),
-    .S(_03024_),
+ sky130_fd_sc_hd__mux2_1 _15868_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[54][6] ),
+    .A1(_02965_),
+    .S(_03005_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03027_));
- sky130_fd_sc_hd__clkbuf_1 _15909_ (.A(_03027_),
+    .X(_03008_));
+ sky130_fd_sc_hd__clkbuf_1 _15869_ (.A(_03008_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01077_));
- sky130_fd_sc_hd__mux2_1 _15910_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[54][7] ),
-    .A1(_02986_),
-    .S(_03024_),
+ sky130_fd_sc_hd__mux2_1 _15870_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[54][7] ),
+    .A1(_02967_),
+    .S(_03005_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03028_));
- sky130_fd_sc_hd__clkbuf_1 _15911_ (.A(_03028_),
+    .X(_03009_));
+ sky130_fd_sc_hd__clkbuf_1 _15871_ (.A(_03009_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01078_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15912_ (.A(_02895_),
+ sky130_fd_sc_hd__clkbuf_2 _15872_ (.A(_02877_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03010_));
+ sky130_fd_sc_hd__or3b_4 _15873_ (.A(_02880_),
+    .B(_02996_),
+    .C_N(_02997_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03011_));
+ sky130_fd_sc_hd__nor2_2 _15874_ (.A(_02981_),
+    .B(_03011_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_03012_));
+ sky130_fd_sc_hd__clkbuf_2 _15875_ (.A(_03012_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03013_));
+ sky130_fd_sc_hd__mux2_1 _15876_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[53][0] ),
+    .A1(_03010_),
+    .S(_03013_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03014_));
+ sky130_fd_sc_hd__clkbuf_1 _15877_ (.A(_03014_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01079_));
+ sky130_fd_sc_hd__clkbuf_2 _15878_ (.A(_02885_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03015_));
+ sky130_fd_sc_hd__mux2_1 _15879_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[53][1] ),
+    .A1(_03015_),
+    .S(_03013_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03016_));
+ sky130_fd_sc_hd__clkbuf_1 _15880_ (.A(_03016_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01080_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15881_ (.A(_02888_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03017_));
+ sky130_fd_sc_hd__mux2_1 _15882_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[53][2] ),
+    .A1(_03017_),
+    .S(_03013_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03018_));
+ sky130_fd_sc_hd__clkbuf_1 _15883_ (.A(_03018_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01081_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15884_ (.A(_02891_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03019_));
+ sky130_fd_sc_hd__mux2_1 _15885_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[53][3] ),
+    .A1(_03019_),
+    .S(_03013_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03020_));
+ sky130_fd_sc_hd__clkbuf_1 _15886_ (.A(_03020_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01082_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15887_ (.A(_02894_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03021_));
+ sky130_fd_sc_hd__clkbuf_4 _15888_ (.A(_03012_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03022_));
+ sky130_fd_sc_hd__mux2_1 _15889_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[53][4] ),
+    .A1(_03021_),
+    .S(_03022_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03023_));
+ sky130_fd_sc_hd__clkbuf_1 _15890_ (.A(_03023_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01083_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15891_ (.A(_02898_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03024_));
+ sky130_fd_sc_hd__mux2_1 _15892_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[53][5] ),
+    .A1(_03024_),
+    .S(_03022_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03025_));
+ sky130_fd_sc_hd__clkbuf_1 _15893_ (.A(_03025_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01084_));
+ sky130_fd_sc_hd__clkbuf_2 _15894_ (.A(_02901_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03026_));
+ sky130_fd_sc_hd__mux2_1 _15895_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[53][6] ),
+    .A1(_03026_),
+    .S(_03022_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03027_));
+ sky130_fd_sc_hd__clkbuf_1 _15896_ (.A(_03027_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01085_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15897_ (.A(_02904_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03028_));
+ sky130_fd_sc_hd__mux2_1 _15898_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[53][7] ),
+    .A1(_03028_),
+    .S(_03022_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_03029_));
- sky130_fd_sc_hd__or3b_4 _15913_ (.A(_03016_),
-    .B(_02898_),
-    .C_N(_02988_),
+ sky130_fd_sc_hd__clkbuf_1 _15899_ (.A(_03029_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01086_));
+ sky130_fd_sc_hd__or3b_4 _15900_ (.A(_02907_),
+    .B(_02996_),
+    .C_N(_02997_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_03030_));
- sky130_fd_sc_hd__nor2_1 _15914_ (.A(_03001_),
+ sky130_fd_sc_hd__nor2_2 _15901_ (.A(_02981_),
     .B(_03030_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_03031_));
- sky130_fd_sc_hd__clkbuf_2 _15915_ (.A(_03031_),
+ sky130_fd_sc_hd__clkbuf_2 _15902_ (.A(_03031_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_03032_));
- sky130_fd_sc_hd__mux2_1 _15916_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[53][0] ),
-    .A1(_03029_),
+ sky130_fd_sc_hd__mux2_1 _15903_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[52][0] ),
+    .A1(_03010_),
     .S(_03032_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_03033_));
- sky130_fd_sc_hd__clkbuf_1 _15917_ (.A(_03033_),
+ sky130_fd_sc_hd__clkbuf_1 _15904_ (.A(_03033_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_01079_));
- sky130_fd_sc_hd__clkbuf_2 _15918_ (.A(_02903_),
+    .X(_01087_));
+ sky130_fd_sc_hd__mux2_1 _15905_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[52][1] ),
+    .A1(_03015_),
+    .S(_03032_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_03034_));
- sky130_fd_sc_hd__mux2_1 _15919_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[53][1] ),
-    .A1(_03034_),
+ sky130_fd_sc_hd__clkbuf_1 _15906_ (.A(_03034_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01088_));
+ sky130_fd_sc_hd__mux2_1 _15907_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[52][2] ),
+    .A1(_03017_),
     .S(_03032_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_03035_));
- sky130_fd_sc_hd__clkbuf_1 _15920_ (.A(_03035_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01080_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15921_ (.A(_02906_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03036_));
- sky130_fd_sc_hd__mux2_1 _15922_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[53][2] ),
-    .A1(_03036_),
-    .S(_03032_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03037_));
- sky130_fd_sc_hd__clkbuf_1 _15923_ (.A(_03037_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01081_));
- sky130_fd_sc_hd__clkbuf_2 _15924_ (.A(_02909_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03038_));
- sky130_fd_sc_hd__mux2_1 _15925_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[53][3] ),
-    .A1(_03038_),
-    .S(_03032_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03039_));
- sky130_fd_sc_hd__clkbuf_1 _15926_ (.A(_03039_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01082_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15927_ (.A(_02912_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03040_));
- sky130_fd_sc_hd__clkbuf_2 _15928_ (.A(_03031_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03041_));
- sky130_fd_sc_hd__mux2_1 _15929_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[53][4] ),
-    .A1(_03040_),
-    .S(_03041_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03042_));
- sky130_fd_sc_hd__clkbuf_1 _15930_ (.A(_03042_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01083_));
- sky130_fd_sc_hd__clkbuf_2 _15931_ (.A(_02916_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03043_));
- sky130_fd_sc_hd__mux2_1 _15932_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[53][5] ),
-    .A1(_03043_),
-    .S(_03041_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03044_));
- sky130_fd_sc_hd__clkbuf_1 _15933_ (.A(_03044_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01084_));
- sky130_fd_sc_hd__clkbuf_1 _15934_ (.A(_02919_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03045_));
- sky130_fd_sc_hd__mux2_1 _15935_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[53][6] ),
-    .A1(_03045_),
-    .S(_03041_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03046_));
- sky130_fd_sc_hd__clkbuf_1 _15936_ (.A(_03046_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01085_));
- sky130_fd_sc_hd__clkbuf_1 _15937_ (.A(_02922_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03047_));
- sky130_fd_sc_hd__mux2_1 _15938_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[53][7] ),
-    .A1(_03047_),
-    .S(_03041_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03048_));
- sky130_fd_sc_hd__clkbuf_1 _15939_ (.A(_03048_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01086_));
- sky130_fd_sc_hd__or3b_4 _15940_ (.A(_03016_),
-    .B(_02926_),
-    .C_N(_02988_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03049_));
- sky130_fd_sc_hd__nor2_1 _15941_ (.A(_03001_),
-    .B(_03049_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_03050_));
- sky130_fd_sc_hd__clkbuf_2 _15942_ (.A(_03050_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03051_));
- sky130_fd_sc_hd__mux2_1 _15943_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[52][0] ),
-    .A1(_03029_),
-    .S(_03051_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03052_));
- sky130_fd_sc_hd__clkbuf_1 _15944_ (.A(_03052_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01087_));
- sky130_fd_sc_hd__mux2_1 _15945_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[52][1] ),
-    .A1(_03034_),
-    .S(_03051_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03053_));
- sky130_fd_sc_hd__clkbuf_1 _15946_ (.A(_03053_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01088_));
- sky130_fd_sc_hd__mux2_1 _15947_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[52][2] ),
-    .A1(_03036_),
-    .S(_03051_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03054_));
- sky130_fd_sc_hd__clkbuf_1 _15948_ (.A(_03054_),
+ sky130_fd_sc_hd__clkbuf_1 _15908_ (.A(_03035_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01089_));
- sky130_fd_sc_hd__mux2_1 _15949_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[52][3] ),
-    .A1(_03038_),
-    .S(_03051_),
+ sky130_fd_sc_hd__mux2_1 _15909_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[52][3] ),
+    .A1(_03019_),
+    .S(_03032_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03055_));
- sky130_fd_sc_hd__clkbuf_1 _15950_ (.A(_03055_),
+    .X(_03036_));
+ sky130_fd_sc_hd__clkbuf_1 _15910_ (.A(_03036_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01090_));
- sky130_fd_sc_hd__clkbuf_2 _15951_ (.A(_03050_),
+ sky130_fd_sc_hd__buf_2 _15911_ (.A(_03031_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03056_));
- sky130_fd_sc_hd__mux2_1 _15952_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[52][4] ),
-    .A1(_03040_),
-    .S(_03056_),
+    .X(_03037_));
+ sky130_fd_sc_hd__mux2_1 _15912_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[52][4] ),
+    .A1(_03021_),
+    .S(_03037_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03057_));
- sky130_fd_sc_hd__clkbuf_1 _15953_ (.A(_03057_),
+    .X(_03038_));
+ sky130_fd_sc_hd__clkbuf_1 _15913_ (.A(_03038_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01091_));
- sky130_fd_sc_hd__mux2_1 _15954_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[52][5] ),
-    .A1(_03043_),
-    .S(_03056_),
+ sky130_fd_sc_hd__mux2_1 _15914_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[52][5] ),
+    .A1(_03024_),
+    .S(_03037_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03058_));
- sky130_fd_sc_hd__clkbuf_1 _15955_ (.A(_03058_),
+    .X(_03039_));
+ sky130_fd_sc_hd__clkbuf_1 _15915_ (.A(_03039_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01092_));
- sky130_fd_sc_hd__mux2_1 _15956_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[52][6] ),
-    .A1(_03045_),
-    .S(_03056_),
+ sky130_fd_sc_hd__mux2_1 _15916_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[52][6] ),
+    .A1(_03026_),
+    .S(_03037_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03059_));
- sky130_fd_sc_hd__clkbuf_1 _15957_ (.A(_03059_),
+    .X(_03040_));
+ sky130_fd_sc_hd__clkbuf_1 _15917_ (.A(_03040_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01093_));
- sky130_fd_sc_hd__mux2_1 _15958_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[52][7] ),
-    .A1(_03047_),
-    .S(_03056_),
+ sky130_fd_sc_hd__mux2_1 _15918_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[52][7] ),
+    .A1(_03028_),
+    .S(_03037_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03060_));
- sky130_fd_sc_hd__clkbuf_1 _15959_ (.A(_03060_),
+    .X(_03041_));
+ sky130_fd_sc_hd__clkbuf_1 _15919_ (.A(_03041_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01094_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15960_ (.A(_02806_),
+ sky130_fd_sc_hd__buf_2 _15920_ (.A(_02788_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03061_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15961_ (.A(_02940_),
+    .X(_03042_));
+ sky130_fd_sc_hd__clkbuf_1 _15921_ (.A(_02923_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03062_));
- sky130_fd_sc_hd__clkbuf_1 _15962_ (.A(_02942_),
+    .X(_03043_));
+ sky130_fd_sc_hd__clkbuf_1 _15922_ (.A(_02922_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03063_));
- sky130_fd_sc_hd__or3_4 _15963_ (.A(_03062_),
-    .B(_03063_),
-    .C(_02809_),
+    .X(_03044_));
+ sky130_fd_sc_hd__or3_4 _15923_ (.A(_03043_),
+    .B(_03044_),
+    .C(_02790_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03064_));
- sky130_fd_sc_hd__nor2_1 _15964_ (.A(_03061_),
-    .B(_03064_),
+    .X(_03045_));
+ sky130_fd_sc_hd__nor2_2 _15924_ (.A(_03042_),
+    .B(_03045_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03065_));
- sky130_fd_sc_hd__clkbuf_2 _15965_ (.A(_03065_),
+    .Y(_03046_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15925_ (.A(_03046_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03066_));
- sky130_fd_sc_hd__mux2_1 _15966_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[51][0] ),
-    .A1(_03029_),
-    .S(_03066_),
+    .X(_03047_));
+ sky130_fd_sc_hd__mux2_1 _15926_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[51][0] ),
+    .A1(_03010_),
+    .S(_03047_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03067_));
- sky130_fd_sc_hd__clkbuf_1 _15967_ (.A(_03067_),
+    .X(_03048_));
+ sky130_fd_sc_hd__clkbuf_1 _15927_ (.A(_03048_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01095_));
- sky130_fd_sc_hd__mux2_1 _15968_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[51][1] ),
-    .A1(_03034_),
-    .S(_03066_),
+ sky130_fd_sc_hd__mux2_1 _15928_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[51][1] ),
+    .A1(_03015_),
+    .S(_03047_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03068_));
- sky130_fd_sc_hd__clkbuf_1 _15969_ (.A(_03068_),
+    .X(_03049_));
+ sky130_fd_sc_hd__clkbuf_1 _15929_ (.A(_03049_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01096_));
- sky130_fd_sc_hd__mux2_1 _15970_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[51][2] ),
-    .A1(_03036_),
-    .S(_03066_),
+ sky130_fd_sc_hd__mux2_1 _15930_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[51][2] ),
+    .A1(_03017_),
+    .S(_03047_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03069_));
- sky130_fd_sc_hd__clkbuf_1 _15971_ (.A(_03069_),
+    .X(_03050_));
+ sky130_fd_sc_hd__clkbuf_1 _15931_ (.A(_03050_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01097_));
- sky130_fd_sc_hd__mux2_1 _15972_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[51][3] ),
-    .A1(_03038_),
-    .S(_03066_),
+ sky130_fd_sc_hd__mux2_1 _15932_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[51][3] ),
+    .A1(_03019_),
+    .S(_03047_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03070_));
- sky130_fd_sc_hd__clkbuf_1 _15973_ (.A(_03070_),
+    .X(_03051_));
+ sky130_fd_sc_hd__clkbuf_1 _15933_ (.A(_03051_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01098_));
- sky130_fd_sc_hd__clkbuf_2 _15974_ (.A(_03065_),
+ sky130_fd_sc_hd__buf_2 _15934_ (.A(_03046_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03071_));
- sky130_fd_sc_hd__mux2_1 _15975_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[51][4] ),
-    .A1(_03040_),
-    .S(_03071_),
+    .X(_03052_));
+ sky130_fd_sc_hd__mux2_1 _15935_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[51][4] ),
+    .A1(_03021_),
+    .S(_03052_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03072_));
- sky130_fd_sc_hd__clkbuf_1 _15976_ (.A(_03072_),
+    .X(_03053_));
+ sky130_fd_sc_hd__clkbuf_1 _15936_ (.A(_03053_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01099_));
- sky130_fd_sc_hd__mux2_1 _15977_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[51][5] ),
-    .A1(_03043_),
-    .S(_03071_),
+ sky130_fd_sc_hd__mux2_1 _15937_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[51][5] ),
+    .A1(_03024_),
+    .S(_03052_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03073_));
- sky130_fd_sc_hd__clkbuf_1 _15978_ (.A(_03073_),
+    .X(_03054_));
+ sky130_fd_sc_hd__clkbuf_1 _15938_ (.A(_03054_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01100_));
- sky130_fd_sc_hd__mux2_1 _15979_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[51][6] ),
-    .A1(_03045_),
-    .S(_03071_),
+ sky130_fd_sc_hd__mux2_1 _15939_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[51][6] ),
+    .A1(_03026_),
+    .S(_03052_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03074_));
- sky130_fd_sc_hd__clkbuf_1 _15980_ (.A(_03074_),
+    .X(_03055_));
+ sky130_fd_sc_hd__clkbuf_1 _15940_ (.A(_03055_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01101_));
- sky130_fd_sc_hd__mux2_1 _15981_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[51][7] ),
-    .A1(_03047_),
-    .S(_03071_),
+ sky130_fd_sc_hd__mux2_1 _15941_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[51][7] ),
+    .A1(_03028_),
+    .S(_03052_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03075_));
- sky130_fd_sc_hd__clkbuf_1 _15982_ (.A(_03075_),
+    .X(_03056_));
+ sky130_fd_sc_hd__clkbuf_1 _15942_ (.A(_03056_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01102_));
- sky130_fd_sc_hd__or3_4 _15983_ (.A(_03062_),
-    .B(_03063_),
-    .C(_02882_),
+ sky130_fd_sc_hd__or3_4 _15943_ (.A(_03043_),
+    .B(_03044_),
+    .C(_02864_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03076_));
- sky130_fd_sc_hd__nor2_1 _15984_ (.A(_03061_),
-    .B(_03076_),
+    .X(_03057_));
+ sky130_fd_sc_hd__nor2_2 _15944_ (.A(_03042_),
+    .B(_03057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03077_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _15985_ (.A(_03077_),
+    .Y(_03058_));
+ sky130_fd_sc_hd__clkbuf_2 _15945_ (.A(_03058_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03078_));
- sky130_fd_sc_hd__mux2_1 _15986_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[50][0] ),
-    .A1(_03029_),
-    .S(_03078_),
+    .X(_03059_));
+ sky130_fd_sc_hd__mux2_1 _15946_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[50][0] ),
+    .A1(_03010_),
+    .S(_03059_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03079_));
- sky130_fd_sc_hd__clkbuf_1 _15987_ (.A(_03079_),
+    .X(_03060_));
+ sky130_fd_sc_hd__clkbuf_1 _15947_ (.A(_03060_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01103_));
- sky130_fd_sc_hd__mux2_1 _15988_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[50][1] ),
-    .A1(_03034_),
-    .S(_03078_),
+ sky130_fd_sc_hd__mux2_1 _15948_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[50][1] ),
+    .A1(_03015_),
+    .S(_03059_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03080_));
- sky130_fd_sc_hd__clkbuf_1 _15989_ (.A(_03080_),
+    .X(_03061_));
+ sky130_fd_sc_hd__clkbuf_1 _15949_ (.A(_03061_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01104_));
- sky130_fd_sc_hd__mux2_1 _15990_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[50][2] ),
-    .A1(_03036_),
-    .S(_03078_),
+ sky130_fd_sc_hd__mux2_1 _15950_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[50][2] ),
+    .A1(_03017_),
+    .S(_03059_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03081_));
- sky130_fd_sc_hd__clkbuf_1 _15991_ (.A(_03081_),
+    .X(_03062_));
+ sky130_fd_sc_hd__clkbuf_1 _15951_ (.A(_03062_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01105_));
- sky130_fd_sc_hd__mux2_1 _15992_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[50][3] ),
-    .A1(_03038_),
-    .S(_03078_),
+ sky130_fd_sc_hd__mux2_1 _15952_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[50][3] ),
+    .A1(_03019_),
+    .S(_03059_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03082_));
- sky130_fd_sc_hd__clkbuf_1 _15993_ (.A(_03082_),
+    .X(_03063_));
+ sky130_fd_sc_hd__clkbuf_1 _15953_ (.A(_03063_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01106_));
- sky130_fd_sc_hd__clkbuf_2 _15994_ (.A(_03077_),
+ sky130_fd_sc_hd__clkbuf_2 _15954_ (.A(_03058_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03083_));
- sky130_fd_sc_hd__mux2_1 _15995_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[50][4] ),
-    .A1(_03040_),
-    .S(_03083_),
+    .X(_03064_));
+ sky130_fd_sc_hd__mux2_1 _15955_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[50][4] ),
+    .A1(_03021_),
+    .S(_03064_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03084_));
- sky130_fd_sc_hd__clkbuf_1 _15996_ (.A(_03084_),
+    .X(_03065_));
+ sky130_fd_sc_hd__clkbuf_1 _15956_ (.A(_03065_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01107_));
- sky130_fd_sc_hd__mux2_1 _15997_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[50][5] ),
-    .A1(_03043_),
-    .S(_03083_),
+ sky130_fd_sc_hd__mux2_1 _15957_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[50][5] ),
+    .A1(_03024_),
+    .S(_03064_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03085_));
- sky130_fd_sc_hd__clkbuf_1 _15998_ (.A(_03085_),
+    .X(_03066_));
+ sky130_fd_sc_hd__clkbuf_1 _15958_ (.A(_03066_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01108_));
- sky130_fd_sc_hd__mux2_1 _15999_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[50][6] ),
-    .A1(_03045_),
-    .S(_03083_),
+ sky130_fd_sc_hd__mux2_1 _15959_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[50][6] ),
+    .A1(_03026_),
+    .S(_03064_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03086_));
- sky130_fd_sc_hd__clkbuf_1 _16000_ (.A(_03086_),
+    .X(_03067_));
+ sky130_fd_sc_hd__clkbuf_1 _15960_ (.A(_03067_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01109_));
- sky130_fd_sc_hd__mux2_1 _16001_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[50][7] ),
-    .A1(_03047_),
-    .S(_03083_),
+ sky130_fd_sc_hd__mux2_1 _15961_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[50][7] ),
+    .A1(_03028_),
+    .S(_03064_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03087_));
- sky130_fd_sc_hd__clkbuf_1 _16002_ (.A(_03087_),
+    .X(_03068_));
+ sky130_fd_sc_hd__clkbuf_1 _15962_ (.A(_03068_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01110_));
- sky130_fd_sc_hd__clkbuf_4 _16003_ (.A(_02304_),
+ sky130_fd_sc_hd__clkbuf_4 _15963_ (.A(_09603_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03088_));
- sky130_fd_sc_hd__clkbuf_1 _16004_ (.A(_03088_),
+    .X(_03069_));
+ sky130_fd_sc_hd__clkbuf_1 _15964_ (.A(_03069_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03089_));
- sky130_fd_sc_hd__or3_4 _16005_ (.A(_03062_),
-    .B(_03063_),
-    .C(_02898_),
+    .X(_03070_));
+ sky130_fd_sc_hd__or3_4 _15965_ (.A(_03043_),
+    .B(_03044_),
+    .C(_02880_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03090_));
- sky130_fd_sc_hd__nor2_1 _16006_ (.A(_03061_),
-    .B(_03090_),
+    .X(_03071_));
+ sky130_fd_sc_hd__nor2_1 _15966_ (.A(_03042_),
+    .B(_03071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03091_));
- sky130_fd_sc_hd__buf_2 _16007_ (.A(_03091_),
+    .Y(_03072_));
+ sky130_fd_sc_hd__clkbuf_2 _15967_ (.A(_03072_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03092_));
- sky130_fd_sc_hd__mux2_1 _16008_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[49][0] ),
-    .A1(_03089_),
-    .S(_03092_),
+    .X(_03073_));
+ sky130_fd_sc_hd__mux2_1 _15968_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[49][0] ),
+    .A1(_03070_),
+    .S(_03073_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03093_));
- sky130_fd_sc_hd__clkbuf_1 _16009_ (.A(_03093_),
+    .X(_03074_));
+ sky130_fd_sc_hd__clkbuf_1 _15969_ (.A(_03074_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01111_));
- sky130_fd_sc_hd__clkbuf_4 _16010_ (.A(_02310_),
+ sky130_fd_sc_hd__buf_4 _15970_ (.A(_09609_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03094_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _16011_ (.A(_03094_),
+    .X(_03075_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15971_ (.A(_03075_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03095_));
- sky130_fd_sc_hd__mux2_1 _16012_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[49][1] ),
-    .A1(_03095_),
-    .S(_03092_),
+    .X(_03076_));
+ sky130_fd_sc_hd__mux2_1 _15972_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[49][1] ),
+    .A1(_03076_),
+    .S(_03073_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03096_));
- sky130_fd_sc_hd__clkbuf_1 _16013_ (.A(_03096_),
+    .X(_03077_));
+ sky130_fd_sc_hd__clkbuf_1 _15973_ (.A(_03077_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01112_));
- sky130_fd_sc_hd__clkbuf_2 _16014_ (.A(_02314_),
+ sky130_fd_sc_hd__buf_4 _15974_ (.A(_09613_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03097_));
- sky130_fd_sc_hd__clkbuf_1 _16015_ (.A(_03097_),
+    .X(_03078_));
+ sky130_fd_sc_hd__clkbuf_1 _15975_ (.A(_03078_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03098_));
- sky130_fd_sc_hd__mux2_1 _16016_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[49][2] ),
-    .A1(_03098_),
-    .S(_03092_),
+    .X(_03079_));
+ sky130_fd_sc_hd__mux2_1 _15976_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[49][2] ),
+    .A1(_03079_),
+    .S(_03073_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03099_));
- sky130_fd_sc_hd__clkbuf_1 _16017_ (.A(_03099_),
+    .X(_03080_));
+ sky130_fd_sc_hd__clkbuf_1 _15977_ (.A(_03080_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01113_));
- sky130_fd_sc_hd__clkbuf_2 _16018_ (.A(_02318_),
+ sky130_fd_sc_hd__buf_4 _15978_ (.A(_09617_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03100_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _16019_ (.A(_03100_),
+    .X(_03081_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15979_ (.A(_03081_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03101_));
- sky130_fd_sc_hd__mux2_1 _16020_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[49][3] ),
-    .A1(_03101_),
-    .S(_03092_),
+    .X(_03082_));
+ sky130_fd_sc_hd__mux2_1 _15980_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[49][3] ),
+    .A1(_03082_),
+    .S(_03073_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03102_));
- sky130_fd_sc_hd__clkbuf_1 _16021_ (.A(_03102_),
+    .X(_03083_));
+ sky130_fd_sc_hd__clkbuf_1 _15981_ (.A(_03083_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01114_));
- sky130_fd_sc_hd__clkbuf_2 _16022_ (.A(_02322_),
+ sky130_fd_sc_hd__clkbuf_2 _15982_ (.A(_09621_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03103_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _16023_ (.A(_03103_),
+    .X(_03084_));
+ sky130_fd_sc_hd__clkbuf_1 _15983_ (.A(_03084_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03104_));
- sky130_fd_sc_hd__buf_2 _16024_ (.A(_03091_),
+    .X(_03085_));
+ sky130_fd_sc_hd__clkbuf_2 _15984_ (.A(_03072_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03105_));
- sky130_fd_sc_hd__mux2_1 _16025_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[49][4] ),
-    .A1(_03104_),
-    .S(_03105_),
+    .X(_03086_));
+ sky130_fd_sc_hd__mux2_1 _15985_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[49][4] ),
+    .A1(_03085_),
+    .S(_03086_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03106_));
- sky130_fd_sc_hd__clkbuf_1 _16026_ (.A(_03106_),
+    .X(_03087_));
+ sky130_fd_sc_hd__clkbuf_1 _15986_ (.A(_03087_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01115_));
- sky130_fd_sc_hd__clkbuf_2 _16027_ (.A(_02331_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15987_ (.A(_09630_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03107_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _16028_ (.A(_03107_),
+    .X(_03088_));
+ sky130_fd_sc_hd__clkbuf_1 _15988_ (.A(_03088_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03108_));
- sky130_fd_sc_hd__mux2_1 _16029_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[49][5] ),
-    .A1(_03108_),
-    .S(_03105_),
+    .X(_03089_));
+ sky130_fd_sc_hd__mux2_1 _15989_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[49][5] ),
+    .A1(_03089_),
+    .S(_03086_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03109_));
- sky130_fd_sc_hd__clkbuf_1 _16030_ (.A(_03109_),
+    .X(_03090_));
+ sky130_fd_sc_hd__clkbuf_1 _15990_ (.A(_03090_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01116_));
- sky130_fd_sc_hd__clkbuf_4 _16031_ (.A(_02338_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15991_ (.A(_02305_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03110_));
- sky130_fd_sc_hd__clkbuf_1 _16032_ (.A(_03110_),
+    .X(_03091_));
+ sky130_fd_sc_hd__clkbuf_1 _15992_ (.A(_03091_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03111_));
- sky130_fd_sc_hd__mux2_1 _16033_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[49][6] ),
-    .A1(_03111_),
-    .S(_03105_),
+    .X(_03092_));
+ sky130_fd_sc_hd__mux2_1 _15993_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[49][6] ),
+    .A1(_03092_),
+    .S(_03086_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03112_));
- sky130_fd_sc_hd__clkbuf_1 _16034_ (.A(_03112_),
+    .X(_03093_));
+ sky130_fd_sc_hd__clkbuf_1 _15994_ (.A(_03093_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01117_));
- sky130_fd_sc_hd__clkbuf_2 _16035_ (.A(_02344_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _15995_ (.A(_02311_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03113_));
- sky130_fd_sc_hd__clkbuf_1 _16036_ (.A(_03113_),
+    .X(_03094_));
+ sky130_fd_sc_hd__clkbuf_1 _15996_ (.A(_03094_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03114_));
- sky130_fd_sc_hd__mux2_1 _16037_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[49][7] ),
-    .A1(_03114_),
-    .S(_03105_),
+    .X(_03095_));
+ sky130_fd_sc_hd__mux2_1 _15997_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[49][7] ),
+    .A1(_03095_),
+    .S(_03086_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03115_));
- sky130_fd_sc_hd__clkbuf_1 _16038_ (.A(_03115_),
+    .X(_03096_));
+ sky130_fd_sc_hd__clkbuf_1 _15998_ (.A(_03096_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01118_));
- sky130_fd_sc_hd__or3_4 _16039_ (.A(_02941_),
-    .B(_03016_),
-    .C(_02926_),
+ sky130_fd_sc_hd__or3_4 _15999_ (.A(_02996_),
+    .B(_02997_),
+    .C(_02908_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03097_));
+ sky130_fd_sc_hd__nor2_1 _16000_ (.A(_03042_),
+    .B(_03097_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_03098_));
+ sky130_fd_sc_hd__buf_2 _16001_ (.A(_03098_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03099_));
+ sky130_fd_sc_hd__mux2_1 _16002_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[48][0] ),
+    .A1(_03070_),
+    .S(_03099_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03100_));
+ sky130_fd_sc_hd__clkbuf_1 _16003_ (.A(_03100_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01119_));
+ sky130_fd_sc_hd__mux2_1 _16004_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[48][1] ),
+    .A1(_03076_),
+    .S(_03099_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03101_));
+ sky130_fd_sc_hd__clkbuf_1 _16005_ (.A(_03101_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01120_));
+ sky130_fd_sc_hd__mux2_1 _16006_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[48][2] ),
+    .A1(_03079_),
+    .S(_03099_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03102_));
+ sky130_fd_sc_hd__clkbuf_1 _16007_ (.A(_03102_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01121_));
+ sky130_fd_sc_hd__mux2_1 _16008_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[48][3] ),
+    .A1(_03082_),
+    .S(_03099_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03103_));
+ sky130_fd_sc_hd__clkbuf_1 _16009_ (.A(_03103_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01122_));
+ sky130_fd_sc_hd__clkbuf_2 _16010_ (.A(_03098_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03104_));
+ sky130_fd_sc_hd__mux2_1 _16011_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[48][4] ),
+    .A1(_03085_),
+    .S(_03104_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03105_));
+ sky130_fd_sc_hd__clkbuf_1 _16012_ (.A(_03105_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01123_));
+ sky130_fd_sc_hd__mux2_1 _16013_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[48][5] ),
+    .A1(_03089_),
+    .S(_03104_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03106_));
+ sky130_fd_sc_hd__clkbuf_1 _16014_ (.A(_03106_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01124_));
+ sky130_fd_sc_hd__mux2_1 _16015_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[48][6] ),
+    .A1(_03092_),
+    .S(_03104_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03107_));
+ sky130_fd_sc_hd__clkbuf_1 _16016_ (.A(_03107_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01125_));
+ sky130_fd_sc_hd__mux2_1 _16017_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[48][7] ),
+    .A1(_03095_),
+    .S(_03104_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03108_));
+ sky130_fd_sc_hd__clkbuf_1 _16018_ (.A(_03108_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01126_));
+ sky130_fd_sc_hd__clkbuf_1 _16019_ (.A(\u_usb_host.u_core.u_fifo_tx.wr_ptr[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03109_));
+ sky130_fd_sc_hd__or3b_4 _16020_ (.A(_03109_),
+    .B(_02787_),
+    .C_N(net106),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03110_));
+ sky130_fd_sc_hd__clkbuf_1 _16021_ (.A(_03110_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03111_));
+ sky130_fd_sc_hd__nor2_1 _16022_ (.A(_02793_),
+    .B(_03111_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_03112_));
+ sky130_fd_sc_hd__buf_2 _16023_ (.A(_03112_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03113_));
+ sky130_fd_sc_hd__mux2_1 _16024_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[47][0] ),
+    .A1(_03070_),
+    .S(_03113_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03114_));
+ sky130_fd_sc_hd__clkbuf_1 _16025_ (.A(_03114_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01127_));
+ sky130_fd_sc_hd__mux2_1 _16026_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[47][1] ),
+    .A1(_03076_),
+    .S(_03113_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03115_));
+ sky130_fd_sc_hd__clkbuf_1 _16027_ (.A(_03115_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01128_));
+ sky130_fd_sc_hd__mux2_1 _16028_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[47][2] ),
+    .A1(_03079_),
+    .S(_03113_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_03116_));
- sky130_fd_sc_hd__nor2_1 _16040_ (.A(_03061_),
-    .B(_03116_),
+ sky130_fd_sc_hd__clkbuf_1 _16029_ (.A(_03116_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03117_));
- sky130_fd_sc_hd__buf_2 _16041_ (.A(_03117_),
+    .X(_01129_));
+ sky130_fd_sc_hd__mux2_1 _16030_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[47][3] ),
+    .A1(_03082_),
+    .S(_03113_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03117_));
+ sky130_fd_sc_hd__clkbuf_1 _16031_ (.A(_03117_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01130_));
+ sky130_fd_sc_hd__clkbuf_2 _16032_ (.A(_03112_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_03118_));
- sky130_fd_sc_hd__mux2_1 _16042_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[48][0] ),
-    .A1(_03089_),
+ sky130_fd_sc_hd__mux2_1 _16033_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[47][4] ),
+    .A1(_03085_),
     .S(_03118_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_03119_));
- sky130_fd_sc_hd__clkbuf_1 _16043_ (.A(_03119_),
+ sky130_fd_sc_hd__clkbuf_1 _16034_ (.A(_03119_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_01119_));
- sky130_fd_sc_hd__mux2_1 _16044_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[48][1] ),
-    .A1(_03095_),
+    .X(_01131_));
+ sky130_fd_sc_hd__mux2_1 _16035_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[47][5] ),
+    .A1(_03089_),
     .S(_03118_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_03120_));
- sky130_fd_sc_hd__clkbuf_1 _16045_ (.A(_03120_),
+ sky130_fd_sc_hd__clkbuf_1 _16036_ (.A(_03120_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_01120_));
- sky130_fd_sc_hd__mux2_1 _16046_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[48][2] ),
-    .A1(_03098_),
+    .X(_01132_));
+ sky130_fd_sc_hd__mux2_1 _16037_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[47][6] ),
+    .A1(_03092_),
     .S(_03118_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_03121_));
- sky130_fd_sc_hd__clkbuf_1 _16047_ (.A(_03121_),
+ sky130_fd_sc_hd__clkbuf_1 _16038_ (.A(_03121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_01121_));
- sky130_fd_sc_hd__mux2_1 _16048_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[48][3] ),
-    .A1(_03101_),
+    .X(_01133_));
+ sky130_fd_sc_hd__mux2_1 _16039_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[47][7] ),
+    .A1(_03095_),
     .S(_03118_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_03122_));
- sky130_fd_sc_hd__clkbuf_1 _16049_ (.A(_03122_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01122_));
- sky130_fd_sc_hd__clkbuf_2 _16050_ (.A(_03117_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03123_));
- sky130_fd_sc_hd__mux2_1 _16051_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[48][4] ),
-    .A1(_03104_),
-    .S(_03123_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03124_));
- sky130_fd_sc_hd__clkbuf_1 _16052_ (.A(_03124_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01123_));
- sky130_fd_sc_hd__mux2_1 _16053_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[48][5] ),
-    .A1(_03108_),
-    .S(_03123_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03125_));
- sky130_fd_sc_hd__clkbuf_1 _16054_ (.A(_03125_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01124_));
- sky130_fd_sc_hd__mux2_1 _16055_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[48][6] ),
-    .A1(_03111_),
-    .S(_03123_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03126_));
- sky130_fd_sc_hd__clkbuf_1 _16056_ (.A(_03126_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01125_));
- sky130_fd_sc_hd__mux2_1 _16057_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[48][7] ),
-    .A1(_03114_),
-    .S(_03123_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03127_));
- sky130_fd_sc_hd__clkbuf_1 _16058_ (.A(_03127_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01126_));
- sky130_fd_sc_hd__clkbuf_1 _16059_ (.A(\u_usb_host.u_core.u_fifo_tx.wr_ptr[4] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03128_));
- sky130_fd_sc_hd__or3b_4 _16060_ (.A(_03128_),
-    .B(_02805_),
-    .C_N(net110),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03129_));
- sky130_fd_sc_hd__buf_2 _16061_ (.A(_03129_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03130_));
- sky130_fd_sc_hd__nor2_4 _16062_ (.A(_02811_),
-    .B(_03130_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_03131_));
- sky130_fd_sc_hd__buf_2 _16063_ (.A(_03131_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03132_));
- sky130_fd_sc_hd__mux2_1 _16064_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[47][0] ),
-    .A1(_03089_),
-    .S(_03132_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03133_));
- sky130_fd_sc_hd__clkbuf_1 _16065_ (.A(_03133_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01127_));
- sky130_fd_sc_hd__mux2_1 _16066_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[47][1] ),
-    .A1(_03095_),
-    .S(_03132_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03134_));
- sky130_fd_sc_hd__clkbuf_1 _16067_ (.A(_03134_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01128_));
- sky130_fd_sc_hd__mux2_1 _16068_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[47][2] ),
-    .A1(_03098_),
-    .S(_03132_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03135_));
- sky130_fd_sc_hd__clkbuf_1 _16069_ (.A(_03135_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01129_));
- sky130_fd_sc_hd__mux2_1 _16070_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[47][3] ),
-    .A1(_03101_),
-    .S(_03132_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03136_));
- sky130_fd_sc_hd__clkbuf_1 _16071_ (.A(_03136_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01130_));
- sky130_fd_sc_hd__clkbuf_2 _16072_ (.A(_03131_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03137_));
- sky130_fd_sc_hd__mux2_1 _16073_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[47][4] ),
-    .A1(_03104_),
-    .S(_03137_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03138_));
- sky130_fd_sc_hd__clkbuf_1 _16074_ (.A(_03138_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01131_));
- sky130_fd_sc_hd__mux2_1 _16075_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[47][5] ),
-    .A1(_03108_),
-    .S(_03137_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03139_));
- sky130_fd_sc_hd__clkbuf_1 _16076_ (.A(_03139_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01132_));
- sky130_fd_sc_hd__mux2_1 _16077_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[47][6] ),
-    .A1(_03111_),
-    .S(_03137_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03140_));
- sky130_fd_sc_hd__clkbuf_1 _16078_ (.A(_03140_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01133_));
- sky130_fd_sc_hd__mux2_1 _16079_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[47][7] ),
-    .A1(_03114_),
-    .S(_03137_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03141_));
- sky130_fd_sc_hd__clkbuf_1 _16080_ (.A(_03141_),
+ sky130_fd_sc_hd__clkbuf_1 _16040_ (.A(_03122_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01134_));
- sky130_fd_sc_hd__nor2_4 _16081_ (.A(_02883_),
-    .B(_03130_),
+ sky130_fd_sc_hd__nor2_1 _16041_ (.A(_02865_),
+    .B(_03111_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03142_));
- sky130_fd_sc_hd__buf_2 _16082_ (.A(_03142_),
+    .Y(_03123_));
+ sky130_fd_sc_hd__clkbuf_2 _16042_ (.A(_03123_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03143_));
- sky130_fd_sc_hd__mux2_1 _16083_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[46][0] ),
-    .A1(_03089_),
-    .S(_03143_),
+    .X(_03124_));
+ sky130_fd_sc_hd__mux2_1 _16043_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[46][0] ),
+    .A1(_03070_),
+    .S(_03124_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03144_));
- sky130_fd_sc_hd__clkbuf_1 _16084_ (.A(_03144_),
+    .X(_03125_));
+ sky130_fd_sc_hd__clkbuf_1 _16044_ (.A(_03125_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01135_));
- sky130_fd_sc_hd__mux2_1 _16085_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[46][1] ),
-    .A1(_03095_),
-    .S(_03143_),
+ sky130_fd_sc_hd__mux2_1 _16045_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[46][1] ),
+    .A1(_03076_),
+    .S(_03124_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03145_));
- sky130_fd_sc_hd__clkbuf_1 _16086_ (.A(_03145_),
+    .X(_03126_));
+ sky130_fd_sc_hd__clkbuf_1 _16046_ (.A(_03126_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01136_));
- sky130_fd_sc_hd__mux2_1 _16087_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[46][2] ),
-    .A1(_03098_),
-    .S(_03143_),
+ sky130_fd_sc_hd__mux2_1 _16047_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[46][2] ),
+    .A1(_03079_),
+    .S(_03124_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03146_));
- sky130_fd_sc_hd__clkbuf_1 _16088_ (.A(_03146_),
+    .X(_03127_));
+ sky130_fd_sc_hd__clkbuf_1 _16048_ (.A(_03127_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01137_));
- sky130_fd_sc_hd__mux2_1 _16089_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[46][3] ),
-    .A1(_03101_),
-    .S(_03143_),
+ sky130_fd_sc_hd__mux2_1 _16049_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[46][3] ),
+    .A1(_03082_),
+    .S(_03124_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03147_));
- sky130_fd_sc_hd__clkbuf_1 _16090_ (.A(_03147_),
+    .X(_03128_));
+ sky130_fd_sc_hd__clkbuf_1 _16050_ (.A(_03128_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01138_));
- sky130_fd_sc_hd__clkbuf_2 _16091_ (.A(_03142_),
+ sky130_fd_sc_hd__clkbuf_2 _16051_ (.A(_03123_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03148_));
- sky130_fd_sc_hd__mux2_1 _16092_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[46][4] ),
-    .A1(_03104_),
-    .S(_03148_),
+    .X(_03129_));
+ sky130_fd_sc_hd__mux2_1 _16052_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[46][4] ),
+    .A1(_03085_),
+    .S(_03129_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03149_));
- sky130_fd_sc_hd__clkbuf_1 _16093_ (.A(_03149_),
+    .X(_03130_));
+ sky130_fd_sc_hd__clkbuf_1 _16053_ (.A(_03130_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01139_));
- sky130_fd_sc_hd__mux2_1 _16094_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[46][5] ),
-    .A1(_03108_),
-    .S(_03148_),
+ sky130_fd_sc_hd__mux2_1 _16054_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[46][5] ),
+    .A1(_03089_),
+    .S(_03129_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03150_));
- sky130_fd_sc_hd__clkbuf_1 _16095_ (.A(_03150_),
+    .X(_03131_));
+ sky130_fd_sc_hd__clkbuf_1 _16055_ (.A(_03131_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01140_));
- sky130_fd_sc_hd__mux2_1 _16096_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[46][6] ),
-    .A1(_03111_),
-    .S(_03148_),
+ sky130_fd_sc_hd__mux2_1 _16056_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[46][6] ),
+    .A1(_03092_),
+    .S(_03129_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03151_));
- sky130_fd_sc_hd__clkbuf_1 _16097_ (.A(_03151_),
+    .X(_03132_));
+ sky130_fd_sc_hd__clkbuf_1 _16057_ (.A(_03132_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01141_));
- sky130_fd_sc_hd__mux2_1 _16098_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[46][7] ),
-    .A1(_03114_),
-    .S(_03148_),
+ sky130_fd_sc_hd__mux2_1 _16058_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[46][7] ),
+    .A1(_03095_),
+    .S(_03129_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03152_));
- sky130_fd_sc_hd__clkbuf_1 _16099_ (.A(_03152_),
+    .X(_03133_));
+ sky130_fd_sc_hd__clkbuf_1 _16059_ (.A(_03133_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01142_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _16100_ (.A(_03088_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _16060_ (.A(_03069_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03153_));
- sky130_fd_sc_hd__nor2_2 _16101_ (.A(_02899_),
-    .B(_03130_),
+    .X(_03134_));
+ sky130_fd_sc_hd__nor2_1 _16061_ (.A(_02881_),
+    .B(_03111_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03154_));
- sky130_fd_sc_hd__clkbuf_2 _16102_ (.A(_03154_),
+    .Y(_03135_));
+ sky130_fd_sc_hd__clkbuf_2 _16062_ (.A(_03135_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03155_));
- sky130_fd_sc_hd__mux2_1 _16103_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[45][0] ),
-    .A1(_03153_),
-    .S(_03155_),
+    .X(_03136_));
+ sky130_fd_sc_hd__mux2_1 _16063_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[45][0] ),
+    .A1(_03134_),
+    .S(_03136_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03156_));
- sky130_fd_sc_hd__clkbuf_1 _16104_ (.A(_03156_),
+    .X(_03137_));
+ sky130_fd_sc_hd__clkbuf_1 _16064_ (.A(_03137_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01143_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _16105_ (.A(_03094_),
+ sky130_fd_sc_hd__clkbuf_1 _16065_ (.A(_03075_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03157_));
- sky130_fd_sc_hd__mux2_1 _16106_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[45][1] ),
-    .A1(_03157_),
-    .S(_03155_),
+    .X(_03138_));
+ sky130_fd_sc_hd__mux2_1 _16066_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[45][1] ),
+    .A1(_03138_),
+    .S(_03136_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03158_));
- sky130_fd_sc_hd__clkbuf_1 _16107_ (.A(_03158_),
+    .X(_03139_));
+ sky130_fd_sc_hd__clkbuf_1 _16067_ (.A(_03139_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01144_));
- sky130_fd_sc_hd__buf_2 _16108_ (.A(_03097_),
+ sky130_fd_sc_hd__clkbuf_1 _16068_ (.A(_03078_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03159_));
- sky130_fd_sc_hd__mux2_1 _16109_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[45][2] ),
-    .A1(_03159_),
-    .S(_03155_),
+    .X(_03140_));
+ sky130_fd_sc_hd__mux2_1 _16069_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[45][2] ),
+    .A1(_03140_),
+    .S(_03136_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03160_));
- sky130_fd_sc_hd__clkbuf_1 _16110_ (.A(_03160_),
+    .X(_03141_));
+ sky130_fd_sc_hd__clkbuf_1 _16070_ (.A(_03141_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01145_));
- sky130_fd_sc_hd__clkbuf_4 _16111_ (.A(_03100_),
+ sky130_fd_sc_hd__clkbuf_1 _16071_ (.A(_03081_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03161_));
- sky130_fd_sc_hd__mux2_1 _16112_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[45][3] ),
-    .A1(_03161_),
-    .S(_03155_),
+    .X(_03142_));
+ sky130_fd_sc_hd__mux2_1 _16072_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[45][3] ),
+    .A1(_03142_),
+    .S(_03136_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03162_));
- sky130_fd_sc_hd__clkbuf_1 _16113_ (.A(_03162_),
+    .X(_03143_));
+ sky130_fd_sc_hd__clkbuf_1 _16073_ (.A(_03143_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01146_));
- sky130_fd_sc_hd__clkbuf_1 _16114_ (.A(_03103_),
+ sky130_fd_sc_hd__clkbuf_1 _16074_ (.A(_03084_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03163_));
- sky130_fd_sc_hd__clkbuf_4 _16115_ (.A(_03154_),
+    .X(_03144_));
+ sky130_fd_sc_hd__clkbuf_2 _16075_ (.A(_03135_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03164_));
- sky130_fd_sc_hd__mux2_1 _16116_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[45][4] ),
-    .A1(_03163_),
-    .S(_03164_),
+    .X(_03145_));
+ sky130_fd_sc_hd__mux2_1 _16076_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[45][4] ),
+    .A1(_03144_),
+    .S(_03145_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03165_));
- sky130_fd_sc_hd__clkbuf_1 _16117_ (.A(_03165_),
+    .X(_03146_));
+ sky130_fd_sc_hd__clkbuf_1 _16077_ (.A(_03146_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01147_));
- sky130_fd_sc_hd__clkbuf_2 _16118_ (.A(_03107_),
+ sky130_fd_sc_hd__clkbuf_1 _16078_ (.A(_03088_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03166_));
- sky130_fd_sc_hd__mux2_1 _16119_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[45][5] ),
-    .A1(_03166_),
-    .S(_03164_),
+    .X(_03147_));
+ sky130_fd_sc_hd__mux2_1 _16079_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[45][5] ),
+    .A1(_03147_),
+    .S(_03145_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03167_));
- sky130_fd_sc_hd__clkbuf_1 _16120_ (.A(_03167_),
+    .X(_03148_));
+ sky130_fd_sc_hd__clkbuf_1 _16080_ (.A(_03148_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01148_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _16121_ (.A(_03110_),
+ sky130_fd_sc_hd__clkbuf_1 _16081_ (.A(_03091_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03168_));
- sky130_fd_sc_hd__mux2_1 _16122_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[45][6] ),
-    .A1(_03168_),
-    .S(_03164_),
+    .X(_03149_));
+ sky130_fd_sc_hd__mux2_1 _16082_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[45][6] ),
+    .A1(_03149_),
+    .S(_03145_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03169_));
- sky130_fd_sc_hd__clkbuf_1 _16123_ (.A(_03169_),
+    .X(_03150_));
+ sky130_fd_sc_hd__clkbuf_1 _16083_ (.A(_03150_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01149_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _16124_ (.A(_03113_),
+ sky130_fd_sc_hd__clkbuf_1 _16084_ (.A(_03094_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03170_));
- sky130_fd_sc_hd__mux2_1 _16125_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[45][7] ),
-    .A1(_03170_),
-    .S(_03164_),
+    .X(_03151_));
+ sky130_fd_sc_hd__mux2_1 _16085_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[45][7] ),
+    .A1(_03151_),
+    .S(_03145_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03171_));
- sky130_fd_sc_hd__clkbuf_1 _16126_ (.A(_03171_),
+    .X(_03152_));
+ sky130_fd_sc_hd__clkbuf_1 _16086_ (.A(_03152_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01150_));
- sky130_fd_sc_hd__nor2_1 _16127_ (.A(_02927_),
-    .B(_03130_),
+ sky130_fd_sc_hd__nor2_1 _16087_ (.A(_02909_),
+    .B(_03111_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03172_));
- sky130_fd_sc_hd__clkbuf_2 _16128_ (.A(_03172_),
+    .Y(_03153_));
+ sky130_fd_sc_hd__clkbuf_2 _16088_ (.A(_03153_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03173_));
- sky130_fd_sc_hd__mux2_1 _16129_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[44][0] ),
-    .A1(_03153_),
-    .S(_03173_),
+    .X(_03154_));
+ sky130_fd_sc_hd__mux2_1 _16089_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[44][0] ),
+    .A1(_03134_),
+    .S(_03154_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03174_));
- sky130_fd_sc_hd__clkbuf_1 _16130_ (.A(_03174_),
+    .X(_03155_));
+ sky130_fd_sc_hd__clkbuf_1 _16090_ (.A(_03155_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01151_));
- sky130_fd_sc_hd__mux2_1 _16131_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[44][1] ),
-    .A1(_03157_),
-    .S(_03173_),
+ sky130_fd_sc_hd__mux2_1 _16091_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[44][1] ),
+    .A1(_03138_),
+    .S(_03154_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03175_));
- sky130_fd_sc_hd__clkbuf_1 _16132_ (.A(_03175_),
+    .X(_03156_));
+ sky130_fd_sc_hd__clkbuf_1 _16092_ (.A(_03156_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01152_));
- sky130_fd_sc_hd__mux2_1 _16133_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[44][2] ),
-    .A1(_03159_),
-    .S(_03173_),
+ sky130_fd_sc_hd__mux2_1 _16093_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[44][2] ),
+    .A1(_03140_),
+    .S(_03154_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03176_));
- sky130_fd_sc_hd__clkbuf_1 _16134_ (.A(_03176_),
+    .X(_03157_));
+ sky130_fd_sc_hd__clkbuf_1 _16094_ (.A(_03157_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01153_));
- sky130_fd_sc_hd__mux2_1 _16135_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[44][3] ),
-    .A1(_03161_),
-    .S(_03173_),
+ sky130_fd_sc_hd__mux2_1 _16095_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[44][3] ),
+    .A1(_03142_),
+    .S(_03154_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03177_));
- sky130_fd_sc_hd__clkbuf_1 _16136_ (.A(_03177_),
+    .X(_03158_));
+ sky130_fd_sc_hd__clkbuf_1 _16096_ (.A(_03158_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01154_));
- sky130_fd_sc_hd__buf_4 _16137_ (.A(_03172_),
+ sky130_fd_sc_hd__clkbuf_2 _16097_ (.A(_03153_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03178_));
- sky130_fd_sc_hd__mux2_1 _16138_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[44][4] ),
-    .A1(_03163_),
-    .S(_03178_),
+    .X(_03159_));
+ sky130_fd_sc_hd__mux2_1 _16098_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[44][4] ),
+    .A1(_03144_),
+    .S(_03159_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03179_));
- sky130_fd_sc_hd__clkbuf_1 _16139_ (.A(_03179_),
+    .X(_03160_));
+ sky130_fd_sc_hd__clkbuf_1 _16099_ (.A(_03160_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01155_));
- sky130_fd_sc_hd__mux2_1 _16140_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[44][5] ),
-    .A1(_03166_),
-    .S(_03178_),
+ sky130_fd_sc_hd__mux2_1 _16100_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[44][5] ),
+    .A1(_03147_),
+    .S(_03159_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03180_));
- sky130_fd_sc_hd__clkbuf_1 _16141_ (.A(_03180_),
+    .X(_03161_));
+ sky130_fd_sc_hd__clkbuf_1 _16101_ (.A(_03161_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01156_));
- sky130_fd_sc_hd__mux2_1 _16142_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[44][6] ),
-    .A1(_03168_),
-    .S(_03178_),
+ sky130_fd_sc_hd__mux2_1 _16102_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[44][6] ),
+    .A1(_03149_),
+    .S(_03159_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03181_));
- sky130_fd_sc_hd__clkbuf_1 _16143_ (.A(_03181_),
+    .X(_03162_));
+ sky130_fd_sc_hd__clkbuf_1 _16103_ (.A(_03162_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01157_));
- sky130_fd_sc_hd__mux2_1 _16144_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[44][7] ),
-    .A1(_03170_),
-    .S(_03178_),
+ sky130_fd_sc_hd__mux2_1 _16104_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[44][7] ),
+    .A1(_03151_),
+    .S(_03159_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03182_));
- sky130_fd_sc_hd__clkbuf_1 _16145_ (.A(_03182_),
+    .X(_03163_));
+ sky130_fd_sc_hd__clkbuf_1 _16105_ (.A(_03163_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01158_));
- sky130_fd_sc_hd__clkbuf_2 _16146_ (.A(_03129_),
+ sky130_fd_sc_hd__clkbuf_1 _16106_ (.A(_03110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03183_));
- sky130_fd_sc_hd__nor2_1 _16147_ (.A(_02944_),
-    .B(_03183_),
+    .X(_03164_));
+ sky130_fd_sc_hd__nor2_1 _16107_ (.A(_02925_),
+    .B(_03164_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03184_));
- sky130_fd_sc_hd__clkbuf_2 _16148_ (.A(_03184_),
+    .Y(_03165_));
+ sky130_fd_sc_hd__clkbuf_2 _16108_ (.A(_03165_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03185_));
- sky130_fd_sc_hd__mux2_1 _16149_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[43][0] ),
-    .A1(_03153_),
-    .S(_03185_),
+    .X(_03166_));
+ sky130_fd_sc_hd__mux2_1 _16109_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[43][0] ),
+    .A1(_03134_),
+    .S(_03166_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03186_));
- sky130_fd_sc_hd__clkbuf_1 _16150_ (.A(_03186_),
+    .X(_03167_));
+ sky130_fd_sc_hd__clkbuf_1 _16110_ (.A(_03167_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01159_));
- sky130_fd_sc_hd__mux2_1 _16151_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[43][1] ),
-    .A1(_03157_),
-    .S(_03185_),
+ sky130_fd_sc_hd__mux2_1 _16111_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[43][1] ),
+    .A1(_03138_),
+    .S(_03166_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03187_));
- sky130_fd_sc_hd__clkbuf_1 _16152_ (.A(_03187_),
+    .X(_03168_));
+ sky130_fd_sc_hd__clkbuf_1 _16112_ (.A(_03168_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01160_));
- sky130_fd_sc_hd__mux2_1 _16153_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[43][2] ),
-    .A1(_03159_),
-    .S(_03185_),
+ sky130_fd_sc_hd__mux2_1 _16113_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[43][2] ),
+    .A1(_03140_),
+    .S(_03166_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03188_));
- sky130_fd_sc_hd__clkbuf_1 _16154_ (.A(_03188_),
+    .X(_03169_));
+ sky130_fd_sc_hd__clkbuf_1 _16114_ (.A(_03169_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01161_));
- sky130_fd_sc_hd__mux2_1 _16155_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[43][3] ),
-    .A1(_03161_),
-    .S(_03185_),
+ sky130_fd_sc_hd__mux2_1 _16115_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[43][3] ),
+    .A1(_03142_),
+    .S(_03166_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03189_));
- sky130_fd_sc_hd__clkbuf_1 _16156_ (.A(_03189_),
+    .X(_03170_));
+ sky130_fd_sc_hd__clkbuf_1 _16116_ (.A(_03170_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01162_));
- sky130_fd_sc_hd__buf_2 _16157_ (.A(_03184_),
+ sky130_fd_sc_hd__clkbuf_2 _16117_ (.A(_03165_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03190_));
- sky130_fd_sc_hd__mux2_1 _16158_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[43][4] ),
-    .A1(_03163_),
-    .S(_03190_),
+    .X(_03171_));
+ sky130_fd_sc_hd__mux2_1 _16118_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[43][4] ),
+    .A1(_03144_),
+    .S(_03171_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03191_));
- sky130_fd_sc_hd__clkbuf_1 _16159_ (.A(_03191_),
+    .X(_03172_));
+ sky130_fd_sc_hd__clkbuf_1 _16119_ (.A(_03172_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01163_));
- sky130_fd_sc_hd__mux2_1 _16160_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[43][5] ),
-    .A1(_03166_),
-    .S(_03190_),
+ sky130_fd_sc_hd__mux2_1 _16120_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[43][5] ),
+    .A1(_03147_),
+    .S(_03171_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03192_));
- sky130_fd_sc_hd__clkbuf_1 _16161_ (.A(_03192_),
+    .X(_03173_));
+ sky130_fd_sc_hd__clkbuf_1 _16121_ (.A(_03173_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01164_));
- sky130_fd_sc_hd__mux2_1 _16162_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[43][6] ),
-    .A1(_03168_),
-    .S(_03190_),
+ sky130_fd_sc_hd__mux2_1 _16122_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[43][6] ),
+    .A1(_03149_),
+    .S(_03171_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03193_));
- sky130_fd_sc_hd__clkbuf_1 _16163_ (.A(_03193_),
+    .X(_03174_));
+ sky130_fd_sc_hd__clkbuf_1 _16123_ (.A(_03174_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01165_));
- sky130_fd_sc_hd__mux2_1 _16164_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[43][7] ),
-    .A1(_03170_),
-    .S(_03190_),
+ sky130_fd_sc_hd__mux2_1 _16124_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[43][7] ),
+    .A1(_03151_),
+    .S(_03171_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03194_));
- sky130_fd_sc_hd__clkbuf_1 _16165_ (.A(_03194_),
+    .X(_03175_));
+ sky130_fd_sc_hd__clkbuf_1 _16125_ (.A(_03175_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01166_));
- sky130_fd_sc_hd__nor2_1 _16166_ (.A(_02956_),
-    .B(_03183_),
+ sky130_fd_sc_hd__nor2_1 _16126_ (.A(_02937_),
+    .B(_03164_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03195_));
- sky130_fd_sc_hd__buf_2 _16167_ (.A(_03195_),
+    .Y(_03176_));
+ sky130_fd_sc_hd__clkbuf_2 _16127_ (.A(_03176_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03196_));
- sky130_fd_sc_hd__mux2_1 _16168_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[42][0] ),
-    .A1(_03153_),
-    .S(_03196_),
+    .X(_03177_));
+ sky130_fd_sc_hd__mux2_1 _16128_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[42][0] ),
+    .A1(_03134_),
+    .S(_03177_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03197_));
- sky130_fd_sc_hd__clkbuf_1 _16169_ (.A(_03197_),
+    .X(_03178_));
+ sky130_fd_sc_hd__clkbuf_1 _16129_ (.A(_03178_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01167_));
- sky130_fd_sc_hd__mux2_1 _16170_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[42][1] ),
-    .A1(_03157_),
-    .S(_03196_),
+ sky130_fd_sc_hd__mux2_1 _16130_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[42][1] ),
+    .A1(_03138_),
+    .S(_03177_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03198_));
- sky130_fd_sc_hd__clkbuf_1 _16171_ (.A(_03198_),
+    .X(_03179_));
+ sky130_fd_sc_hd__clkbuf_1 _16131_ (.A(_03179_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01168_));
- sky130_fd_sc_hd__mux2_1 _16172_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[42][2] ),
-    .A1(_03159_),
-    .S(_03196_),
+ sky130_fd_sc_hd__mux2_1 _16132_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[42][2] ),
+    .A1(_03140_),
+    .S(_03177_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03199_));
- sky130_fd_sc_hd__clkbuf_1 _16173_ (.A(_03199_),
+    .X(_03180_));
+ sky130_fd_sc_hd__clkbuf_1 _16133_ (.A(_03180_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01169_));
- sky130_fd_sc_hd__mux2_1 _16174_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[42][3] ),
-    .A1(_03161_),
-    .S(_03196_),
+ sky130_fd_sc_hd__mux2_1 _16134_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[42][3] ),
+    .A1(_03142_),
+    .S(_03177_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03200_));
- sky130_fd_sc_hd__clkbuf_1 _16175_ (.A(_03200_),
+    .X(_03181_));
+ sky130_fd_sc_hd__clkbuf_1 _16135_ (.A(_03181_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01170_));
- sky130_fd_sc_hd__buf_2 _16176_ (.A(_03195_),
+ sky130_fd_sc_hd__clkbuf_2 _16136_ (.A(_03176_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03201_));
- sky130_fd_sc_hd__mux2_1 _16177_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[42][4] ),
-    .A1(_03163_),
-    .S(_03201_),
+    .X(_03182_));
+ sky130_fd_sc_hd__mux2_1 _16137_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[42][4] ),
+    .A1(_03144_),
+    .S(_03182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03202_));
- sky130_fd_sc_hd__clkbuf_1 _16178_ (.A(_03202_),
+    .X(_03183_));
+ sky130_fd_sc_hd__clkbuf_1 _16138_ (.A(_03183_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01171_));
- sky130_fd_sc_hd__mux2_1 _16179_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[42][5] ),
-    .A1(_03166_),
-    .S(_03201_),
+ sky130_fd_sc_hd__mux2_1 _16139_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[42][5] ),
+    .A1(_03147_),
+    .S(_03182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03203_));
- sky130_fd_sc_hd__clkbuf_1 _16180_ (.A(_03203_),
+    .X(_03184_));
+ sky130_fd_sc_hd__clkbuf_1 _16140_ (.A(_03184_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01172_));
- sky130_fd_sc_hd__mux2_1 _16181_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[42][6] ),
-    .A1(_03168_),
-    .S(_03201_),
+ sky130_fd_sc_hd__mux2_1 _16141_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[42][6] ),
+    .A1(_03149_),
+    .S(_03182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03204_));
- sky130_fd_sc_hd__clkbuf_1 _16182_ (.A(_03204_),
+    .X(_03185_));
+ sky130_fd_sc_hd__clkbuf_1 _16142_ (.A(_03185_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01173_));
- sky130_fd_sc_hd__mux2_1 _16183_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[42][7] ),
-    .A1(_03170_),
-    .S(_03201_),
+ sky130_fd_sc_hd__mux2_1 _16143_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[42][7] ),
+    .A1(_03151_),
+    .S(_03182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03205_));
- sky130_fd_sc_hd__clkbuf_1 _16184_ (.A(_03205_),
+    .X(_03186_));
+ sky130_fd_sc_hd__clkbuf_1 _16144_ (.A(_03186_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01174_));
- sky130_fd_sc_hd__clkbuf_1 _16185_ (.A(_03088_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _16145_ (.A(_03069_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03206_));
- sky130_fd_sc_hd__nor2_2 _16186_ (.A(_02969_),
-    .B(_03183_),
+    .X(_03187_));
+ sky130_fd_sc_hd__nor2_1 _16146_ (.A(_02950_),
+    .B(_03164_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03207_));
- sky130_fd_sc_hd__clkbuf_2 _16187_ (.A(_03207_),
+    .Y(_03188_));
+ sky130_fd_sc_hd__clkbuf_2 _16147_ (.A(_03188_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03208_));
- sky130_fd_sc_hd__mux2_1 _16188_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[41][0] ),
-    .A1(_03206_),
-    .S(_03208_),
+    .X(_03189_));
+ sky130_fd_sc_hd__mux2_1 _16148_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[41][0] ),
+    .A1(_03187_),
+    .S(_03189_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03209_));
- sky130_fd_sc_hd__clkbuf_1 _16189_ (.A(_03209_),
+    .X(_03190_));
+ sky130_fd_sc_hd__clkbuf_1 _16149_ (.A(_03190_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01175_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _16190_ (.A(_03094_),
+ sky130_fd_sc_hd__clkbuf_1 _16150_ (.A(_03075_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03210_));
- sky130_fd_sc_hd__mux2_1 _16191_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[41][1] ),
-    .A1(_03210_),
-    .S(_03208_),
+    .X(_03191_));
+ sky130_fd_sc_hd__mux2_1 _16151_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[41][1] ),
+    .A1(_03191_),
+    .S(_03189_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03211_));
- sky130_fd_sc_hd__clkbuf_1 _16192_ (.A(_03211_),
+    .X(_03192_));
+ sky130_fd_sc_hd__clkbuf_1 _16152_ (.A(_03192_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01176_));
- sky130_fd_sc_hd__clkbuf_1 _16193_ (.A(_03097_),
+ sky130_fd_sc_hd__clkbuf_2 _16153_ (.A(_03078_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03212_));
- sky130_fd_sc_hd__mux2_1 _16194_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[41][2] ),
-    .A1(_03212_),
-    .S(_03208_),
+    .X(_03193_));
+ sky130_fd_sc_hd__mux2_1 _16154_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[41][2] ),
+    .A1(_03193_),
+    .S(_03189_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03213_));
- sky130_fd_sc_hd__clkbuf_1 _16195_ (.A(_03213_),
+    .X(_03194_));
+ sky130_fd_sc_hd__clkbuf_1 _16155_ (.A(_03194_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01177_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _16196_ (.A(_03100_),
+ sky130_fd_sc_hd__clkbuf_1 _16156_ (.A(_03081_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03214_));
- sky130_fd_sc_hd__mux2_1 _16197_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[41][3] ),
-    .A1(_03214_),
-    .S(_03208_),
+    .X(_03195_));
+ sky130_fd_sc_hd__mux2_1 _16157_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[41][3] ),
+    .A1(_03195_),
+    .S(_03189_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03215_));
- sky130_fd_sc_hd__clkbuf_1 _16198_ (.A(_03215_),
+    .X(_03196_));
+ sky130_fd_sc_hd__clkbuf_1 _16158_ (.A(_03196_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01178_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _16199_ (.A(_03103_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _16159_ (.A(_03084_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03216_));
- sky130_fd_sc_hd__clkbuf_2 _16200_ (.A(_03207_),
+    .X(_03197_));
+ sky130_fd_sc_hd__clkbuf_2 _16160_ (.A(_03188_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03217_));
- sky130_fd_sc_hd__mux2_1 _16201_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[41][4] ),
-    .A1(_03216_),
-    .S(_03217_),
+    .X(_03198_));
+ sky130_fd_sc_hd__mux2_1 _16161_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[41][4] ),
+    .A1(_03197_),
+    .S(_03198_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03218_));
- sky130_fd_sc_hd__clkbuf_1 _16202_ (.A(_03218_),
+    .X(_03199_));
+ sky130_fd_sc_hd__clkbuf_1 _16162_ (.A(_03199_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01179_));
- sky130_fd_sc_hd__clkbuf_1 _16203_ (.A(_03107_),
+ sky130_fd_sc_hd__clkbuf_1 _16163_ (.A(_03088_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03219_));
- sky130_fd_sc_hd__mux2_1 _16204_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[41][5] ),
-    .A1(_03219_),
-    .S(_03217_),
+    .X(_03200_));
+ sky130_fd_sc_hd__mux2_1 _16164_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[41][5] ),
+    .A1(_03200_),
+    .S(_03198_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03220_));
- sky130_fd_sc_hd__clkbuf_1 _16205_ (.A(_03220_),
+    .X(_03201_));
+ sky130_fd_sc_hd__clkbuf_1 _16165_ (.A(_03201_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01180_));
- sky130_fd_sc_hd__clkbuf_1 _16206_ (.A(_03110_),
+ sky130_fd_sc_hd__clkbuf_1 _16166_ (.A(_03091_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03221_));
- sky130_fd_sc_hd__mux2_1 _16207_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[41][6] ),
-    .A1(_03221_),
-    .S(_03217_),
+    .X(_03202_));
+ sky130_fd_sc_hd__mux2_1 _16167_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[41][6] ),
+    .A1(_03202_),
+    .S(_03198_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03222_));
- sky130_fd_sc_hd__clkbuf_1 _16208_ (.A(_03222_),
+    .X(_03203_));
+ sky130_fd_sc_hd__clkbuf_1 _16168_ (.A(_03203_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01181_));
- sky130_fd_sc_hd__clkbuf_1 _16209_ (.A(_03113_),
+ sky130_fd_sc_hd__clkbuf_1 _16169_ (.A(_03094_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03223_));
- sky130_fd_sc_hd__mux2_1 _16210_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[41][7] ),
-    .A1(_03223_),
-    .S(_03217_),
+    .X(_03204_));
+ sky130_fd_sc_hd__mux2_1 _16170_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[41][7] ),
+    .A1(_03204_),
+    .S(_03198_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03224_));
- sky130_fd_sc_hd__clkbuf_1 _16211_ (.A(_03224_),
+    .X(_03205_));
+ sky130_fd_sc_hd__clkbuf_1 _16171_ (.A(_03205_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01182_));
- sky130_fd_sc_hd__nor2_2 _16212_ (.A(_02989_),
-    .B(_03183_),
+ sky130_fd_sc_hd__nor2_1 _16172_ (.A(_02969_),
+    .B(_03164_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03225_));
- sky130_fd_sc_hd__clkbuf_2 _16213_ (.A(_03225_),
+    .Y(_03206_));
+ sky130_fd_sc_hd__clkbuf_2 _16173_ (.A(_03206_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03226_));
- sky130_fd_sc_hd__mux2_1 _16214_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[40][0] ),
-    .A1(_03206_),
-    .S(_03226_),
+    .X(_03207_));
+ sky130_fd_sc_hd__mux2_1 _16174_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[40][0] ),
+    .A1(_03187_),
+    .S(_03207_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03227_));
- sky130_fd_sc_hd__clkbuf_1 _16215_ (.A(_03227_),
+    .X(_03208_));
+ sky130_fd_sc_hd__clkbuf_1 _16175_ (.A(_03208_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01183_));
- sky130_fd_sc_hd__mux2_1 _16216_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[40][1] ),
-    .A1(_03210_),
-    .S(_03226_),
+ sky130_fd_sc_hd__mux2_1 _16176_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[40][1] ),
+    .A1(_03191_),
+    .S(_03207_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03228_));
- sky130_fd_sc_hd__clkbuf_1 _16217_ (.A(_03228_),
+    .X(_03209_));
+ sky130_fd_sc_hd__clkbuf_1 _16177_ (.A(_03209_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01184_));
- sky130_fd_sc_hd__mux2_1 _16218_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[40][2] ),
-    .A1(_03212_),
-    .S(_03226_),
+ sky130_fd_sc_hd__mux2_1 _16178_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[40][2] ),
+    .A1(_03193_),
+    .S(_03207_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03229_));
- sky130_fd_sc_hd__clkbuf_1 _16219_ (.A(_03229_),
+    .X(_03210_));
+ sky130_fd_sc_hd__clkbuf_1 _16179_ (.A(_03210_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01185_));
- sky130_fd_sc_hd__mux2_1 _16220_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[40][3] ),
-    .A1(_03214_),
-    .S(_03226_),
+ sky130_fd_sc_hd__mux2_1 _16180_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[40][3] ),
+    .A1(_03195_),
+    .S(_03207_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03230_));
- sky130_fd_sc_hd__clkbuf_1 _16221_ (.A(_03230_),
+    .X(_03211_));
+ sky130_fd_sc_hd__clkbuf_1 _16181_ (.A(_03211_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01186_));
- sky130_fd_sc_hd__clkbuf_2 _16222_ (.A(_03225_),
+ sky130_fd_sc_hd__clkbuf_2 _16182_ (.A(_03206_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03231_));
- sky130_fd_sc_hd__mux2_1 _16223_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[40][4] ),
-    .A1(_03216_),
-    .S(_03231_),
+    .X(_03212_));
+ sky130_fd_sc_hd__mux2_1 _16183_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[40][4] ),
+    .A1(_03197_),
+    .S(_03212_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03232_));
- sky130_fd_sc_hd__clkbuf_1 _16224_ (.A(_03232_),
+    .X(_03213_));
+ sky130_fd_sc_hd__clkbuf_1 _16184_ (.A(_03213_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01187_));
- sky130_fd_sc_hd__mux2_1 _16225_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[40][5] ),
-    .A1(_03219_),
-    .S(_03231_),
+ sky130_fd_sc_hd__mux2_1 _16185_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[40][5] ),
+    .A1(_03200_),
+    .S(_03212_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03233_));
- sky130_fd_sc_hd__clkbuf_1 _16226_ (.A(_03233_),
+    .X(_03214_));
+ sky130_fd_sc_hd__clkbuf_1 _16186_ (.A(_03214_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01188_));
- sky130_fd_sc_hd__mux2_1 _16227_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[40][6] ),
-    .A1(_03221_),
-    .S(_03231_),
+ sky130_fd_sc_hd__mux2_1 _16187_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[40][6] ),
+    .A1(_03202_),
+    .S(_03212_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03234_));
- sky130_fd_sc_hd__clkbuf_1 _16228_ (.A(_03234_),
+    .X(_03215_));
+ sky130_fd_sc_hd__clkbuf_1 _16188_ (.A(_03215_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01189_));
- sky130_fd_sc_hd__mux2_1 _16229_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[40][7] ),
-    .A1(_03223_),
-    .S(_03231_),
+ sky130_fd_sc_hd__mux2_1 _16189_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[40][7] ),
+    .A1(_03204_),
+    .S(_03212_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03235_));
- sky130_fd_sc_hd__clkbuf_1 _16230_ (.A(_03235_),
+    .X(_03216_));
+ sky130_fd_sc_hd__clkbuf_1 _16190_ (.A(_03216_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01190_));
- sky130_fd_sc_hd__buf_2 _16231_ (.A(_03129_),
+ sky130_fd_sc_hd__clkbuf_1 _16191_ (.A(_03110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03236_));
- sky130_fd_sc_hd__nor2_2 _16232_ (.A(_03004_),
-    .B(_03236_),
+    .X(_03217_));
+ sky130_fd_sc_hd__nor2_1 _16192_ (.A(_02984_),
+    .B(_03217_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03237_));
- sky130_fd_sc_hd__clkbuf_2 _16233_ (.A(_03237_),
+    .Y(_03218_));
+ sky130_fd_sc_hd__clkbuf_2 _16193_ (.A(_03218_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03238_));
- sky130_fd_sc_hd__mux2_1 _16234_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[39][0] ),
-    .A1(_03206_),
-    .S(_03238_),
+    .X(_03219_));
+ sky130_fd_sc_hd__mux2_1 _16194_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[39][0] ),
+    .A1(_03187_),
+    .S(_03219_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03239_));
- sky130_fd_sc_hd__clkbuf_1 _16235_ (.A(_03239_),
+    .X(_03220_));
+ sky130_fd_sc_hd__clkbuf_1 _16195_ (.A(_03220_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01191_));
- sky130_fd_sc_hd__mux2_1 _16236_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[39][1] ),
-    .A1(_03210_),
-    .S(_03238_),
+ sky130_fd_sc_hd__mux2_1 _16196_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[39][1] ),
+    .A1(_03191_),
+    .S(_03219_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03240_));
- sky130_fd_sc_hd__clkbuf_1 _16237_ (.A(_03240_),
+    .X(_03221_));
+ sky130_fd_sc_hd__clkbuf_1 _16197_ (.A(_03221_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01192_));
- sky130_fd_sc_hd__mux2_1 _16238_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[39][2] ),
-    .A1(_03212_),
-    .S(_03238_),
+ sky130_fd_sc_hd__mux2_1 _16198_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[39][2] ),
+    .A1(_03193_),
+    .S(_03219_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03241_));
- sky130_fd_sc_hd__clkbuf_1 _16239_ (.A(_03241_),
+    .X(_03222_));
+ sky130_fd_sc_hd__clkbuf_1 _16199_ (.A(_03222_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01193_));
- sky130_fd_sc_hd__mux2_1 _16240_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[39][3] ),
-    .A1(_03214_),
-    .S(_03238_),
+ sky130_fd_sc_hd__mux2_1 _16200_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[39][3] ),
+    .A1(_03195_),
+    .S(_03219_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03242_));
- sky130_fd_sc_hd__clkbuf_1 _16241_ (.A(_03242_),
+    .X(_03223_));
+ sky130_fd_sc_hd__clkbuf_1 _16201_ (.A(_03223_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01194_));
- sky130_fd_sc_hd__clkbuf_2 _16242_ (.A(_03237_),
+ sky130_fd_sc_hd__clkbuf_2 _16202_ (.A(_03218_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03243_));
- sky130_fd_sc_hd__mux2_1 _16243_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[39][4] ),
-    .A1(_03216_),
-    .S(_03243_),
+    .X(_03224_));
+ sky130_fd_sc_hd__mux2_1 _16203_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[39][4] ),
+    .A1(_03197_),
+    .S(_03224_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03244_));
- sky130_fd_sc_hd__clkbuf_1 _16244_ (.A(_03244_),
+    .X(_03225_));
+ sky130_fd_sc_hd__clkbuf_1 _16204_ (.A(_03225_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01195_));
- sky130_fd_sc_hd__mux2_1 _16245_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[39][5] ),
-    .A1(_03219_),
-    .S(_03243_),
+ sky130_fd_sc_hd__mux2_1 _16205_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[39][5] ),
+    .A1(_03200_),
+    .S(_03224_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03245_));
- sky130_fd_sc_hd__clkbuf_1 _16246_ (.A(_03245_),
+    .X(_03226_));
+ sky130_fd_sc_hd__clkbuf_1 _16206_ (.A(_03226_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01196_));
- sky130_fd_sc_hd__mux2_1 _16247_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[39][6] ),
-    .A1(_03221_),
-    .S(_03243_),
+ sky130_fd_sc_hd__mux2_1 _16207_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[39][6] ),
+    .A1(_03202_),
+    .S(_03224_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03246_));
- sky130_fd_sc_hd__clkbuf_1 _16248_ (.A(_03246_),
+    .X(_03227_));
+ sky130_fd_sc_hd__clkbuf_1 _16208_ (.A(_03227_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01197_));
- sky130_fd_sc_hd__mux2_1 _16249_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[39][7] ),
-    .A1(_03223_),
-    .S(_03243_),
+ sky130_fd_sc_hd__mux2_1 _16209_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[39][7] ),
+    .A1(_03204_),
+    .S(_03224_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03247_));
- sky130_fd_sc_hd__clkbuf_1 _16250_ (.A(_03247_),
+    .X(_03228_));
+ sky130_fd_sc_hd__clkbuf_1 _16210_ (.A(_03228_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01198_));
- sky130_fd_sc_hd__nor2_2 _16251_ (.A(_03017_),
-    .B(_03236_),
+ sky130_fd_sc_hd__nor2_1 _16211_ (.A(_02998_),
+    .B(_03217_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03248_));
- sky130_fd_sc_hd__clkbuf_2 _16252_ (.A(_03248_),
+    .Y(_03229_));
+ sky130_fd_sc_hd__clkbuf_2 _16212_ (.A(_03229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03249_));
- sky130_fd_sc_hd__mux2_1 _16253_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[38][0] ),
-    .A1(_03206_),
-    .S(_03249_),
+    .X(_03230_));
+ sky130_fd_sc_hd__mux2_1 _16213_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[38][0] ),
+    .A1(_03187_),
+    .S(_03230_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03250_));
- sky130_fd_sc_hd__clkbuf_1 _16254_ (.A(_03250_),
+    .X(_03231_));
+ sky130_fd_sc_hd__clkbuf_1 _16214_ (.A(_03231_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01199_));
- sky130_fd_sc_hd__mux2_1 _16255_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[38][1] ),
-    .A1(_03210_),
-    .S(_03249_),
+ sky130_fd_sc_hd__mux2_1 _16215_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[38][1] ),
+    .A1(_03191_),
+    .S(_03230_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03251_));
- sky130_fd_sc_hd__clkbuf_1 _16256_ (.A(_03251_),
+    .X(_03232_));
+ sky130_fd_sc_hd__clkbuf_1 _16216_ (.A(_03232_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01200_));
- sky130_fd_sc_hd__mux2_1 _16257_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[38][2] ),
-    .A1(_03212_),
-    .S(_03249_),
+ sky130_fd_sc_hd__mux2_1 _16217_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[38][2] ),
+    .A1(_03193_),
+    .S(_03230_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03252_));
- sky130_fd_sc_hd__clkbuf_1 _16258_ (.A(_03252_),
+    .X(_03233_));
+ sky130_fd_sc_hd__clkbuf_1 _16218_ (.A(_03233_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01201_));
- sky130_fd_sc_hd__mux2_1 _16259_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[38][3] ),
-    .A1(_03214_),
-    .S(_03249_),
+ sky130_fd_sc_hd__mux2_1 _16219_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[38][3] ),
+    .A1(_03195_),
+    .S(_03230_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03253_));
- sky130_fd_sc_hd__clkbuf_1 _16260_ (.A(_03253_),
+    .X(_03234_));
+ sky130_fd_sc_hd__clkbuf_1 _16220_ (.A(_03234_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01202_));
- sky130_fd_sc_hd__clkbuf_2 _16261_ (.A(_03248_),
+ sky130_fd_sc_hd__clkbuf_2 _16221_ (.A(_03229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03254_));
- sky130_fd_sc_hd__mux2_1 _16262_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[38][4] ),
-    .A1(_03216_),
-    .S(_03254_),
+    .X(_03235_));
+ sky130_fd_sc_hd__mux2_1 _16222_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[38][4] ),
+    .A1(_03197_),
+    .S(_03235_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03255_));
- sky130_fd_sc_hd__clkbuf_1 _16263_ (.A(_03255_),
+    .X(_03236_));
+ sky130_fd_sc_hd__clkbuf_1 _16223_ (.A(_03236_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01203_));
- sky130_fd_sc_hd__mux2_1 _16264_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[38][5] ),
-    .A1(_03219_),
-    .S(_03254_),
+ sky130_fd_sc_hd__mux2_1 _16224_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[38][5] ),
+    .A1(_03200_),
+    .S(_03235_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03256_));
- sky130_fd_sc_hd__clkbuf_1 _16265_ (.A(_03256_),
+    .X(_03237_));
+ sky130_fd_sc_hd__clkbuf_1 _16225_ (.A(_03237_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01204_));
- sky130_fd_sc_hd__mux2_1 _16266_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[38][6] ),
-    .A1(_03221_),
-    .S(_03254_),
+ sky130_fd_sc_hd__mux2_1 _16226_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[38][6] ),
+    .A1(_03202_),
+    .S(_03235_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03257_));
- sky130_fd_sc_hd__clkbuf_1 _16267_ (.A(_03257_),
+    .X(_03238_));
+ sky130_fd_sc_hd__clkbuf_1 _16227_ (.A(_03238_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01205_));
- sky130_fd_sc_hd__mux2_1 _16268_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[38][7] ),
-    .A1(_03223_),
-    .S(_03254_),
+ sky130_fd_sc_hd__mux2_1 _16228_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[38][7] ),
+    .A1(_03204_),
+    .S(_03235_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03258_));
- sky130_fd_sc_hd__clkbuf_1 _16269_ (.A(_03258_),
+    .X(_03239_));
+ sky130_fd_sc_hd__clkbuf_1 _16229_ (.A(_03239_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01206_));
- sky130_fd_sc_hd__clkbuf_1 _16270_ (.A(_03088_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _16230_ (.A(_03069_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03259_));
- sky130_fd_sc_hd__nor2_1 _16271_ (.A(_03030_),
-    .B(_03236_),
+    .X(_03240_));
+ sky130_fd_sc_hd__nor2_1 _16231_ (.A(_03011_),
+    .B(_03217_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03260_));
- sky130_fd_sc_hd__clkbuf_2 _16272_ (.A(_03260_),
+    .Y(_03241_));
+ sky130_fd_sc_hd__clkbuf_2 _16232_ (.A(_03241_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03261_));
- sky130_fd_sc_hd__mux2_1 _16273_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[37][0] ),
-    .A1(_03259_),
-    .S(_03261_),
+    .X(_03242_));
+ sky130_fd_sc_hd__mux2_1 _16233_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[37][0] ),
+    .A1(_03240_),
+    .S(_03242_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03262_));
- sky130_fd_sc_hd__clkbuf_1 _16274_ (.A(_03262_),
+    .X(_03243_));
+ sky130_fd_sc_hd__clkbuf_1 _16234_ (.A(_03243_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01207_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _16275_ (.A(_03094_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _16235_ (.A(_03075_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03263_));
- sky130_fd_sc_hd__mux2_1 _16276_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[37][1] ),
-    .A1(_03263_),
-    .S(_03261_),
+    .X(_03244_));
+ sky130_fd_sc_hd__mux2_1 _16236_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[37][1] ),
+    .A1(_03244_),
+    .S(_03242_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03264_));
- sky130_fd_sc_hd__clkbuf_1 _16277_ (.A(_03264_),
+    .X(_03245_));
+ sky130_fd_sc_hd__clkbuf_1 _16237_ (.A(_03245_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01208_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _16278_ (.A(_03097_),
+ sky130_fd_sc_hd__clkbuf_1 _16238_ (.A(_03078_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03265_));
- sky130_fd_sc_hd__mux2_1 _16279_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[37][2] ),
-    .A1(_03265_),
-    .S(_03261_),
+    .X(_03246_));
+ sky130_fd_sc_hd__mux2_1 _16239_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[37][2] ),
+    .A1(_03246_),
+    .S(_03242_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03266_));
- sky130_fd_sc_hd__clkbuf_1 _16280_ (.A(_03266_),
+    .X(_03247_));
+ sky130_fd_sc_hd__clkbuf_1 _16240_ (.A(_03247_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01209_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _16281_ (.A(_03100_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _16241_ (.A(_03081_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03267_));
- sky130_fd_sc_hd__mux2_1 _16282_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[37][3] ),
-    .A1(_03267_),
-    .S(_03261_),
+    .X(_03248_));
+ sky130_fd_sc_hd__mux2_1 _16242_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[37][3] ),
+    .A1(_03248_),
+    .S(_03242_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03268_));
- sky130_fd_sc_hd__clkbuf_1 _16283_ (.A(_03268_),
+    .X(_03249_));
+ sky130_fd_sc_hd__clkbuf_1 _16243_ (.A(_03249_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01210_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _16284_ (.A(_03103_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _16244_ (.A(_03084_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03269_));
- sky130_fd_sc_hd__clkbuf_2 _16285_ (.A(_03260_),
+    .X(_03250_));
+ sky130_fd_sc_hd__clkbuf_2 _16245_ (.A(_03241_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03270_));
- sky130_fd_sc_hd__mux2_1 _16286_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[37][4] ),
-    .A1(_03269_),
-    .S(_03270_),
+    .X(_03251_));
+ sky130_fd_sc_hd__mux2_1 _16246_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[37][4] ),
+    .A1(_03250_),
+    .S(_03251_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03271_));
- sky130_fd_sc_hd__clkbuf_1 _16287_ (.A(_03271_),
+    .X(_03252_));
+ sky130_fd_sc_hd__clkbuf_1 _16247_ (.A(_03252_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01211_));
- sky130_fd_sc_hd__clkbuf_2 _16288_ (.A(_03107_),
+ sky130_fd_sc_hd__clkbuf_1 _16248_ (.A(_03088_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03272_));
- sky130_fd_sc_hd__mux2_1 _16289_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[37][5] ),
-    .A1(_03272_),
-    .S(_03270_),
+    .X(_03253_));
+ sky130_fd_sc_hd__mux2_1 _16249_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[37][5] ),
+    .A1(_03253_),
+    .S(_03251_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03273_));
- sky130_fd_sc_hd__clkbuf_1 _16290_ (.A(_03273_),
+    .X(_03254_));
+ sky130_fd_sc_hd__clkbuf_1 _16250_ (.A(_03254_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01212_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _16291_ (.A(_03110_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _16251_ (.A(_03091_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03274_));
- sky130_fd_sc_hd__mux2_1 _16292_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[37][6] ),
-    .A1(_03274_),
-    .S(_03270_),
+    .X(_03255_));
+ sky130_fd_sc_hd__mux2_1 _16252_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[37][6] ),
+    .A1(_03255_),
+    .S(_03251_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03275_));
- sky130_fd_sc_hd__clkbuf_1 _16293_ (.A(_03275_),
+    .X(_03256_));
+ sky130_fd_sc_hd__clkbuf_1 _16253_ (.A(_03256_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01213_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _16294_ (.A(_03113_),
+ sky130_fd_sc_hd__clkbuf_1 _16254_ (.A(_03094_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03276_));
- sky130_fd_sc_hd__mux2_1 _16295_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[37][7] ),
-    .A1(_03276_),
-    .S(_03270_),
+    .X(_03257_));
+ sky130_fd_sc_hd__mux2_1 _16255_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[37][7] ),
+    .A1(_03257_),
+    .S(_03251_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03277_));
- sky130_fd_sc_hd__clkbuf_1 _16296_ (.A(_03277_),
+    .X(_03258_));
+ sky130_fd_sc_hd__clkbuf_1 _16256_ (.A(_03258_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01214_));
- sky130_fd_sc_hd__nor2_1 _16297_ (.A(_03049_),
-    .B(_03236_),
+ sky130_fd_sc_hd__nor2_1 _16257_ (.A(_03030_),
+    .B(_03217_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03278_));
- sky130_fd_sc_hd__clkbuf_2 _16298_ (.A(_03278_),
+    .Y(_03259_));
+ sky130_fd_sc_hd__clkbuf_2 _16258_ (.A(_03259_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03279_));
- sky130_fd_sc_hd__mux2_1 _16299_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[36][0] ),
-    .A1(_03259_),
-    .S(_03279_),
+    .X(_03260_));
+ sky130_fd_sc_hd__mux2_1 _16259_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[36][0] ),
+    .A1(_03240_),
+    .S(_03260_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03280_));
- sky130_fd_sc_hd__clkbuf_1 _16300_ (.A(_03280_),
+    .X(_03261_));
+ sky130_fd_sc_hd__clkbuf_1 _16260_ (.A(_03261_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01215_));
- sky130_fd_sc_hd__mux2_1 _16301_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[36][1] ),
-    .A1(_03263_),
-    .S(_03279_),
+ sky130_fd_sc_hd__mux2_1 _16261_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[36][1] ),
+    .A1(_03244_),
+    .S(_03260_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03281_));
- sky130_fd_sc_hd__clkbuf_1 _16302_ (.A(_03281_),
+    .X(_03262_));
+ sky130_fd_sc_hd__clkbuf_1 _16262_ (.A(_03262_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01216_));
- sky130_fd_sc_hd__mux2_1 _16303_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[36][2] ),
-    .A1(_03265_),
-    .S(_03279_),
+ sky130_fd_sc_hd__mux2_1 _16263_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[36][2] ),
+    .A1(_03246_),
+    .S(_03260_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03282_));
- sky130_fd_sc_hd__clkbuf_1 _16304_ (.A(_03282_),
+    .X(_03263_));
+ sky130_fd_sc_hd__clkbuf_1 _16264_ (.A(_03263_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01217_));
- sky130_fd_sc_hd__mux2_1 _16305_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[36][3] ),
-    .A1(_03267_),
-    .S(_03279_),
+ sky130_fd_sc_hd__mux2_1 _16265_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[36][3] ),
+    .A1(_03248_),
+    .S(_03260_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03283_));
- sky130_fd_sc_hd__clkbuf_1 _16306_ (.A(_03283_),
+    .X(_03264_));
+ sky130_fd_sc_hd__clkbuf_1 _16266_ (.A(_03264_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01218_));
- sky130_fd_sc_hd__clkbuf_2 _16307_ (.A(_03278_),
+ sky130_fd_sc_hd__clkbuf_2 _16267_ (.A(_03259_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03284_));
- sky130_fd_sc_hd__mux2_1 _16308_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[36][4] ),
-    .A1(_03269_),
-    .S(_03284_),
+    .X(_03265_));
+ sky130_fd_sc_hd__mux2_1 _16268_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[36][4] ),
+    .A1(_03250_),
+    .S(_03265_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03285_));
- sky130_fd_sc_hd__clkbuf_1 _16309_ (.A(_03285_),
+    .X(_03266_));
+ sky130_fd_sc_hd__clkbuf_1 _16269_ (.A(_03266_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01219_));
- sky130_fd_sc_hd__mux2_1 _16310_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[36][5] ),
-    .A1(_03272_),
-    .S(_03284_),
+ sky130_fd_sc_hd__mux2_1 _16270_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[36][5] ),
+    .A1(_03253_),
+    .S(_03265_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03286_));
- sky130_fd_sc_hd__clkbuf_1 _16311_ (.A(_03286_),
+    .X(_03267_));
+ sky130_fd_sc_hd__clkbuf_1 _16271_ (.A(_03267_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01220_));
- sky130_fd_sc_hd__mux2_1 _16312_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[36][6] ),
-    .A1(_03274_),
-    .S(_03284_),
+ sky130_fd_sc_hd__mux2_1 _16272_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[36][6] ),
+    .A1(_03255_),
+    .S(_03265_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03287_));
- sky130_fd_sc_hd__clkbuf_1 _16313_ (.A(_03287_),
+    .X(_03268_));
+ sky130_fd_sc_hd__clkbuf_1 _16273_ (.A(_03268_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01221_));
- sky130_fd_sc_hd__mux2_1 _16314_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[36][7] ),
-    .A1(_03276_),
-    .S(_03284_),
+ sky130_fd_sc_hd__mux2_1 _16274_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[36][7] ),
+    .A1(_03257_),
+    .S(_03265_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03288_));
- sky130_fd_sc_hd__clkbuf_1 _16315_ (.A(_03288_),
+    .X(_03269_));
+ sky130_fd_sc_hd__clkbuf_1 _16275_ (.A(_03269_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01222_));
- sky130_fd_sc_hd__clkbuf_4 _16316_ (.A(_03129_),
+ sky130_fd_sc_hd__clkbuf_1 _16276_ (.A(_03110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03289_));
- sky130_fd_sc_hd__nor2_1 _16317_ (.A(_03064_),
-    .B(_03289_),
+    .X(_03270_));
+ sky130_fd_sc_hd__nor2_1 _16277_ (.A(_03045_),
+    .B(_03270_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03290_));
- sky130_fd_sc_hd__clkbuf_2 _16318_ (.A(_03290_),
+    .Y(_03271_));
+ sky130_fd_sc_hd__clkbuf_2 _16278_ (.A(_03271_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03291_));
- sky130_fd_sc_hd__mux2_1 _16319_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[35][0] ),
-    .A1(_03259_),
-    .S(_03291_),
+    .X(_03272_));
+ sky130_fd_sc_hd__mux2_1 _16279_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[35][0] ),
+    .A1(_03240_),
+    .S(_03272_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03292_));
- sky130_fd_sc_hd__clkbuf_1 _16320_ (.A(_03292_),
+    .X(_03273_));
+ sky130_fd_sc_hd__clkbuf_1 _16280_ (.A(_03273_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01223_));
- sky130_fd_sc_hd__mux2_1 _16321_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[35][1] ),
-    .A1(_03263_),
-    .S(_03291_),
+ sky130_fd_sc_hd__mux2_1 _16281_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[35][1] ),
+    .A1(_03244_),
+    .S(_03272_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03293_));
- sky130_fd_sc_hd__clkbuf_1 _16322_ (.A(_03293_),
+    .X(_03274_));
+ sky130_fd_sc_hd__clkbuf_1 _16282_ (.A(_03274_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01224_));
- sky130_fd_sc_hd__mux2_1 _16323_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[35][2] ),
-    .A1(_03265_),
-    .S(_03291_),
+ sky130_fd_sc_hd__mux2_1 _16283_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[35][2] ),
+    .A1(_03246_),
+    .S(_03272_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03294_));
- sky130_fd_sc_hd__clkbuf_1 _16324_ (.A(_03294_),
+    .X(_03275_));
+ sky130_fd_sc_hd__clkbuf_1 _16284_ (.A(_03275_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01225_));
- sky130_fd_sc_hd__mux2_1 _16325_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[35][3] ),
-    .A1(_03267_),
-    .S(_03291_),
+ sky130_fd_sc_hd__mux2_1 _16285_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[35][3] ),
+    .A1(_03248_),
+    .S(_03272_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03295_));
- sky130_fd_sc_hd__clkbuf_1 _16326_ (.A(_03295_),
+    .X(_03276_));
+ sky130_fd_sc_hd__clkbuf_1 _16286_ (.A(_03276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01226_));
- sky130_fd_sc_hd__clkbuf_2 _16327_ (.A(_03290_),
+ sky130_fd_sc_hd__clkbuf_2 _16287_ (.A(_03271_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03277_));
+ sky130_fd_sc_hd__mux2_1 _16288_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[35][4] ),
+    .A1(_03250_),
+    .S(_03277_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03278_));
+ sky130_fd_sc_hd__clkbuf_1 _16289_ (.A(_03278_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01227_));
+ sky130_fd_sc_hd__mux2_1 _16290_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[35][5] ),
+    .A1(_03253_),
+    .S(_03277_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03279_));
+ sky130_fd_sc_hd__clkbuf_1 _16291_ (.A(_03279_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01228_));
+ sky130_fd_sc_hd__mux2_1 _16292_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[35][6] ),
+    .A1(_03255_),
+    .S(_03277_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03280_));
+ sky130_fd_sc_hd__clkbuf_1 _16293_ (.A(_03280_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01229_));
+ sky130_fd_sc_hd__mux2_1 _16294_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[35][7] ),
+    .A1(_03257_),
+    .S(_03277_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03281_));
+ sky130_fd_sc_hd__clkbuf_1 _16295_ (.A(_03281_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01230_));
+ sky130_fd_sc_hd__nor2_1 _16296_ (.A(_03057_),
+    .B(_03270_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_03282_));
+ sky130_fd_sc_hd__clkbuf_2 _16297_ (.A(_03282_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03283_));
+ sky130_fd_sc_hd__mux2_1 _16298_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[34][0] ),
+    .A1(_03240_),
+    .S(_03283_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03284_));
+ sky130_fd_sc_hd__clkbuf_1 _16299_ (.A(_03284_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01231_));
+ sky130_fd_sc_hd__mux2_1 _16300_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[34][1] ),
+    .A1(_03244_),
+    .S(_03283_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03285_));
+ sky130_fd_sc_hd__clkbuf_1 _16301_ (.A(_03285_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01232_));
+ sky130_fd_sc_hd__mux2_1 _16302_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[34][2] ),
+    .A1(_03246_),
+    .S(_03283_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03286_));
+ sky130_fd_sc_hd__clkbuf_1 _16303_ (.A(_03286_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01233_));
+ sky130_fd_sc_hd__mux2_1 _16304_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[34][3] ),
+    .A1(_03248_),
+    .S(_03283_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03287_));
+ sky130_fd_sc_hd__clkbuf_1 _16305_ (.A(_03287_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01234_));
+ sky130_fd_sc_hd__clkbuf_2 _16306_ (.A(_03282_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03288_));
+ sky130_fd_sc_hd__mux2_1 _16307_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[34][4] ),
+    .A1(_03250_),
+    .S(_03288_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03289_));
+ sky130_fd_sc_hd__clkbuf_1 _16308_ (.A(_03289_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01235_));
+ sky130_fd_sc_hd__mux2_1 _16309_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[34][5] ),
+    .A1(_03253_),
+    .S(_03288_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03290_));
+ sky130_fd_sc_hd__clkbuf_1 _16310_ (.A(_03290_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01236_));
+ sky130_fd_sc_hd__mux2_1 _16311_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[34][6] ),
+    .A1(_03255_),
+    .S(_03288_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03291_));
+ sky130_fd_sc_hd__clkbuf_1 _16312_ (.A(_03291_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01237_));
+ sky130_fd_sc_hd__mux2_1 _16313_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[34][7] ),
+    .A1(_03257_),
+    .S(_03288_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03292_));
+ sky130_fd_sc_hd__clkbuf_1 _16314_ (.A(_03292_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01238_));
+ sky130_fd_sc_hd__clkbuf_4 _16315_ (.A(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03293_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _16316_ (.A(_03293_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03294_));
+ sky130_fd_sc_hd__nor2_1 _16317_ (.A(_03071_),
+    .B(_03270_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_03295_));
+ sky130_fd_sc_hd__clkbuf_2 _16318_ (.A(_03295_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_03296_));
- sky130_fd_sc_hd__mux2_1 _16328_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[35][4] ),
-    .A1(_03269_),
+ sky130_fd_sc_hd__mux2_1 _16319_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[33][0] ),
+    .A1(_03294_),
     .S(_03296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_03297_));
- sky130_fd_sc_hd__clkbuf_1 _16329_ (.A(_03297_),
+ sky130_fd_sc_hd__clkbuf_1 _16320_ (.A(_03297_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_01227_));
- sky130_fd_sc_hd__mux2_1 _16330_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[35][5] ),
-    .A1(_03272_),
-    .S(_03296_),
+    .X(_01239_));
+ sky130_fd_sc_hd__clkbuf_4 _16321_ (.A(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_03298_));
- sky130_fd_sc_hd__clkbuf_1 _16331_ (.A(_03298_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01228_));
- sky130_fd_sc_hd__mux2_1 _16332_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[35][6] ),
-    .A1(_03274_),
-    .S(_03296_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _16322_ (.A(_03298_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_03299_));
- sky130_fd_sc_hd__clkbuf_1 _16333_ (.A(_03299_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01229_));
- sky130_fd_sc_hd__mux2_1 _16334_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[35][7] ),
-    .A1(_03276_),
+ sky130_fd_sc_hd__mux2_1 _16323_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[33][1] ),
+    .A1(_03299_),
     .S(_03296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_03300_));
- sky130_fd_sc_hd__clkbuf_1 _16335_ (.A(_03300_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01230_));
- sky130_fd_sc_hd__nor2_1 _16336_ (.A(_03076_),
-    .B(_03289_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_03301_));
- sky130_fd_sc_hd__clkbuf_2 _16337_ (.A(_03301_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03302_));
- sky130_fd_sc_hd__mux2_1 _16338_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[34][0] ),
-    .A1(_03259_),
-    .S(_03302_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03303_));
- sky130_fd_sc_hd__clkbuf_1 _16339_ (.A(_03303_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01231_));
- sky130_fd_sc_hd__mux2_1 _16340_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[34][1] ),
-    .A1(_03263_),
-    .S(_03302_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03304_));
- sky130_fd_sc_hd__clkbuf_1 _16341_ (.A(_03304_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01232_));
- sky130_fd_sc_hd__mux2_1 _16342_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[34][2] ),
-    .A1(_03265_),
-    .S(_03302_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03305_));
- sky130_fd_sc_hd__clkbuf_1 _16343_ (.A(_03305_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01233_));
- sky130_fd_sc_hd__mux2_1 _16344_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[34][3] ),
-    .A1(_03267_),
-    .S(_03302_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03306_));
- sky130_fd_sc_hd__clkbuf_1 _16345_ (.A(_03306_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01234_));
- sky130_fd_sc_hd__clkbuf_2 _16346_ (.A(_03301_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03307_));
- sky130_fd_sc_hd__mux2_1 _16347_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[34][4] ),
-    .A1(_03269_),
-    .S(_03307_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03308_));
- sky130_fd_sc_hd__clkbuf_1 _16348_ (.A(_03308_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01235_));
- sky130_fd_sc_hd__mux2_1 _16349_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[34][5] ),
-    .A1(_03272_),
-    .S(_03307_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03309_));
- sky130_fd_sc_hd__clkbuf_1 _16350_ (.A(_03309_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01236_));
- sky130_fd_sc_hd__mux2_1 _16351_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[34][6] ),
-    .A1(_03274_),
-    .S(_03307_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03310_));
- sky130_fd_sc_hd__clkbuf_1 _16352_ (.A(_03310_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01237_));
- sky130_fd_sc_hd__mux2_1 _16353_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[34][7] ),
-    .A1(_03276_),
-    .S(_03307_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03311_));
- sky130_fd_sc_hd__clkbuf_1 _16354_ (.A(_03311_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01238_));
- sky130_fd_sc_hd__clkbuf_4 _16355_ (.A(\u_usb_host.u_core.u_fifo_tx.data_i[0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03312_));
- sky130_fd_sc_hd__clkbuf_1 _16356_ (.A(_03312_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03313_));
- sky130_fd_sc_hd__nor2_1 _16357_ (.A(_03090_),
-    .B(_03289_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_03314_));
- sky130_fd_sc_hd__buf_2 _16358_ (.A(_03314_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03315_));
- sky130_fd_sc_hd__mux2_1 _16359_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[33][0] ),
-    .A1(_03313_),
-    .S(_03315_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03316_));
- sky130_fd_sc_hd__clkbuf_1 _16360_ (.A(_03316_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01239_));
- sky130_fd_sc_hd__buf_2 _16361_ (.A(\u_usb_host.u_core.u_fifo_tx.data_i[1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03317_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _16362_ (.A(_03317_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03318_));
- sky130_fd_sc_hd__mux2_1 _16363_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[33][1] ),
-    .A1(_03318_),
-    .S(_03315_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03319_));
- sky130_fd_sc_hd__clkbuf_1 _16364_ (.A(_03319_),
+ sky130_fd_sc_hd__clkbuf_1 _16324_ (.A(_03300_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01240_));
- sky130_fd_sc_hd__buf_2 _16365_ (.A(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
+ sky130_fd_sc_hd__clkbuf_4 _16325_ (.A(\u_usb_host.u_core.u_fifo_tx.data_i[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03320_));
- sky130_fd_sc_hd__clkbuf_2 _16366_ (.A(_03320_),
+    .X(_03301_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _16326_ (.A(_03301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03321_));
- sky130_fd_sc_hd__mux2_1 _16367_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[33][2] ),
-    .A1(_03321_),
-    .S(_03315_),
+    .X(_03302_));
+ sky130_fd_sc_hd__mux2_1 _16327_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[33][2] ),
+    .A1(_03302_),
+    .S(_03296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03322_));
- sky130_fd_sc_hd__clkbuf_1 _16368_ (.A(_03322_),
+    .X(_03303_));
+ sky130_fd_sc_hd__clkbuf_1 _16328_ (.A(_03303_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01241_));
- sky130_fd_sc_hd__clkbuf_2 _16369_ (.A(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
+ sky130_fd_sc_hd__clkbuf_4 _16329_ (.A(\u_usb_host.u_core.u_fifo_tx.data_i[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03323_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _16370_ (.A(_03323_),
+    .X(_03304_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _16330_ (.A(_03304_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03324_));
- sky130_fd_sc_hd__mux2_1 _16371_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[33][3] ),
-    .A1(_03324_),
-    .S(_03315_),
+    .X(_03305_));
+ sky130_fd_sc_hd__mux2_1 _16331_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[33][3] ),
+    .A1(_03305_),
+    .S(_03296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03325_));
- sky130_fd_sc_hd__clkbuf_1 _16372_ (.A(_03325_),
+    .X(_03306_));
+ sky130_fd_sc_hd__clkbuf_1 _16332_ (.A(_03306_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01242_));
- sky130_fd_sc_hd__clkbuf_2 _16373_ (.A(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
+ sky130_fd_sc_hd__clkbuf_2 _16333_ (.A(\u_usb_host.u_core.u_fifo_tx.data_i[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03326_));
- sky130_fd_sc_hd__clkbuf_1 _16374_ (.A(_03326_),
+    .X(_03307_));
+ sky130_fd_sc_hd__clkbuf_1 _16334_ (.A(_03307_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03327_));
- sky130_fd_sc_hd__clkbuf_2 _16375_ (.A(_03314_),
+    .X(_03308_));
+ sky130_fd_sc_hd__clkbuf_2 _16335_ (.A(_03295_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03328_));
- sky130_fd_sc_hd__mux2_1 _16376_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[33][4] ),
-    .A1(_03327_),
-    .S(_03328_),
+    .X(_03309_));
+ sky130_fd_sc_hd__mux2_1 _16336_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[33][4] ),
+    .A1(_03308_),
+    .S(_03309_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03329_));
- sky130_fd_sc_hd__clkbuf_1 _16377_ (.A(_03329_),
+    .X(_03310_));
+ sky130_fd_sc_hd__clkbuf_1 _16337_ (.A(_03310_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01243_));
- sky130_fd_sc_hd__buf_2 _16378_ (.A(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _16338_ (.A(\u_usb_host.u_core.u_fifo_tx.data_i[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03330_));
- sky130_fd_sc_hd__clkbuf_2 _16379_ (.A(_03330_),
+    .X(_03311_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _16339_ (.A(_03311_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03331_));
- sky130_fd_sc_hd__mux2_1 _16380_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[33][5] ),
-    .A1(_03331_),
-    .S(_03328_),
+    .X(_03312_));
+ sky130_fd_sc_hd__mux2_1 _16340_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[33][5] ),
+    .A1(_03312_),
+    .S(_03309_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03332_));
- sky130_fd_sc_hd__clkbuf_1 _16381_ (.A(_03332_),
+    .X(_03313_));
+ sky130_fd_sc_hd__clkbuf_1 _16341_ (.A(_03313_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01244_));
- sky130_fd_sc_hd__clkbuf_2 _16382_ (.A(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
+ sky130_fd_sc_hd__clkbuf_2 _16342_ (.A(\u_usb_host.u_core.u_fifo_tx.data_i[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03333_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _16383_ (.A(_03333_),
+    .X(_03314_));
+ sky130_fd_sc_hd__clkbuf_1 _16343_ (.A(_03314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03334_));
- sky130_fd_sc_hd__mux2_1 _16384_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[33][6] ),
-    .A1(_03334_),
-    .S(_03328_),
+    .X(_03315_));
+ sky130_fd_sc_hd__mux2_1 _16344_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[33][6] ),
+    .A1(_03315_),
+    .S(_03309_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03335_));
- sky130_fd_sc_hd__clkbuf_1 _16385_ (.A(_03335_),
+    .X(_03316_));
+ sky130_fd_sc_hd__clkbuf_1 _16345_ (.A(_03316_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01245_));
- sky130_fd_sc_hd__buf_2 _16386_ (.A(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
+ sky130_fd_sc_hd__clkbuf_2 _16346_ (.A(\u_usb_host.u_core.u_fifo_tx.data_i[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03336_));
- sky130_fd_sc_hd__clkbuf_1 _16387_ (.A(_03336_),
+    .X(_03317_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _16347_ (.A(_03317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03337_));
- sky130_fd_sc_hd__mux2_1 _16388_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[33][7] ),
-    .A1(_03337_),
-    .S(_03328_),
+    .X(_03318_));
+ sky130_fd_sc_hd__mux2_1 _16348_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[33][7] ),
+    .A1(_03318_),
+    .S(_03309_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03338_));
- sky130_fd_sc_hd__clkbuf_1 _16389_ (.A(_03338_),
+    .X(_03319_));
+ sky130_fd_sc_hd__clkbuf_1 _16349_ (.A(_03319_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01246_));
- sky130_fd_sc_hd__nor2_1 _16390_ (.A(_03116_),
-    .B(_03289_),
+ sky130_fd_sc_hd__nor2_1 _16350_ (.A(_03097_),
+    .B(_03270_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03339_));
- sky130_fd_sc_hd__clkbuf_2 _16391_ (.A(_03339_),
+    .Y(_03320_));
+ sky130_fd_sc_hd__clkbuf_2 _16351_ (.A(_03320_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03321_));
+ sky130_fd_sc_hd__mux2_1 _16352_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[32][0] ),
+    .A1(_03294_),
+    .S(_03321_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03322_));
+ sky130_fd_sc_hd__clkbuf_1 _16353_ (.A(_03322_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01247_));
+ sky130_fd_sc_hd__mux2_1 _16354_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[32][1] ),
+    .A1(_03299_),
+    .S(_03321_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03323_));
+ sky130_fd_sc_hd__clkbuf_1 _16355_ (.A(_03323_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01248_));
+ sky130_fd_sc_hd__mux2_1 _16356_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[32][2] ),
+    .A1(_03302_),
+    .S(_03321_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03324_));
+ sky130_fd_sc_hd__clkbuf_1 _16357_ (.A(_03324_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01249_));
+ sky130_fd_sc_hd__mux2_1 _16358_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[32][3] ),
+    .A1(_03305_),
+    .S(_03321_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03325_));
+ sky130_fd_sc_hd__clkbuf_1 _16359_ (.A(_03325_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01250_));
+ sky130_fd_sc_hd__buf_2 _16360_ (.A(_03320_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03326_));
+ sky130_fd_sc_hd__mux2_1 _16361_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[32][4] ),
+    .A1(_03308_),
+    .S(_03326_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03327_));
+ sky130_fd_sc_hd__clkbuf_1 _16362_ (.A(_03327_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01251_));
+ sky130_fd_sc_hd__mux2_1 _16363_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[32][5] ),
+    .A1(_03312_),
+    .S(_03326_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03328_));
+ sky130_fd_sc_hd__clkbuf_1 _16364_ (.A(_03328_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01252_));
+ sky130_fd_sc_hd__mux2_1 _16365_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[32][6] ),
+    .A1(_03315_),
+    .S(_03326_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03329_));
+ sky130_fd_sc_hd__clkbuf_1 _16366_ (.A(_03329_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01253_));
+ sky130_fd_sc_hd__mux2_1 _16367_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[32][7] ),
+    .A1(_03318_),
+    .S(_03326_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03330_));
+ sky130_fd_sc_hd__clkbuf_1 _16368_ (.A(_03330_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01254_));
+ sky130_fd_sc_hd__o21ai_4 _16369_ (.A1(_02781_),
+    .A2(_02784_),
+    .B1(\u_usb_host.u_core.u_fifo_tx.push_i ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_03331_));
+ sky130_fd_sc_hd__or3_4 _16370_ (.A(_02780_),
+    .B(_02779_),
+    .C(_03331_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03332_));
+ sky130_fd_sc_hd__clkbuf_4 _16371_ (.A(_03332_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03333_));
+ sky130_fd_sc_hd__or2_1 _16372_ (.A(_02793_),
+    .B(_03333_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03334_));
+ sky130_fd_sc_hd__clkbuf_2 _16373_ (.A(_03334_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03335_));
+ sky130_fd_sc_hd__mux2_1 _16374_ (.A0(_09605_),
+    .A1(\u_usb_host.u_core.u_fifo_tx.ram[31][0] ),
+    .S(_03335_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03336_));
+ sky130_fd_sc_hd__clkbuf_1 _16375_ (.A(_03336_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01255_));
+ sky130_fd_sc_hd__mux2_1 _16376_ (.A0(_09611_),
+    .A1(\u_usb_host.u_core.u_fifo_tx.ram[31][1] ),
+    .S(_03335_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03337_));
+ sky130_fd_sc_hd__clkbuf_1 _16377_ (.A(_03337_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01256_));
+ sky130_fd_sc_hd__mux2_1 _16378_ (.A0(_09615_),
+    .A1(\u_usb_host.u_core.u_fifo_tx.ram[31][2] ),
+    .S(_03335_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03338_));
+ sky130_fd_sc_hd__clkbuf_1 _16379_ (.A(_03338_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01257_));
+ sky130_fd_sc_hd__mux2_1 _16380_ (.A0(_09619_),
+    .A1(\u_usb_host.u_core.u_fifo_tx.ram[31][3] ),
+    .S(_03335_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03339_));
+ sky130_fd_sc_hd__clkbuf_1 _16381_ (.A(_03339_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01258_));
+ sky130_fd_sc_hd__clkbuf_2 _16382_ (.A(_03334_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_03340_));
- sky130_fd_sc_hd__mux2_1 _16392_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[32][0] ),
-    .A1(_03313_),
+ sky130_fd_sc_hd__mux2_1 _16383_ (.A0(_09623_),
+    .A1(\u_usb_host.u_core.u_fifo_tx.ram[31][4] ),
     .S(_03340_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_03341_));
- sky130_fd_sc_hd__clkbuf_1 _16393_ (.A(_03341_),
+ sky130_fd_sc_hd__clkbuf_1 _16384_ (.A(_03341_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_01247_));
- sky130_fd_sc_hd__mux2_1 _16394_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[32][1] ),
-    .A1(_03318_),
+    .X(_01259_));
+ sky130_fd_sc_hd__mux2_1 _16385_ (.A0(_09632_),
+    .A1(\u_usb_host.u_core.u_fifo_tx.ram[31][5] ),
     .S(_03340_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_03342_));
- sky130_fd_sc_hd__clkbuf_1 _16395_ (.A(_03342_),
+ sky130_fd_sc_hd__clkbuf_1 _16386_ (.A(_03342_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_01248_));
- sky130_fd_sc_hd__mux2_1 _16396_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[32][2] ),
-    .A1(_03321_),
+    .X(_01260_));
+ sky130_fd_sc_hd__mux2_1 _16387_ (.A0(_02307_),
+    .A1(\u_usb_host.u_core.u_fifo_tx.ram[31][6] ),
     .S(_03340_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_03343_));
- sky130_fd_sc_hd__clkbuf_1 _16397_ (.A(_03343_),
+ sky130_fd_sc_hd__clkbuf_1 _16388_ (.A(_03343_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_01249_));
- sky130_fd_sc_hd__mux2_1 _16398_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[32][3] ),
-    .A1(_03324_),
+    .X(_01261_));
+ sky130_fd_sc_hd__mux2_1 _16389_ (.A0(_02313_),
+    .A1(\u_usb_host.u_core.u_fifo_tx.ram[31][7] ),
     .S(_03340_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_03344_));
- sky130_fd_sc_hd__clkbuf_1 _16399_ (.A(_03344_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01250_));
- sky130_fd_sc_hd__clkbuf_2 _16400_ (.A(_03339_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03345_));
- sky130_fd_sc_hd__mux2_1 _16401_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[32][4] ),
-    .A1(_03327_),
-    .S(_03345_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03346_));
- sky130_fd_sc_hd__clkbuf_1 _16402_ (.A(_03346_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01251_));
- sky130_fd_sc_hd__mux2_1 _16403_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[32][5] ),
-    .A1(_03331_),
-    .S(_03345_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03347_));
- sky130_fd_sc_hd__clkbuf_1 _16404_ (.A(_03347_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01252_));
- sky130_fd_sc_hd__mux2_1 _16405_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[32][6] ),
-    .A1(_03334_),
-    .S(_03345_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03348_));
- sky130_fd_sc_hd__clkbuf_1 _16406_ (.A(_03348_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01253_));
- sky130_fd_sc_hd__mux2_1 _16407_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[32][7] ),
-    .A1(_03337_),
-    .S(_03345_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03349_));
- sky130_fd_sc_hd__clkbuf_1 _16408_ (.A(_03349_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01254_));
- sky130_fd_sc_hd__o21ai_4 _16409_ (.A1(_02799_),
-    .A2(_02802_),
-    .B1(\u_usb_host.u_core.u_fifo_tx.push_i ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_03350_));
- sky130_fd_sc_hd__or3_2 _16410_ (.A(_02798_),
-    .B(_02797_),
-    .C(_03350_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03351_));
- sky130_fd_sc_hd__clkbuf_4 _16411_ (.A(_03351_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03352_));
- sky130_fd_sc_hd__or2_1 _16412_ (.A(_02811_),
-    .B(_03352_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03353_));
- sky130_fd_sc_hd__clkbuf_2 _16413_ (.A(_03353_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03354_));
- sky130_fd_sc_hd__mux2_1 _16414_ (.A0(_02306_),
-    .A1(\u_usb_host.u_core.u_fifo_tx.ram[31][0] ),
-    .S(_03354_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03355_));
- sky130_fd_sc_hd__clkbuf_1 _16415_ (.A(_03355_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01255_));
- sky130_fd_sc_hd__mux2_1 _16416_ (.A0(_02312_),
-    .A1(\u_usb_host.u_core.u_fifo_tx.ram[31][1] ),
-    .S(_03354_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03356_));
- sky130_fd_sc_hd__clkbuf_1 _16417_ (.A(_03356_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01256_));
- sky130_fd_sc_hd__mux2_1 _16418_ (.A0(_02316_),
-    .A1(\u_usb_host.u_core.u_fifo_tx.ram[31][2] ),
-    .S(_03354_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03357_));
- sky130_fd_sc_hd__clkbuf_1 _16419_ (.A(_03357_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01257_));
- sky130_fd_sc_hd__mux2_1 _16420_ (.A0(_02320_),
-    .A1(\u_usb_host.u_core.u_fifo_tx.ram[31][3] ),
-    .S(_03354_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03358_));
- sky130_fd_sc_hd__clkbuf_1 _16421_ (.A(_03358_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01258_));
- sky130_fd_sc_hd__buf_2 _16422_ (.A(_03353_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03359_));
- sky130_fd_sc_hd__mux2_1 _16423_ (.A0(_02324_),
-    .A1(\u_usb_host.u_core.u_fifo_tx.ram[31][4] ),
-    .S(_03359_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03360_));
- sky130_fd_sc_hd__clkbuf_1 _16424_ (.A(_03360_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01259_));
- sky130_fd_sc_hd__mux2_1 _16425_ (.A0(_02333_),
-    .A1(\u_usb_host.u_core.u_fifo_tx.ram[31][5] ),
-    .S(_03359_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03361_));
- sky130_fd_sc_hd__clkbuf_1 _16426_ (.A(_03361_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01260_));
- sky130_fd_sc_hd__mux2_1 _16427_ (.A0(_02340_),
-    .A1(\u_usb_host.u_core.u_fifo_tx.ram[31][6] ),
-    .S(_03359_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03362_));
- sky130_fd_sc_hd__clkbuf_1 _16428_ (.A(_03362_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01261_));
- sky130_fd_sc_hd__mux2_1 _16429_ (.A0(_02346_),
-    .A1(\u_usb_host.u_core.u_fifo_tx.ram[31][7] ),
-    .S(_03359_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03363_));
- sky130_fd_sc_hd__clkbuf_1 _16430_ (.A(_03363_),
+ sky130_fd_sc_hd__clkbuf_1 _16390_ (.A(_03344_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01262_));
- sky130_fd_sc_hd__clkbuf_1 _16431_ (.A(_03351_),
+ sky130_fd_sc_hd__clkbuf_2 _16391_ (.A(_03332_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03364_));
- sky130_fd_sc_hd__nor2_1 _16432_ (.A(_02883_),
-    .B(_03364_),
+    .X(_03345_));
+ sky130_fd_sc_hd__nor2_1 _16392_ (.A(_02865_),
+    .B(_03345_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03365_));
- sky130_fd_sc_hd__clkbuf_2 _16433_ (.A(_03365_),
+    .Y(_03346_));
+ sky130_fd_sc_hd__clkbuf_2 _16393_ (.A(_03346_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03366_));
- sky130_fd_sc_hd__mux2_1 _16434_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[30][0] ),
-    .A1(_03313_),
-    .S(_03366_),
+    .X(_03347_));
+ sky130_fd_sc_hd__mux2_1 _16394_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[30][0] ),
+    .A1(_03294_),
+    .S(_03347_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03367_));
- sky130_fd_sc_hd__clkbuf_1 _16435_ (.A(_03367_),
+    .X(_03348_));
+ sky130_fd_sc_hd__clkbuf_1 _16395_ (.A(_03348_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01263_));
- sky130_fd_sc_hd__mux2_1 _16436_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[30][1] ),
-    .A1(_03318_),
-    .S(_03366_),
+ sky130_fd_sc_hd__mux2_1 _16396_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[30][1] ),
+    .A1(_03299_),
+    .S(_03347_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03368_));
- sky130_fd_sc_hd__clkbuf_1 _16437_ (.A(_03368_),
+    .X(_03349_));
+ sky130_fd_sc_hd__clkbuf_1 _16397_ (.A(_03349_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01264_));
- sky130_fd_sc_hd__mux2_1 _16438_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[30][2] ),
-    .A1(_03321_),
-    .S(_03366_),
+ sky130_fd_sc_hd__mux2_1 _16398_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[30][2] ),
+    .A1(_03302_),
+    .S(_03347_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03369_));
- sky130_fd_sc_hd__clkbuf_1 _16439_ (.A(_03369_),
+    .X(_03350_));
+ sky130_fd_sc_hd__clkbuf_1 _16399_ (.A(_03350_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01265_));
- sky130_fd_sc_hd__mux2_1 _16440_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[30][3] ),
-    .A1(_03324_),
-    .S(_03366_),
+ sky130_fd_sc_hd__mux2_1 _16400_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[30][3] ),
+    .A1(_03305_),
+    .S(_03347_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03370_));
- sky130_fd_sc_hd__clkbuf_1 _16441_ (.A(_03370_),
+    .X(_03351_));
+ sky130_fd_sc_hd__clkbuf_1 _16401_ (.A(_03351_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01266_));
- sky130_fd_sc_hd__buf_2 _16442_ (.A(_03365_),
+ sky130_fd_sc_hd__clkbuf_2 _16402_ (.A(_03346_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03371_));
- sky130_fd_sc_hd__mux2_1 _16443_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[30][4] ),
-    .A1(_03327_),
-    .S(_03371_),
+    .X(_03352_));
+ sky130_fd_sc_hd__mux2_1 _16403_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[30][4] ),
+    .A1(_03308_),
+    .S(_03352_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03372_));
- sky130_fd_sc_hd__clkbuf_1 _16444_ (.A(_03372_),
+    .X(_03353_));
+ sky130_fd_sc_hd__clkbuf_1 _16404_ (.A(_03353_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01267_));
- sky130_fd_sc_hd__mux2_1 _16445_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[30][5] ),
-    .A1(_03331_),
-    .S(_03371_),
+ sky130_fd_sc_hd__mux2_1 _16405_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[30][5] ),
+    .A1(_03312_),
+    .S(_03352_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03373_));
- sky130_fd_sc_hd__clkbuf_1 _16446_ (.A(_03373_),
+    .X(_03354_));
+ sky130_fd_sc_hd__clkbuf_1 _16406_ (.A(_03354_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01268_));
- sky130_fd_sc_hd__mux2_1 _16447_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[30][6] ),
-    .A1(_03334_),
-    .S(_03371_),
+ sky130_fd_sc_hd__mux2_1 _16407_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[30][6] ),
+    .A1(_03315_),
+    .S(_03352_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03374_));
- sky130_fd_sc_hd__clkbuf_1 _16448_ (.A(_03374_),
+    .X(_03355_));
+ sky130_fd_sc_hd__clkbuf_1 _16408_ (.A(_03355_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01269_));
- sky130_fd_sc_hd__mux2_1 _16449_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[30][7] ),
-    .A1(_03337_),
-    .S(_03371_),
+ sky130_fd_sc_hd__mux2_1 _16409_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[30][7] ),
+    .A1(_03318_),
+    .S(_03352_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03375_));
- sky130_fd_sc_hd__clkbuf_1 _16450_ (.A(_03375_),
+    .X(_03356_));
+ sky130_fd_sc_hd__clkbuf_1 _16410_ (.A(_03356_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01270_));
- sky130_fd_sc_hd__nor2_1 _16451_ (.A(_02899_),
-    .B(_03364_),
+ sky130_fd_sc_hd__nor2_1 _16411_ (.A(_02881_),
+    .B(_03345_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03376_));
- sky130_fd_sc_hd__clkbuf_2 _16452_ (.A(_03376_),
+    .Y(_03357_));
+ sky130_fd_sc_hd__buf_2 _16412_ (.A(_03357_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03377_));
- sky130_fd_sc_hd__mux2_1 _16453_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[29][0] ),
-    .A1(_03313_),
-    .S(_03377_),
+    .X(_03358_));
+ sky130_fd_sc_hd__mux2_1 _16413_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[29][0] ),
+    .A1(_03294_),
+    .S(_03358_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03378_));
- sky130_fd_sc_hd__clkbuf_1 _16454_ (.A(_03378_),
+    .X(_03359_));
+ sky130_fd_sc_hd__clkbuf_1 _16414_ (.A(_03359_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01271_));
- sky130_fd_sc_hd__mux2_1 _16455_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[29][1] ),
-    .A1(_03318_),
-    .S(_03377_),
+ sky130_fd_sc_hd__mux2_1 _16415_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[29][1] ),
+    .A1(_03299_),
+    .S(_03358_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03379_));
- sky130_fd_sc_hd__clkbuf_1 _16456_ (.A(_03379_),
+    .X(_03360_));
+ sky130_fd_sc_hd__clkbuf_1 _16416_ (.A(_03360_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01272_));
- sky130_fd_sc_hd__mux2_1 _16457_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[29][2] ),
-    .A1(_03321_),
-    .S(_03377_),
+ sky130_fd_sc_hd__mux2_1 _16417_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[29][2] ),
+    .A1(_03302_),
+    .S(_03358_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03380_));
- sky130_fd_sc_hd__clkbuf_1 _16458_ (.A(_03380_),
+    .X(_03361_));
+ sky130_fd_sc_hd__clkbuf_1 _16418_ (.A(_03361_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01273_));
- sky130_fd_sc_hd__mux2_1 _16459_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[29][3] ),
-    .A1(_03324_),
-    .S(_03377_),
+ sky130_fd_sc_hd__mux2_1 _16419_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[29][3] ),
+    .A1(_03305_),
+    .S(_03358_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03381_));
- sky130_fd_sc_hd__clkbuf_1 _16460_ (.A(_03381_),
+    .X(_03362_));
+ sky130_fd_sc_hd__clkbuf_1 _16420_ (.A(_03362_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01274_));
- sky130_fd_sc_hd__clkbuf_2 _16461_ (.A(_03376_),
+ sky130_fd_sc_hd__clkbuf_2 _16421_ (.A(_03357_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03382_));
- sky130_fd_sc_hd__mux2_1 _16462_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[29][4] ),
-    .A1(_03327_),
-    .S(_03382_),
+    .X(_03363_));
+ sky130_fd_sc_hd__mux2_1 _16422_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[29][4] ),
+    .A1(_03308_),
+    .S(_03363_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03383_));
- sky130_fd_sc_hd__clkbuf_1 _16463_ (.A(_03383_),
+    .X(_03364_));
+ sky130_fd_sc_hd__clkbuf_1 _16423_ (.A(_03364_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01275_));
- sky130_fd_sc_hd__mux2_1 _16464_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[29][5] ),
-    .A1(_03331_),
-    .S(_03382_),
+ sky130_fd_sc_hd__mux2_1 _16424_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[29][5] ),
+    .A1(_03312_),
+    .S(_03363_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03384_));
- sky130_fd_sc_hd__clkbuf_1 _16465_ (.A(_03384_),
+    .X(_03365_));
+ sky130_fd_sc_hd__clkbuf_1 _16425_ (.A(_03365_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01276_));
- sky130_fd_sc_hd__mux2_1 _16466_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[29][6] ),
-    .A1(_03334_),
-    .S(_03382_),
+ sky130_fd_sc_hd__mux2_1 _16426_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[29][6] ),
+    .A1(_03315_),
+    .S(_03363_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03385_));
- sky130_fd_sc_hd__clkbuf_1 _16467_ (.A(_03385_),
+    .X(_03366_));
+ sky130_fd_sc_hd__clkbuf_1 _16427_ (.A(_03366_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01277_));
- sky130_fd_sc_hd__mux2_1 _16468_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[29][7] ),
-    .A1(_03337_),
-    .S(_03382_),
+ sky130_fd_sc_hd__mux2_1 _16428_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[29][7] ),
+    .A1(_03318_),
+    .S(_03363_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03386_));
- sky130_fd_sc_hd__clkbuf_1 _16469_ (.A(_03386_),
+    .X(_03367_));
+ sky130_fd_sc_hd__clkbuf_1 _16429_ (.A(_03367_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01278_));
- sky130_fd_sc_hd__clkbuf_1 _16470_ (.A(_03312_),
+ sky130_fd_sc_hd__clkbuf_1 _16430_ (.A(_03293_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03387_));
- sky130_fd_sc_hd__nor2_1 _16471_ (.A(_02927_),
-    .B(_03364_),
+    .X(_03368_));
+ sky130_fd_sc_hd__nor2_1 _16431_ (.A(_02909_),
+    .B(_03345_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03388_));
- sky130_fd_sc_hd__buf_2 _16472_ (.A(_03388_),
+    .Y(_03369_));
+ sky130_fd_sc_hd__buf_2 _16432_ (.A(_03369_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03389_));
- sky130_fd_sc_hd__mux2_1 _16473_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[28][0] ),
-    .A1(_03387_),
-    .S(_03389_),
+    .X(_03370_));
+ sky130_fd_sc_hd__mux2_1 _16433_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[28][0] ),
+    .A1(_03368_),
+    .S(_03370_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03390_));
- sky130_fd_sc_hd__clkbuf_1 _16474_ (.A(_03390_),
+    .X(_03371_));
+ sky130_fd_sc_hd__clkbuf_1 _16434_ (.A(_03371_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01279_));
- sky130_fd_sc_hd__clkbuf_1 _16475_ (.A(_03317_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _16435_ (.A(_03298_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03391_));
- sky130_fd_sc_hd__mux2_1 _16476_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[28][1] ),
-    .A1(_03391_),
-    .S(_03389_),
+    .X(_03372_));
+ sky130_fd_sc_hd__mux2_1 _16436_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[28][1] ),
+    .A1(_03372_),
+    .S(_03370_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03392_));
- sky130_fd_sc_hd__clkbuf_1 _16477_ (.A(_03392_),
+    .X(_03373_));
+ sky130_fd_sc_hd__clkbuf_1 _16437_ (.A(_03373_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01280_));
- sky130_fd_sc_hd__clkbuf_1 _16478_ (.A(_03320_),
+ sky130_fd_sc_hd__clkbuf_2 _16438_ (.A(_03301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03393_));
- sky130_fd_sc_hd__mux2_1 _16479_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[28][2] ),
-    .A1(_03393_),
-    .S(_03389_),
+    .X(_03374_));
+ sky130_fd_sc_hd__mux2_1 _16439_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[28][2] ),
+    .A1(_03374_),
+    .S(_03370_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03394_));
- sky130_fd_sc_hd__clkbuf_1 _16480_ (.A(_03394_),
+    .X(_03375_));
+ sky130_fd_sc_hd__clkbuf_1 _16440_ (.A(_03375_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01281_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _16481_ (.A(_03323_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _16441_ (.A(_03304_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03395_));
- sky130_fd_sc_hd__mux2_1 _16482_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[28][3] ),
-    .A1(_03395_),
-    .S(_03389_),
+    .X(_03376_));
+ sky130_fd_sc_hd__mux2_1 _16442_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[28][3] ),
+    .A1(_03376_),
+    .S(_03370_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03396_));
- sky130_fd_sc_hd__clkbuf_1 _16483_ (.A(_03396_),
+    .X(_03377_));
+ sky130_fd_sc_hd__clkbuf_1 _16443_ (.A(_03377_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01282_));
- sky130_fd_sc_hd__clkbuf_1 _16484_ (.A(_03326_),
+ sky130_fd_sc_hd__clkbuf_1 _16444_ (.A(_03307_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03397_));
- sky130_fd_sc_hd__clkbuf_2 _16485_ (.A(_03388_),
+    .X(_03378_));
+ sky130_fd_sc_hd__buf_2 _16445_ (.A(_03369_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03398_));
- sky130_fd_sc_hd__mux2_1 _16486_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[28][4] ),
-    .A1(_03397_),
-    .S(_03398_),
+    .X(_03379_));
+ sky130_fd_sc_hd__mux2_1 _16446_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[28][4] ),
+    .A1(_03378_),
+    .S(_03379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03399_));
- sky130_fd_sc_hd__clkbuf_1 _16487_ (.A(_03399_),
+    .X(_03380_));
+ sky130_fd_sc_hd__clkbuf_1 _16447_ (.A(_03380_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01283_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _16488_ (.A(_03330_),
+ sky130_fd_sc_hd__clkbuf_2 _16448_ (.A(_03311_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03400_));
- sky130_fd_sc_hd__mux2_1 _16489_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[28][5] ),
-    .A1(_03400_),
-    .S(_03398_),
+    .X(_03381_));
+ sky130_fd_sc_hd__mux2_1 _16449_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[28][5] ),
+    .A1(_03381_),
+    .S(_03379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03401_));
- sky130_fd_sc_hd__clkbuf_1 _16490_ (.A(_03401_),
+    .X(_03382_));
+ sky130_fd_sc_hd__clkbuf_1 _16450_ (.A(_03382_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01284_));
- sky130_fd_sc_hd__clkbuf_1 _16491_ (.A(_03333_),
+ sky130_fd_sc_hd__clkbuf_1 _16451_ (.A(_03314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03402_));
- sky130_fd_sc_hd__mux2_1 _16492_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[28][6] ),
-    .A1(_03402_),
-    .S(_03398_),
+    .X(_03383_));
+ sky130_fd_sc_hd__mux2_1 _16452_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[28][6] ),
+    .A1(_03383_),
+    .S(_03379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03403_));
- sky130_fd_sc_hd__clkbuf_1 _16493_ (.A(_03403_),
+    .X(_03384_));
+ sky130_fd_sc_hd__clkbuf_1 _16453_ (.A(_03384_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01285_));
- sky130_fd_sc_hd__clkbuf_1 _16494_ (.A(_03336_),
+ sky130_fd_sc_hd__clkbuf_1 _16454_ (.A(_03317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03404_));
- sky130_fd_sc_hd__mux2_1 _16495_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[28][7] ),
-    .A1(_03404_),
-    .S(_03398_),
+    .X(_03385_));
+ sky130_fd_sc_hd__mux2_1 _16455_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[28][7] ),
+    .A1(_03385_),
+    .S(_03379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03405_));
- sky130_fd_sc_hd__clkbuf_1 _16496_ (.A(_03405_),
+    .X(_03386_));
+ sky130_fd_sc_hd__clkbuf_1 _16456_ (.A(_03386_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01286_));
- sky130_fd_sc_hd__nor2_1 _16497_ (.A(_02944_),
-    .B(_03364_),
+ sky130_fd_sc_hd__nor2_2 _16457_ (.A(_02925_),
+    .B(_03345_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03406_));
- sky130_fd_sc_hd__buf_2 _16498_ (.A(_03406_),
+    .Y(_03387_));
+ sky130_fd_sc_hd__clkbuf_2 _16458_ (.A(_03387_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03407_));
- sky130_fd_sc_hd__mux2_1 _16499_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[27][0] ),
-    .A1(_03387_),
-    .S(_03407_),
+    .X(_03388_));
+ sky130_fd_sc_hd__mux2_1 _16459_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[27][0] ),
+    .A1(_03368_),
+    .S(_03388_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03408_));
- sky130_fd_sc_hd__clkbuf_1 _16500_ (.A(_03408_),
+    .X(_03389_));
+ sky130_fd_sc_hd__clkbuf_1 _16460_ (.A(_03389_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01287_));
- sky130_fd_sc_hd__mux2_1 _16501_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[27][1] ),
-    .A1(_03391_),
-    .S(_03407_),
+ sky130_fd_sc_hd__mux2_1 _16461_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[27][1] ),
+    .A1(_03372_),
+    .S(_03388_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03409_));
- sky130_fd_sc_hd__clkbuf_1 _16502_ (.A(_03409_),
+    .X(_03390_));
+ sky130_fd_sc_hd__clkbuf_1 _16462_ (.A(_03390_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01288_));
- sky130_fd_sc_hd__mux2_1 _16503_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[27][2] ),
-    .A1(_03393_),
-    .S(_03407_),
+ sky130_fd_sc_hd__mux2_1 _16463_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[27][2] ),
+    .A1(_03374_),
+    .S(_03388_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03410_));
- sky130_fd_sc_hd__clkbuf_1 _16504_ (.A(_03410_),
+    .X(_03391_));
+ sky130_fd_sc_hd__clkbuf_1 _16464_ (.A(_03391_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01289_));
- sky130_fd_sc_hd__mux2_1 _16505_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[27][3] ),
-    .A1(_03395_),
-    .S(_03407_),
+ sky130_fd_sc_hd__mux2_1 _16465_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[27][3] ),
+    .A1(_03376_),
+    .S(_03388_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03411_));
- sky130_fd_sc_hd__clkbuf_1 _16506_ (.A(_03411_),
+    .X(_03392_));
+ sky130_fd_sc_hd__clkbuf_1 _16466_ (.A(_03392_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01290_));
- sky130_fd_sc_hd__clkbuf_2 _16507_ (.A(_03406_),
+ sky130_fd_sc_hd__buf_2 _16467_ (.A(_03387_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03412_));
- sky130_fd_sc_hd__mux2_1 _16508_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[27][4] ),
-    .A1(_03397_),
-    .S(_03412_),
+    .X(_03393_));
+ sky130_fd_sc_hd__mux2_1 _16468_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[27][4] ),
+    .A1(_03378_),
+    .S(_03393_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03413_));
- sky130_fd_sc_hd__clkbuf_1 _16509_ (.A(_03413_),
+    .X(_03394_));
+ sky130_fd_sc_hd__clkbuf_1 _16469_ (.A(_03394_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01291_));
- sky130_fd_sc_hd__mux2_1 _16510_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[27][5] ),
-    .A1(_03400_),
-    .S(_03412_),
+ sky130_fd_sc_hd__mux2_1 _16470_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[27][5] ),
+    .A1(_03381_),
+    .S(_03393_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03414_));
- sky130_fd_sc_hd__clkbuf_1 _16511_ (.A(_03414_),
+    .X(_03395_));
+ sky130_fd_sc_hd__clkbuf_1 _16471_ (.A(_03395_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01292_));
- sky130_fd_sc_hd__mux2_1 _16512_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[27][6] ),
-    .A1(_03402_),
-    .S(_03412_),
+ sky130_fd_sc_hd__mux2_1 _16472_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[27][6] ),
+    .A1(_03383_),
+    .S(_03393_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03415_));
- sky130_fd_sc_hd__clkbuf_1 _16513_ (.A(_03415_),
+    .X(_03396_));
+ sky130_fd_sc_hd__clkbuf_1 _16473_ (.A(_03396_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01293_));
- sky130_fd_sc_hd__mux2_1 _16514_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[27][7] ),
-    .A1(_03404_),
-    .S(_03412_),
+ sky130_fd_sc_hd__mux2_1 _16474_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[27][7] ),
+    .A1(_03385_),
+    .S(_03393_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03416_));
- sky130_fd_sc_hd__clkbuf_1 _16515_ (.A(_03416_),
+    .X(_03397_));
+ sky130_fd_sc_hd__clkbuf_1 _16475_ (.A(_03397_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01294_));
- sky130_fd_sc_hd__clkbuf_2 _16516_ (.A(_03351_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _16476_ (.A(_03332_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03417_));
- sky130_fd_sc_hd__nor2_1 _16517_ (.A(_02956_),
-    .B(_03417_),
+    .X(_03398_));
+ sky130_fd_sc_hd__nor2_2 _16477_ (.A(_02937_),
+    .B(_03398_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03418_));
- sky130_fd_sc_hd__buf_2 _16518_ (.A(_03418_),
+    .Y(_03399_));
+ sky130_fd_sc_hd__clkbuf_2 _16478_ (.A(_03399_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03419_));
- sky130_fd_sc_hd__mux2_1 _16519_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[26][0] ),
-    .A1(_03387_),
-    .S(_03419_),
+    .X(_03400_));
+ sky130_fd_sc_hd__mux2_1 _16479_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[26][0] ),
+    .A1(_03368_),
+    .S(_03400_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03420_));
- sky130_fd_sc_hd__clkbuf_1 _16520_ (.A(_03420_),
+    .X(_03401_));
+ sky130_fd_sc_hd__clkbuf_1 _16480_ (.A(_03401_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01295_));
- sky130_fd_sc_hd__mux2_1 _16521_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[26][1] ),
-    .A1(_03391_),
-    .S(_03419_),
+ sky130_fd_sc_hd__mux2_1 _16481_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[26][1] ),
+    .A1(_03372_),
+    .S(_03400_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03421_));
- sky130_fd_sc_hd__clkbuf_1 _16522_ (.A(_03421_),
+    .X(_03402_));
+ sky130_fd_sc_hd__clkbuf_1 _16482_ (.A(_03402_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01296_));
- sky130_fd_sc_hd__mux2_1 _16523_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[26][2] ),
-    .A1(_03393_),
-    .S(_03419_),
+ sky130_fd_sc_hd__mux2_1 _16483_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[26][2] ),
+    .A1(_03374_),
+    .S(_03400_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03422_));
- sky130_fd_sc_hd__clkbuf_1 _16524_ (.A(_03422_),
+    .X(_03403_));
+ sky130_fd_sc_hd__clkbuf_1 _16484_ (.A(_03403_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01297_));
- sky130_fd_sc_hd__mux2_1 _16525_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[26][3] ),
-    .A1(_03395_),
-    .S(_03419_),
+ sky130_fd_sc_hd__mux2_1 _16485_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[26][3] ),
+    .A1(_03376_),
+    .S(_03400_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03423_));
- sky130_fd_sc_hd__clkbuf_1 _16526_ (.A(_03423_),
+    .X(_03404_));
+ sky130_fd_sc_hd__clkbuf_1 _16486_ (.A(_03404_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01298_));
- sky130_fd_sc_hd__clkbuf_2 _16527_ (.A(_03418_),
+ sky130_fd_sc_hd__buf_2 _16487_ (.A(_03399_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03424_));
- sky130_fd_sc_hd__mux2_1 _16528_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[26][4] ),
-    .A1(_03397_),
-    .S(_03424_),
+    .X(_03405_));
+ sky130_fd_sc_hd__mux2_1 _16488_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[26][4] ),
+    .A1(_03378_),
+    .S(_03405_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03425_));
- sky130_fd_sc_hd__clkbuf_1 _16529_ (.A(_03425_),
+    .X(_03406_));
+ sky130_fd_sc_hd__clkbuf_1 _16489_ (.A(_03406_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01299_));
- sky130_fd_sc_hd__mux2_1 _16530_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[26][5] ),
-    .A1(_03400_),
-    .S(_03424_),
+ sky130_fd_sc_hd__mux2_1 _16490_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[26][5] ),
+    .A1(_03381_),
+    .S(_03405_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03426_));
- sky130_fd_sc_hd__clkbuf_1 _16531_ (.A(_03426_),
+    .X(_03407_));
+ sky130_fd_sc_hd__clkbuf_1 _16491_ (.A(_03407_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01300_));
- sky130_fd_sc_hd__mux2_1 _16532_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[26][6] ),
-    .A1(_03402_),
-    .S(_03424_),
+ sky130_fd_sc_hd__mux2_1 _16492_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[26][6] ),
+    .A1(_03383_),
+    .S(_03405_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03427_));
- sky130_fd_sc_hd__clkbuf_1 _16533_ (.A(_03427_),
+    .X(_03408_));
+ sky130_fd_sc_hd__clkbuf_1 _16493_ (.A(_03408_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01301_));
- sky130_fd_sc_hd__mux2_1 _16534_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[26][7] ),
-    .A1(_03404_),
-    .S(_03424_),
+ sky130_fd_sc_hd__mux2_1 _16494_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[26][7] ),
+    .A1(_03385_),
+    .S(_03405_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03428_));
- sky130_fd_sc_hd__clkbuf_1 _16535_ (.A(_03428_),
+    .X(_03409_));
+ sky130_fd_sc_hd__clkbuf_1 _16495_ (.A(_03409_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01302_));
- sky130_fd_sc_hd__nor2_2 _16536_ (.A(_02969_),
-    .B(_03417_),
+ sky130_fd_sc_hd__nor2_2 _16496_ (.A(_02950_),
+    .B(_03398_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03429_));
- sky130_fd_sc_hd__clkbuf_2 _16537_ (.A(_03429_),
+    .Y(_03410_));
+ sky130_fd_sc_hd__clkbuf_2 _16497_ (.A(_03410_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03430_));
- sky130_fd_sc_hd__mux2_1 _16538_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[25][0] ),
-    .A1(_03387_),
-    .S(_03430_),
+    .X(_03411_));
+ sky130_fd_sc_hd__mux2_1 _16498_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[25][0] ),
+    .A1(_03368_),
+    .S(_03411_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03431_));
- sky130_fd_sc_hd__clkbuf_1 _16539_ (.A(_03431_),
+    .X(_03412_));
+ sky130_fd_sc_hd__clkbuf_1 _16499_ (.A(_03412_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01303_));
- sky130_fd_sc_hd__mux2_1 _16540_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[25][1] ),
-    .A1(_03391_),
-    .S(_03430_),
+ sky130_fd_sc_hd__mux2_1 _16500_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[25][1] ),
+    .A1(_03372_),
+    .S(_03411_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03432_));
- sky130_fd_sc_hd__clkbuf_1 _16541_ (.A(_03432_),
+    .X(_03413_));
+ sky130_fd_sc_hd__clkbuf_1 _16501_ (.A(_03413_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01304_));
- sky130_fd_sc_hd__mux2_1 _16542_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[25][2] ),
-    .A1(_03393_),
-    .S(_03430_),
+ sky130_fd_sc_hd__mux2_1 _16502_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[25][2] ),
+    .A1(_03374_),
+    .S(_03411_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03433_));
- sky130_fd_sc_hd__clkbuf_1 _16543_ (.A(_03433_),
+    .X(_03414_));
+ sky130_fd_sc_hd__clkbuf_1 _16503_ (.A(_03414_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01305_));
- sky130_fd_sc_hd__mux2_1 _16544_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[25][3] ),
-    .A1(_03395_),
-    .S(_03430_),
+ sky130_fd_sc_hd__mux2_1 _16504_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[25][3] ),
+    .A1(_03376_),
+    .S(_03411_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03434_));
- sky130_fd_sc_hd__clkbuf_1 _16545_ (.A(_03434_),
+    .X(_03415_));
+ sky130_fd_sc_hd__clkbuf_1 _16505_ (.A(_03415_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01306_));
- sky130_fd_sc_hd__clkbuf_2 _16546_ (.A(_03429_),
+ sky130_fd_sc_hd__clkbuf_2 _16506_ (.A(_03410_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03435_));
- sky130_fd_sc_hd__mux2_1 _16547_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[25][4] ),
-    .A1(_03397_),
-    .S(_03435_),
+    .X(_03416_));
+ sky130_fd_sc_hd__mux2_1 _16507_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[25][4] ),
+    .A1(_03378_),
+    .S(_03416_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03436_));
- sky130_fd_sc_hd__clkbuf_1 _16548_ (.A(_03436_),
+    .X(_03417_));
+ sky130_fd_sc_hd__clkbuf_1 _16508_ (.A(_03417_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01307_));
- sky130_fd_sc_hd__mux2_1 _16549_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[25][5] ),
-    .A1(_03400_),
-    .S(_03435_),
+ sky130_fd_sc_hd__mux2_1 _16509_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[25][5] ),
+    .A1(_03381_),
+    .S(_03416_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03437_));
- sky130_fd_sc_hd__clkbuf_1 _16550_ (.A(_03437_),
+    .X(_03418_));
+ sky130_fd_sc_hd__clkbuf_1 _16510_ (.A(_03418_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01308_));
- sky130_fd_sc_hd__mux2_1 _16551_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[25][6] ),
-    .A1(_03402_),
-    .S(_03435_),
+ sky130_fd_sc_hd__mux2_1 _16511_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[25][6] ),
+    .A1(_03383_),
+    .S(_03416_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03438_));
- sky130_fd_sc_hd__clkbuf_1 _16552_ (.A(_03438_),
+    .X(_03419_));
+ sky130_fd_sc_hd__clkbuf_1 _16512_ (.A(_03419_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01309_));
- sky130_fd_sc_hd__mux2_1 _16553_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[25][7] ),
-    .A1(_03404_),
-    .S(_03435_),
+ sky130_fd_sc_hd__mux2_1 _16513_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[25][7] ),
+    .A1(_03385_),
+    .S(_03416_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03439_));
- sky130_fd_sc_hd__clkbuf_1 _16554_ (.A(_03439_),
+    .X(_03420_));
+ sky130_fd_sc_hd__clkbuf_1 _16514_ (.A(_03420_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01310_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _16555_ (.A(_03312_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _16515_ (.A(_03293_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03440_));
- sky130_fd_sc_hd__nor2_2 _16556_ (.A(_02989_),
-    .B(_03417_),
+    .X(_03421_));
+ sky130_fd_sc_hd__nor2_1 _16516_ (.A(_02969_),
+    .B(_03398_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03441_));
- sky130_fd_sc_hd__clkbuf_2 _16557_ (.A(_03441_),
+    .Y(_03422_));
+ sky130_fd_sc_hd__clkbuf_2 _16517_ (.A(_03422_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03442_));
- sky130_fd_sc_hd__mux2_1 _16558_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[24][0] ),
-    .A1(_03440_),
-    .S(_03442_),
+    .X(_03423_));
+ sky130_fd_sc_hd__mux2_1 _16518_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[24][0] ),
+    .A1(_03421_),
+    .S(_03423_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03443_));
- sky130_fd_sc_hd__clkbuf_1 _16559_ (.A(_03443_),
+    .X(_03424_));
+ sky130_fd_sc_hd__clkbuf_1 _16519_ (.A(_03424_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01311_));
- sky130_fd_sc_hd__clkbuf_1 _16560_ (.A(_03317_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _16520_ (.A(_03298_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03444_));
- sky130_fd_sc_hd__mux2_1 _16561_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[24][1] ),
-    .A1(_03444_),
-    .S(_03442_),
+    .X(_03425_));
+ sky130_fd_sc_hd__mux2_1 _16521_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[24][1] ),
+    .A1(_03425_),
+    .S(_03423_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03445_));
- sky130_fd_sc_hd__clkbuf_1 _16562_ (.A(_03445_),
+    .X(_03426_));
+ sky130_fd_sc_hd__clkbuf_1 _16522_ (.A(_03426_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01312_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _16563_ (.A(_03320_),
+ sky130_fd_sc_hd__clkbuf_1 _16523_ (.A(_03301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03446_));
- sky130_fd_sc_hd__mux2_1 _16564_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[24][2] ),
-    .A1(_03446_),
-    .S(_03442_),
+    .X(_03427_));
+ sky130_fd_sc_hd__mux2_1 _16524_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[24][2] ),
+    .A1(_03427_),
+    .S(_03423_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03447_));
- sky130_fd_sc_hd__clkbuf_1 _16565_ (.A(_03447_),
+    .X(_03428_));
+ sky130_fd_sc_hd__clkbuf_1 _16525_ (.A(_03428_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01313_));
- sky130_fd_sc_hd__clkbuf_1 _16566_ (.A(_03323_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _16526_ (.A(_03304_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03448_));
- sky130_fd_sc_hd__mux2_1 _16567_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[24][3] ),
-    .A1(_03448_),
-    .S(_03442_),
+    .X(_03429_));
+ sky130_fd_sc_hd__mux2_1 _16527_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[24][3] ),
+    .A1(_03429_),
+    .S(_03423_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03449_));
- sky130_fd_sc_hd__clkbuf_1 _16568_ (.A(_03449_),
+    .X(_03430_));
+ sky130_fd_sc_hd__clkbuf_1 _16528_ (.A(_03430_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01314_));
- sky130_fd_sc_hd__clkbuf_1 _16569_ (.A(_03326_),
+ sky130_fd_sc_hd__clkbuf_1 _16529_ (.A(_03307_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03450_));
- sky130_fd_sc_hd__clkbuf_4 _16570_ (.A(_03441_),
+    .X(_03431_));
+ sky130_fd_sc_hd__clkbuf_2 _16530_ (.A(_03422_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03451_));
- sky130_fd_sc_hd__mux2_1 _16571_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[24][4] ),
-    .A1(_03450_),
-    .S(_03451_),
+    .X(_03432_));
+ sky130_fd_sc_hd__mux2_1 _16531_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[24][4] ),
+    .A1(_03431_),
+    .S(_03432_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03452_));
- sky130_fd_sc_hd__clkbuf_1 _16572_ (.A(_03452_),
+    .X(_03433_));
+ sky130_fd_sc_hd__clkbuf_1 _16532_ (.A(_03433_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01315_));
- sky130_fd_sc_hd__clkbuf_1 _16573_ (.A(_03330_),
+ sky130_fd_sc_hd__clkbuf_1 _16533_ (.A(_03311_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03453_));
- sky130_fd_sc_hd__mux2_1 _16574_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[24][5] ),
-    .A1(_03453_),
-    .S(_03451_),
+    .X(_03434_));
+ sky130_fd_sc_hd__mux2_1 _16534_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[24][5] ),
+    .A1(_03434_),
+    .S(_03432_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03454_));
- sky130_fd_sc_hd__clkbuf_1 _16575_ (.A(_03454_),
+    .X(_03435_));
+ sky130_fd_sc_hd__clkbuf_1 _16535_ (.A(_03435_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01316_));
- sky130_fd_sc_hd__clkbuf_1 _16576_ (.A(_03333_),
+ sky130_fd_sc_hd__clkbuf_1 _16536_ (.A(_03314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03455_));
- sky130_fd_sc_hd__mux2_1 _16577_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[24][6] ),
-    .A1(_03455_),
-    .S(_03451_),
+    .X(_03436_));
+ sky130_fd_sc_hd__mux2_1 _16537_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[24][6] ),
+    .A1(_03436_),
+    .S(_03432_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03456_));
- sky130_fd_sc_hd__clkbuf_1 _16578_ (.A(_03456_),
+    .X(_03437_));
+ sky130_fd_sc_hd__clkbuf_1 _16538_ (.A(_03437_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01317_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _16579_ (.A(_03336_),
+ sky130_fd_sc_hd__clkbuf_1 _16539_ (.A(_03317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03457_));
- sky130_fd_sc_hd__mux2_1 _16580_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[24][7] ),
-    .A1(_03457_),
-    .S(_03451_),
+    .X(_03438_));
+ sky130_fd_sc_hd__mux2_1 _16540_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[24][7] ),
+    .A1(_03438_),
+    .S(_03432_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03458_));
- sky130_fd_sc_hd__clkbuf_1 _16581_ (.A(_03458_),
+    .X(_03439_));
+ sky130_fd_sc_hd__clkbuf_1 _16541_ (.A(_03439_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01318_));
- sky130_fd_sc_hd__nor2_2 _16582_ (.A(_03004_),
-    .B(_03417_),
+ sky130_fd_sc_hd__nor2_1 _16542_ (.A(_02984_),
+    .B(_03398_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03459_));
- sky130_fd_sc_hd__clkbuf_2 _16583_ (.A(_03459_),
+    .Y(_03440_));
+ sky130_fd_sc_hd__clkbuf_2 _16543_ (.A(_03440_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03460_));
- sky130_fd_sc_hd__mux2_1 _16584_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[23][0] ),
-    .A1(_03440_),
-    .S(_03460_),
+    .X(_03441_));
+ sky130_fd_sc_hd__mux2_1 _16544_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[23][0] ),
+    .A1(_03421_),
+    .S(_03441_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03461_));
- sky130_fd_sc_hd__clkbuf_1 _16585_ (.A(_03461_),
+    .X(_03442_));
+ sky130_fd_sc_hd__clkbuf_1 _16545_ (.A(_03442_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01319_));
- sky130_fd_sc_hd__mux2_1 _16586_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[23][1] ),
-    .A1(_03444_),
-    .S(_03460_),
+ sky130_fd_sc_hd__mux2_1 _16546_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[23][1] ),
+    .A1(_03425_),
+    .S(_03441_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03462_));
- sky130_fd_sc_hd__clkbuf_1 _16587_ (.A(_03462_),
+    .X(_03443_));
+ sky130_fd_sc_hd__clkbuf_1 _16547_ (.A(_03443_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01320_));
- sky130_fd_sc_hd__mux2_1 _16588_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[23][2] ),
-    .A1(_03446_),
-    .S(_03460_),
+ sky130_fd_sc_hd__mux2_1 _16548_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[23][2] ),
+    .A1(_03427_),
+    .S(_03441_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03463_));
- sky130_fd_sc_hd__clkbuf_1 _16589_ (.A(_03463_),
+    .X(_03444_));
+ sky130_fd_sc_hd__clkbuf_1 _16549_ (.A(_03444_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01321_));
- sky130_fd_sc_hd__mux2_1 _16590_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[23][3] ),
-    .A1(_03448_),
-    .S(_03460_),
+ sky130_fd_sc_hd__mux2_1 _16550_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[23][3] ),
+    .A1(_03429_),
+    .S(_03441_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03464_));
- sky130_fd_sc_hd__clkbuf_1 _16591_ (.A(_03464_),
+    .X(_03445_));
+ sky130_fd_sc_hd__clkbuf_1 _16551_ (.A(_03445_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01322_));
- sky130_fd_sc_hd__buf_2 _16592_ (.A(_03459_),
+ sky130_fd_sc_hd__clkbuf_2 _16552_ (.A(_03440_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03465_));
- sky130_fd_sc_hd__mux2_1 _16593_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[23][4] ),
-    .A1(_03450_),
-    .S(_03465_),
+    .X(_03446_));
+ sky130_fd_sc_hd__mux2_1 _16553_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[23][4] ),
+    .A1(_03431_),
+    .S(_03446_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03466_));
- sky130_fd_sc_hd__clkbuf_1 _16594_ (.A(_03466_),
+    .X(_03447_));
+ sky130_fd_sc_hd__clkbuf_1 _16554_ (.A(_03447_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01323_));
- sky130_fd_sc_hd__mux2_1 _16595_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[23][5] ),
-    .A1(_03453_),
-    .S(_03465_),
+ sky130_fd_sc_hd__mux2_1 _16555_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[23][5] ),
+    .A1(_03434_),
+    .S(_03446_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03467_));
- sky130_fd_sc_hd__clkbuf_1 _16596_ (.A(_03467_),
+    .X(_03448_));
+ sky130_fd_sc_hd__clkbuf_1 _16556_ (.A(_03448_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01324_));
- sky130_fd_sc_hd__mux2_1 _16597_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[23][6] ),
-    .A1(_03455_),
-    .S(_03465_),
+ sky130_fd_sc_hd__mux2_1 _16557_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[23][6] ),
+    .A1(_03436_),
+    .S(_03446_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03468_));
- sky130_fd_sc_hd__clkbuf_1 _16598_ (.A(_03468_),
+    .X(_03449_));
+ sky130_fd_sc_hd__clkbuf_1 _16558_ (.A(_03449_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01325_));
- sky130_fd_sc_hd__mux2_1 _16599_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[23][7] ),
-    .A1(_03457_),
-    .S(_03465_),
+ sky130_fd_sc_hd__mux2_1 _16559_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[23][7] ),
+    .A1(_03438_),
+    .S(_03446_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03469_));
- sky130_fd_sc_hd__clkbuf_1 _16600_ (.A(_03469_),
+    .X(_03450_));
+ sky130_fd_sc_hd__clkbuf_1 _16560_ (.A(_03450_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01326_));
- sky130_fd_sc_hd__buf_2 _16601_ (.A(_03351_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _16561_ (.A(_03332_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03470_));
- sky130_fd_sc_hd__nor2_2 _16602_ (.A(_03017_),
-    .B(_03470_),
+    .X(_03451_));
+ sky130_fd_sc_hd__nor2_1 _16562_ (.A(_02998_),
+    .B(_03451_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03471_));
- sky130_fd_sc_hd__clkbuf_2 _16603_ (.A(_03471_),
+    .Y(_03452_));
+ sky130_fd_sc_hd__clkbuf_2 _16563_ (.A(_03452_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03472_));
- sky130_fd_sc_hd__mux2_1 _16604_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[22][0] ),
-    .A1(_03440_),
-    .S(_03472_),
+    .X(_03453_));
+ sky130_fd_sc_hd__mux2_1 _16564_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[22][0] ),
+    .A1(_03421_),
+    .S(_03453_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03473_));
- sky130_fd_sc_hd__clkbuf_1 _16605_ (.A(_03473_),
+    .X(_03454_));
+ sky130_fd_sc_hd__clkbuf_1 _16565_ (.A(_03454_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01327_));
- sky130_fd_sc_hd__mux2_1 _16606_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[22][1] ),
-    .A1(_03444_),
-    .S(_03472_),
+ sky130_fd_sc_hd__mux2_1 _16566_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[22][1] ),
+    .A1(_03425_),
+    .S(_03453_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03474_));
- sky130_fd_sc_hd__clkbuf_1 _16607_ (.A(_03474_),
+    .X(_03455_));
+ sky130_fd_sc_hd__clkbuf_1 _16567_ (.A(_03455_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01328_));
- sky130_fd_sc_hd__mux2_1 _16608_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[22][2] ),
-    .A1(_03446_),
-    .S(_03472_),
+ sky130_fd_sc_hd__mux2_1 _16568_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[22][2] ),
+    .A1(_03427_),
+    .S(_03453_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03475_));
- sky130_fd_sc_hd__clkbuf_1 _16609_ (.A(_03475_),
+    .X(_03456_));
+ sky130_fd_sc_hd__clkbuf_1 _16569_ (.A(_03456_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01329_));
- sky130_fd_sc_hd__mux2_1 _16610_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[22][3] ),
-    .A1(_03448_),
-    .S(_03472_),
+ sky130_fd_sc_hd__mux2_1 _16570_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[22][3] ),
+    .A1(_03429_),
+    .S(_03453_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03476_));
- sky130_fd_sc_hd__clkbuf_1 _16611_ (.A(_03476_),
+    .X(_03457_));
+ sky130_fd_sc_hd__clkbuf_1 _16571_ (.A(_03457_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01330_));
- sky130_fd_sc_hd__buf_2 _16612_ (.A(_03471_),
+ sky130_fd_sc_hd__clkbuf_2 _16572_ (.A(_03452_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03477_));
- sky130_fd_sc_hd__mux2_1 _16613_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[22][4] ),
-    .A1(_03450_),
-    .S(_03477_),
+    .X(_03458_));
+ sky130_fd_sc_hd__mux2_1 _16573_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[22][4] ),
+    .A1(_03431_),
+    .S(_03458_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03478_));
- sky130_fd_sc_hd__clkbuf_1 _16614_ (.A(_03478_),
+    .X(_03459_));
+ sky130_fd_sc_hd__clkbuf_1 _16574_ (.A(_03459_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01331_));
- sky130_fd_sc_hd__mux2_1 _16615_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[22][5] ),
-    .A1(_03453_),
-    .S(_03477_),
+ sky130_fd_sc_hd__mux2_1 _16575_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[22][5] ),
+    .A1(_03434_),
+    .S(_03458_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03479_));
- sky130_fd_sc_hd__clkbuf_1 _16616_ (.A(_03479_),
+    .X(_03460_));
+ sky130_fd_sc_hd__clkbuf_1 _16576_ (.A(_03460_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01332_));
- sky130_fd_sc_hd__mux2_1 _16617_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[22][6] ),
-    .A1(_03455_),
-    .S(_03477_),
+ sky130_fd_sc_hd__mux2_1 _16577_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[22][6] ),
+    .A1(_03436_),
+    .S(_03458_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03480_));
- sky130_fd_sc_hd__clkbuf_1 _16618_ (.A(_03480_),
+    .X(_03461_));
+ sky130_fd_sc_hd__clkbuf_1 _16578_ (.A(_03461_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01333_));
- sky130_fd_sc_hd__mux2_1 _16619_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[22][7] ),
-    .A1(_03457_),
-    .S(_03477_),
+ sky130_fd_sc_hd__mux2_1 _16579_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[22][7] ),
+    .A1(_03438_),
+    .S(_03458_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03481_));
- sky130_fd_sc_hd__clkbuf_1 _16620_ (.A(_03481_),
+    .X(_03462_));
+ sky130_fd_sc_hd__clkbuf_1 _16580_ (.A(_03462_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01334_));
- sky130_fd_sc_hd__nor2_2 _16621_ (.A(_03030_),
-    .B(_03470_),
+ sky130_fd_sc_hd__nor2_1 _16581_ (.A(_03011_),
+    .B(_03451_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03482_));
- sky130_fd_sc_hd__clkbuf_2 _16622_ (.A(_03482_),
+    .Y(_03463_));
+ sky130_fd_sc_hd__clkbuf_2 _16582_ (.A(_03463_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03483_));
- sky130_fd_sc_hd__mux2_1 _16623_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[21][0] ),
-    .A1(_03440_),
-    .S(_03483_),
+    .X(_03464_));
+ sky130_fd_sc_hd__mux2_1 _16583_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[21][0] ),
+    .A1(_03421_),
+    .S(_03464_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03484_));
- sky130_fd_sc_hd__clkbuf_1 _16624_ (.A(_03484_),
+    .X(_03465_));
+ sky130_fd_sc_hd__clkbuf_1 _16584_ (.A(_03465_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01335_));
- sky130_fd_sc_hd__mux2_1 _16625_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[21][1] ),
-    .A1(_03444_),
-    .S(_03483_),
+ sky130_fd_sc_hd__mux2_1 _16585_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[21][1] ),
+    .A1(_03425_),
+    .S(_03464_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03485_));
- sky130_fd_sc_hd__clkbuf_1 _16626_ (.A(_03485_),
+    .X(_03466_));
+ sky130_fd_sc_hd__clkbuf_1 _16586_ (.A(_03466_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01336_));
- sky130_fd_sc_hd__mux2_1 _16627_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[21][2] ),
-    .A1(_03446_),
-    .S(_03483_),
+ sky130_fd_sc_hd__mux2_1 _16587_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[21][2] ),
+    .A1(_03427_),
+    .S(_03464_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03486_));
- sky130_fd_sc_hd__clkbuf_1 _16628_ (.A(_03486_),
+    .X(_03467_));
+ sky130_fd_sc_hd__clkbuf_1 _16588_ (.A(_03467_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01337_));
- sky130_fd_sc_hd__mux2_1 _16629_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[21][3] ),
-    .A1(_03448_),
-    .S(_03483_),
+ sky130_fd_sc_hd__mux2_1 _16589_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[21][3] ),
+    .A1(_03429_),
+    .S(_03464_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03487_));
- sky130_fd_sc_hd__clkbuf_1 _16630_ (.A(_03487_),
+    .X(_03468_));
+ sky130_fd_sc_hd__clkbuf_1 _16590_ (.A(_03468_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01338_));
- sky130_fd_sc_hd__buf_2 _16631_ (.A(_03482_),
+ sky130_fd_sc_hd__clkbuf_2 _16591_ (.A(_03463_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03488_));
- sky130_fd_sc_hd__mux2_1 _16632_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[21][4] ),
-    .A1(_03450_),
-    .S(_03488_),
+    .X(_03469_));
+ sky130_fd_sc_hd__mux2_1 _16592_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[21][4] ),
+    .A1(_03431_),
+    .S(_03469_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03489_));
- sky130_fd_sc_hd__clkbuf_1 _16633_ (.A(_03489_),
+    .X(_03470_));
+ sky130_fd_sc_hd__clkbuf_1 _16593_ (.A(_03470_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01339_));
- sky130_fd_sc_hd__mux2_1 _16634_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[21][5] ),
-    .A1(_03453_),
-    .S(_03488_),
+ sky130_fd_sc_hd__mux2_1 _16594_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[21][5] ),
+    .A1(_03434_),
+    .S(_03469_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03490_));
- sky130_fd_sc_hd__clkbuf_1 _16635_ (.A(_03490_),
+    .X(_03471_));
+ sky130_fd_sc_hd__clkbuf_1 _16595_ (.A(_03471_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01340_));
- sky130_fd_sc_hd__mux2_1 _16636_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[21][6] ),
-    .A1(_03455_),
-    .S(_03488_),
+ sky130_fd_sc_hd__mux2_1 _16596_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[21][6] ),
+    .A1(_03436_),
+    .S(_03469_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03491_));
- sky130_fd_sc_hd__clkbuf_1 _16637_ (.A(_03491_),
+    .X(_03472_));
+ sky130_fd_sc_hd__clkbuf_1 _16597_ (.A(_03472_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01341_));
- sky130_fd_sc_hd__mux2_1 _16638_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[21][7] ),
-    .A1(_03457_),
-    .S(_03488_),
+ sky130_fd_sc_hd__mux2_1 _16598_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[21][7] ),
+    .A1(_03438_),
+    .S(_03469_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03492_));
- sky130_fd_sc_hd__clkbuf_1 _16639_ (.A(_03492_),
+    .X(_03473_));
+ sky130_fd_sc_hd__clkbuf_1 _16599_ (.A(_03473_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01342_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _16640_ (.A(_03312_),
+ sky130_fd_sc_hd__clkbuf_1 _16600_ (.A(_03293_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03493_));
- sky130_fd_sc_hd__nor2_1 _16641_ (.A(_03049_),
-    .B(_03470_),
+    .X(_03474_));
+ sky130_fd_sc_hd__nor2_1 _16601_ (.A(_03030_),
+    .B(_03451_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03494_));
- sky130_fd_sc_hd__clkbuf_2 _16642_ (.A(_03494_),
+    .Y(_03475_));
+ sky130_fd_sc_hd__buf_2 _16602_ (.A(_03475_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03495_));
- sky130_fd_sc_hd__mux2_1 _16643_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[20][0] ),
-    .A1(_03493_),
-    .S(_03495_),
+    .X(_03476_));
+ sky130_fd_sc_hd__mux2_1 _16603_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[20][0] ),
+    .A1(_03474_),
+    .S(_03476_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03496_));
- sky130_fd_sc_hd__clkbuf_1 _16644_ (.A(_03496_),
+    .X(_03477_));
+ sky130_fd_sc_hd__clkbuf_1 _16604_ (.A(_03477_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01343_));
- sky130_fd_sc_hd__clkbuf_4 _16645_ (.A(_03317_),
+ sky130_fd_sc_hd__clkbuf_1 _16605_ (.A(_03298_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03497_));
- sky130_fd_sc_hd__mux2_1 _16646_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[20][1] ),
-    .A1(_03497_),
-    .S(_03495_),
+    .X(_03478_));
+ sky130_fd_sc_hd__mux2_1 _16606_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[20][1] ),
+    .A1(_03478_),
+    .S(_03476_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03498_));
- sky130_fd_sc_hd__clkbuf_1 _16647_ (.A(_03498_),
+    .X(_03479_));
+ sky130_fd_sc_hd__clkbuf_1 _16607_ (.A(_03479_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01344_));
- sky130_fd_sc_hd__buf_2 _16648_ (.A(_03320_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _16608_ (.A(_03301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03499_));
- sky130_fd_sc_hd__mux2_1 _16649_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[20][2] ),
-    .A1(_03499_),
-    .S(_03495_),
+    .X(_03480_));
+ sky130_fd_sc_hd__mux2_1 _16609_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[20][2] ),
+    .A1(_03480_),
+    .S(_03476_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03500_));
- sky130_fd_sc_hd__clkbuf_1 _16650_ (.A(_03500_),
+    .X(_03481_));
+ sky130_fd_sc_hd__clkbuf_1 _16610_ (.A(_03481_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01345_));
- sky130_fd_sc_hd__clkbuf_4 _16651_ (.A(_03323_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _16611_ (.A(_03304_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03501_));
- sky130_fd_sc_hd__mux2_1 _16652_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[20][3] ),
-    .A1(_03501_),
-    .S(_03495_),
+    .X(_03482_));
+ sky130_fd_sc_hd__mux2_1 _16612_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[20][3] ),
+    .A1(_03482_),
+    .S(_03476_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03502_));
- sky130_fd_sc_hd__clkbuf_1 _16653_ (.A(_03502_),
+    .X(_03483_));
+ sky130_fd_sc_hd__clkbuf_1 _16613_ (.A(_03483_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01346_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _16654_ (.A(_03326_),
+ sky130_fd_sc_hd__clkbuf_1 _16614_ (.A(_03307_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03503_));
- sky130_fd_sc_hd__clkbuf_2 _16655_ (.A(_03494_),
+    .X(_03484_));
+ sky130_fd_sc_hd__buf_2 _16615_ (.A(_03475_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03504_));
- sky130_fd_sc_hd__mux2_1 _16656_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[20][4] ),
-    .A1(_03503_),
-    .S(_03504_),
+    .X(_03485_));
+ sky130_fd_sc_hd__mux2_1 _16616_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[20][4] ),
+    .A1(_03484_),
+    .S(_03485_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03505_));
- sky130_fd_sc_hd__clkbuf_1 _16657_ (.A(_03505_),
+    .X(_03486_));
+ sky130_fd_sc_hd__clkbuf_1 _16617_ (.A(_03486_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01347_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _16658_ (.A(_03330_),
+ sky130_fd_sc_hd__clkbuf_1 _16618_ (.A(_03311_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03506_));
- sky130_fd_sc_hd__mux2_1 _16659_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[20][5] ),
-    .A1(_03506_),
-    .S(_03504_),
+    .X(_03487_));
+ sky130_fd_sc_hd__mux2_1 _16619_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[20][5] ),
+    .A1(_03487_),
+    .S(_03485_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03507_));
- sky130_fd_sc_hd__clkbuf_1 _16660_ (.A(_03507_),
+    .X(_03488_));
+ sky130_fd_sc_hd__clkbuf_1 _16620_ (.A(_03488_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01348_));
- sky130_fd_sc_hd__buf_2 _16661_ (.A(_03333_),
+ sky130_fd_sc_hd__clkbuf_1 _16621_ (.A(_03314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03508_));
- sky130_fd_sc_hd__mux2_1 _16662_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[20][6] ),
-    .A1(_03508_),
-    .S(_03504_),
+    .X(_03489_));
+ sky130_fd_sc_hd__mux2_1 _16622_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[20][6] ),
+    .A1(_03489_),
+    .S(_03485_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03509_));
- sky130_fd_sc_hd__clkbuf_1 _16663_ (.A(_03509_),
+    .X(_03490_));
+ sky130_fd_sc_hd__clkbuf_1 _16623_ (.A(_03490_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01349_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _16664_ (.A(_03336_),
+ sky130_fd_sc_hd__clkbuf_1 _16624_ (.A(_03317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03510_));
- sky130_fd_sc_hd__mux2_1 _16665_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[20][7] ),
-    .A1(_03510_),
-    .S(_03504_),
+    .X(_03491_));
+ sky130_fd_sc_hd__mux2_1 _16625_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[20][7] ),
+    .A1(_03491_),
+    .S(_03485_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03511_));
- sky130_fd_sc_hd__clkbuf_1 _16666_ (.A(_03511_),
+    .X(_03492_));
+ sky130_fd_sc_hd__clkbuf_1 _16626_ (.A(_03492_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01350_));
- sky130_fd_sc_hd__nor2_1 _16667_ (.A(_03064_),
-    .B(_03470_),
+ sky130_fd_sc_hd__nor2_1 _16627_ (.A(_03045_),
+    .B(_03451_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03512_));
- sky130_fd_sc_hd__clkbuf_2 _16668_ (.A(_03512_),
+    .Y(_03493_));
+ sky130_fd_sc_hd__buf_2 _16628_ (.A(_03493_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03513_));
- sky130_fd_sc_hd__mux2_1 _16669_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[19][0] ),
-    .A1(_03493_),
-    .S(_03513_),
+    .X(_03494_));
+ sky130_fd_sc_hd__mux2_1 _16629_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[19][0] ),
+    .A1(_03474_),
+    .S(_03494_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03514_));
- sky130_fd_sc_hd__clkbuf_1 _16670_ (.A(_03514_),
+    .X(_03495_));
+ sky130_fd_sc_hd__clkbuf_1 _16630_ (.A(_03495_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01351_));
- sky130_fd_sc_hd__mux2_1 _16671_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[19][1] ),
-    .A1(_03497_),
-    .S(_03513_),
+ sky130_fd_sc_hd__mux2_1 _16631_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[19][1] ),
+    .A1(_03478_),
+    .S(_03494_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03515_));
- sky130_fd_sc_hd__clkbuf_1 _16672_ (.A(_03515_),
+    .X(_03496_));
+ sky130_fd_sc_hd__clkbuf_1 _16632_ (.A(_03496_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01352_));
- sky130_fd_sc_hd__mux2_1 _16673_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[19][2] ),
-    .A1(_03499_),
-    .S(_03513_),
+ sky130_fd_sc_hd__mux2_1 _16633_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[19][2] ),
+    .A1(_03480_),
+    .S(_03494_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03516_));
- sky130_fd_sc_hd__clkbuf_1 _16674_ (.A(_03516_),
+    .X(_03497_));
+ sky130_fd_sc_hd__clkbuf_1 _16634_ (.A(_03497_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01353_));
- sky130_fd_sc_hd__mux2_1 _16675_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[19][3] ),
-    .A1(_03501_),
-    .S(_03513_),
+ sky130_fd_sc_hd__mux2_1 _16635_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[19][3] ),
+    .A1(_03482_),
+    .S(_03494_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03517_));
- sky130_fd_sc_hd__clkbuf_1 _16676_ (.A(_03517_),
+    .X(_03498_));
+ sky130_fd_sc_hd__clkbuf_1 _16636_ (.A(_03498_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01354_));
- sky130_fd_sc_hd__buf_2 _16677_ (.A(_03512_),
+ sky130_fd_sc_hd__buf_2 _16637_ (.A(_03493_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03518_));
- sky130_fd_sc_hd__mux2_1 _16678_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[19][4] ),
-    .A1(_03503_),
-    .S(_03518_),
+    .X(_03499_));
+ sky130_fd_sc_hd__mux2_1 _16638_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[19][4] ),
+    .A1(_03484_),
+    .S(_03499_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03519_));
- sky130_fd_sc_hd__clkbuf_1 _16679_ (.A(_03519_),
+    .X(_03500_));
+ sky130_fd_sc_hd__clkbuf_1 _16639_ (.A(_03500_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01355_));
- sky130_fd_sc_hd__mux2_1 _16680_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[19][5] ),
-    .A1(_03506_),
-    .S(_03518_),
+ sky130_fd_sc_hd__mux2_1 _16640_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[19][5] ),
+    .A1(_03487_),
+    .S(_03499_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03520_));
- sky130_fd_sc_hd__clkbuf_1 _16681_ (.A(_03520_),
+    .X(_03501_));
+ sky130_fd_sc_hd__clkbuf_1 _16641_ (.A(_03501_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01356_));
- sky130_fd_sc_hd__mux2_1 _16682_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[19][6] ),
-    .A1(_03508_),
-    .S(_03518_),
+ sky130_fd_sc_hd__mux2_1 _16642_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[19][6] ),
+    .A1(_03489_),
+    .S(_03499_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03521_));
- sky130_fd_sc_hd__clkbuf_1 _16683_ (.A(_03521_),
+    .X(_03502_));
+ sky130_fd_sc_hd__clkbuf_1 _16643_ (.A(_03502_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01357_));
- sky130_fd_sc_hd__mux2_1 _16684_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[19][7] ),
-    .A1(_03510_),
-    .S(_03518_),
+ sky130_fd_sc_hd__mux2_1 _16644_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[19][7] ),
+    .A1(_03491_),
+    .S(_03499_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03522_));
- sky130_fd_sc_hd__clkbuf_1 _16685_ (.A(_03522_),
+    .X(_03503_));
+ sky130_fd_sc_hd__clkbuf_1 _16645_ (.A(_03503_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01358_));
- sky130_fd_sc_hd__nor2_1 _16686_ (.A(_03076_),
-    .B(_03352_),
+ sky130_fd_sc_hd__nor2_1 _16646_ (.A(_03057_),
+    .B(_03333_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03523_));
- sky130_fd_sc_hd__clkbuf_2 _16687_ (.A(_03523_),
+    .Y(_03504_));
+ sky130_fd_sc_hd__buf_2 _16647_ (.A(_03504_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03524_));
- sky130_fd_sc_hd__mux2_1 _16688_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[18][0] ),
-    .A1(_03493_),
-    .S(_03524_),
+    .X(_03505_));
+ sky130_fd_sc_hd__mux2_1 _16648_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[18][0] ),
+    .A1(_03474_),
+    .S(_03505_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03525_));
- sky130_fd_sc_hd__clkbuf_1 _16689_ (.A(_03525_),
+    .X(_03506_));
+ sky130_fd_sc_hd__clkbuf_1 _16649_ (.A(_03506_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01359_));
- sky130_fd_sc_hd__mux2_1 _16690_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[18][1] ),
-    .A1(_03497_),
-    .S(_03524_),
+ sky130_fd_sc_hd__mux2_1 _16650_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[18][1] ),
+    .A1(_03478_),
+    .S(_03505_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03526_));
- sky130_fd_sc_hd__clkbuf_1 _16691_ (.A(_03526_),
+    .X(_03507_));
+ sky130_fd_sc_hd__clkbuf_1 _16651_ (.A(_03507_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01360_));
- sky130_fd_sc_hd__mux2_1 _16692_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[18][2] ),
-    .A1(_03499_),
-    .S(_03524_),
+ sky130_fd_sc_hd__mux2_1 _16652_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[18][2] ),
+    .A1(_03480_),
+    .S(_03505_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03527_));
- sky130_fd_sc_hd__clkbuf_1 _16693_ (.A(_03527_),
+    .X(_03508_));
+ sky130_fd_sc_hd__clkbuf_1 _16653_ (.A(_03508_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01361_));
- sky130_fd_sc_hd__mux2_1 _16694_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[18][3] ),
-    .A1(_03501_),
-    .S(_03524_),
+ sky130_fd_sc_hd__mux2_1 _16654_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[18][3] ),
+    .A1(_03482_),
+    .S(_03505_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03528_));
- sky130_fd_sc_hd__clkbuf_1 _16695_ (.A(_03528_),
+    .X(_03509_));
+ sky130_fd_sc_hd__clkbuf_1 _16655_ (.A(_03509_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01362_));
- sky130_fd_sc_hd__clkbuf_2 _16696_ (.A(_03523_),
+ sky130_fd_sc_hd__buf_2 _16656_ (.A(_03504_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03529_));
- sky130_fd_sc_hd__mux2_1 _16697_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[18][4] ),
-    .A1(_03503_),
-    .S(_03529_),
+    .X(_03510_));
+ sky130_fd_sc_hd__mux2_1 _16657_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[18][4] ),
+    .A1(_03484_),
+    .S(_03510_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03530_));
- sky130_fd_sc_hd__clkbuf_1 _16698_ (.A(_03530_),
+    .X(_03511_));
+ sky130_fd_sc_hd__clkbuf_1 _16658_ (.A(_03511_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01363_));
- sky130_fd_sc_hd__mux2_1 _16699_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[18][5] ),
-    .A1(_03506_),
-    .S(_03529_),
+ sky130_fd_sc_hd__mux2_1 _16659_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[18][5] ),
+    .A1(_03487_),
+    .S(_03510_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03531_));
- sky130_fd_sc_hd__clkbuf_1 _16700_ (.A(_03531_),
+    .X(_03512_));
+ sky130_fd_sc_hd__clkbuf_1 _16660_ (.A(_03512_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01364_));
- sky130_fd_sc_hd__mux2_1 _16701_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[18][6] ),
-    .A1(_03508_),
-    .S(_03529_),
+ sky130_fd_sc_hd__mux2_1 _16661_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[18][6] ),
+    .A1(_03489_),
+    .S(_03510_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03532_));
- sky130_fd_sc_hd__clkbuf_1 _16702_ (.A(_03532_),
+    .X(_03513_));
+ sky130_fd_sc_hd__clkbuf_1 _16662_ (.A(_03513_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01365_));
- sky130_fd_sc_hd__mux2_1 _16703_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[18][7] ),
-    .A1(_03510_),
-    .S(_03529_),
+ sky130_fd_sc_hd__mux2_1 _16663_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[18][7] ),
+    .A1(_03491_),
+    .S(_03510_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03533_));
- sky130_fd_sc_hd__clkbuf_1 _16704_ (.A(_03533_),
+    .X(_03514_));
+ sky130_fd_sc_hd__clkbuf_1 _16664_ (.A(_03514_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01366_));
- sky130_fd_sc_hd__nor2_1 _16705_ (.A(_03090_),
-    .B(_03352_),
+ sky130_fd_sc_hd__nor2_1 _16665_ (.A(_03071_),
+    .B(_03333_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03534_));
- sky130_fd_sc_hd__clkbuf_4 _16706_ (.A(_03534_),
+    .Y(_03515_));
+ sky130_fd_sc_hd__buf_2 _16666_ (.A(_03515_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03535_));
- sky130_fd_sc_hd__mux2_1 _16707_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[17][0] ),
-    .A1(_03493_),
-    .S(_03535_),
+    .X(_03516_));
+ sky130_fd_sc_hd__mux2_1 _16667_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[17][0] ),
+    .A1(_03474_),
+    .S(_03516_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03536_));
- sky130_fd_sc_hd__clkbuf_1 _16708_ (.A(_03536_),
+    .X(_03517_));
+ sky130_fd_sc_hd__clkbuf_1 _16668_ (.A(_03517_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01367_));
- sky130_fd_sc_hd__mux2_1 _16709_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[17][1] ),
-    .A1(_03497_),
-    .S(_03535_),
+ sky130_fd_sc_hd__mux2_1 _16669_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[17][1] ),
+    .A1(_03478_),
+    .S(_03516_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03537_));
- sky130_fd_sc_hd__clkbuf_1 _16710_ (.A(_03537_),
+    .X(_03518_));
+ sky130_fd_sc_hd__clkbuf_1 _16670_ (.A(_03518_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01368_));
- sky130_fd_sc_hd__mux2_1 _16711_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[17][2] ),
-    .A1(_03499_),
-    .S(_03535_),
+ sky130_fd_sc_hd__mux2_1 _16671_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[17][2] ),
+    .A1(_03480_),
+    .S(_03516_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03538_));
- sky130_fd_sc_hd__clkbuf_1 _16712_ (.A(_03538_),
+    .X(_03519_));
+ sky130_fd_sc_hd__clkbuf_1 _16672_ (.A(_03519_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01369_));
- sky130_fd_sc_hd__mux2_1 _16713_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[17][3] ),
-    .A1(_03501_),
-    .S(_03535_),
+ sky130_fd_sc_hd__mux2_1 _16673_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[17][3] ),
+    .A1(_03482_),
+    .S(_03516_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03539_));
- sky130_fd_sc_hd__clkbuf_1 _16714_ (.A(_03539_),
+    .X(_03520_));
+ sky130_fd_sc_hd__clkbuf_1 _16674_ (.A(_03520_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01370_));
- sky130_fd_sc_hd__buf_2 _16715_ (.A(_03534_),
+ sky130_fd_sc_hd__buf_2 _16675_ (.A(_03515_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03521_));
+ sky130_fd_sc_hd__mux2_1 _16676_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[17][4] ),
+    .A1(_03484_),
+    .S(_03521_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03522_));
+ sky130_fd_sc_hd__clkbuf_1 _16677_ (.A(_03522_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01371_));
+ sky130_fd_sc_hd__mux2_1 _16678_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[17][5] ),
+    .A1(_03487_),
+    .S(_03521_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03523_));
+ sky130_fd_sc_hd__clkbuf_1 _16679_ (.A(_03523_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01372_));
+ sky130_fd_sc_hd__mux2_1 _16680_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[17][6] ),
+    .A1(_03489_),
+    .S(_03521_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03524_));
+ sky130_fd_sc_hd__clkbuf_1 _16681_ (.A(_03524_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01373_));
+ sky130_fd_sc_hd__mux2_1 _16682_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[17][7] ),
+    .A1(_03491_),
+    .S(_03521_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03525_));
+ sky130_fd_sc_hd__clkbuf_1 _16683_ (.A(_03525_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01374_));
+ sky130_fd_sc_hd__nor2_1 _16684_ (.A(_03097_),
+    .B(_03333_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_03526_));
+ sky130_fd_sc_hd__clkbuf_2 _16685_ (.A(_03526_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03527_));
+ sky130_fd_sc_hd__mux2_1 _16686_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[16][0] ),
+    .A1(_09604_),
+    .S(_03527_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03528_));
+ sky130_fd_sc_hd__clkbuf_1 _16687_ (.A(_03528_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01375_));
+ sky130_fd_sc_hd__mux2_1 _16688_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[16][1] ),
+    .A1(_09610_),
+    .S(_03527_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03529_));
+ sky130_fd_sc_hd__clkbuf_1 _16689_ (.A(_03529_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01376_));
+ sky130_fd_sc_hd__mux2_1 _16690_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[16][2] ),
+    .A1(_09614_),
+    .S(_03527_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03530_));
+ sky130_fd_sc_hd__clkbuf_1 _16691_ (.A(_03530_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01377_));
+ sky130_fd_sc_hd__mux2_1 _16692_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[16][3] ),
+    .A1(_09618_),
+    .S(_03527_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03531_));
+ sky130_fd_sc_hd__clkbuf_1 _16693_ (.A(_03531_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01378_));
+ sky130_fd_sc_hd__buf_2 _16694_ (.A(_03526_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03532_));
+ sky130_fd_sc_hd__mux2_1 _16695_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[16][4] ),
+    .A1(_09622_),
+    .S(_03532_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03533_));
+ sky130_fd_sc_hd__clkbuf_1 _16696_ (.A(_03533_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01379_));
+ sky130_fd_sc_hd__mux2_1 _16697_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[16][5] ),
+    .A1(_09631_),
+    .S(_03532_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03534_));
+ sky130_fd_sc_hd__clkbuf_1 _16698_ (.A(_03534_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01380_));
+ sky130_fd_sc_hd__mux2_1 _16699_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[16][6] ),
+    .A1(_02306_),
+    .S(_03532_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03535_));
+ sky130_fd_sc_hd__clkbuf_1 _16700_ (.A(_03535_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01381_));
+ sky130_fd_sc_hd__mux2_1 _16701_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[16][7] ),
+    .A1(_02312_),
+    .S(_03532_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03536_));
+ sky130_fd_sc_hd__clkbuf_1 _16702_ (.A(_03536_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01382_));
+ sky130_fd_sc_hd__or4b_2 _16703_ (.A(\u_usb_host.u_core.u_fifo_tx.wr_ptr[4] ),
+    .B(\u_usb_host.u_core.u_fifo_tx.wr_ptr[5] ),
+    .C(_03331_),
+    .D_N(net106),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03537_));
+ sky130_fd_sc_hd__clkbuf_1 _16704_ (.A(_03537_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03538_));
+ sky130_fd_sc_hd__or2_1 _16705_ (.A(_02793_),
+    .B(_03538_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03539_));
+ sky130_fd_sc_hd__clkbuf_2 _16706_ (.A(_03539_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_03540_));
- sky130_fd_sc_hd__mux2_1 _16716_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[17][4] ),
-    .A1(_03503_),
+ sky130_fd_sc_hd__mux2_1 _16707_ (.A0(_09605_),
+    .A1(\u_usb_host.u_core.u_fifo_tx.ram[15][0] ),
     .S(_03540_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_03541_));
- sky130_fd_sc_hd__clkbuf_1 _16717_ (.A(_03541_),
+ sky130_fd_sc_hd__clkbuf_1 _16708_ (.A(_03541_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_01371_));
- sky130_fd_sc_hd__mux2_1 _16718_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[17][5] ),
-    .A1(_03506_),
+    .X(_01383_));
+ sky130_fd_sc_hd__mux2_1 _16709_ (.A0(_09611_),
+    .A1(\u_usb_host.u_core.u_fifo_tx.ram[15][1] ),
     .S(_03540_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_03542_));
- sky130_fd_sc_hd__clkbuf_1 _16719_ (.A(_03542_),
+ sky130_fd_sc_hd__clkbuf_1 _16710_ (.A(_03542_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_01372_));
- sky130_fd_sc_hd__mux2_1 _16720_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[17][6] ),
-    .A1(_03508_),
+    .X(_01384_));
+ sky130_fd_sc_hd__mux2_1 _16711_ (.A0(_09615_),
+    .A1(\u_usb_host.u_core.u_fifo_tx.ram[15][2] ),
     .S(_03540_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_03543_));
- sky130_fd_sc_hd__clkbuf_1 _16721_ (.A(_03543_),
+ sky130_fd_sc_hd__clkbuf_1 _16712_ (.A(_03543_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_01373_));
- sky130_fd_sc_hd__mux2_1 _16722_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[17][7] ),
-    .A1(_03510_),
+    .X(_01385_));
+ sky130_fd_sc_hd__mux2_1 _16713_ (.A0(_09619_),
+    .A1(\u_usb_host.u_core.u_fifo_tx.ram[15][3] ),
     .S(_03540_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_03544_));
- sky130_fd_sc_hd__clkbuf_1 _16723_ (.A(_03544_),
+ sky130_fd_sc_hd__clkbuf_1 _16714_ (.A(_03544_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_01374_));
- sky130_fd_sc_hd__nor2_1 _16724_ (.A(_03116_),
-    .B(_03352_),
+    .X(_01386_));
+ sky130_fd_sc_hd__clkbuf_2 _16715_ (.A(_03539_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03545_));
- sky130_fd_sc_hd__clkbuf_2 _16725_ (.A(_03545_),
+    .X(_03545_));
+ sky130_fd_sc_hd__mux2_1 _16716_ (.A0(_09623_),
+    .A1(\u_usb_host.u_core.u_fifo_tx.ram[15][4] ),
+    .S(_03545_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_03546_));
- sky130_fd_sc_hd__mux2_1 _16726_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[16][0] ),
-    .A1(_02305_),
-    .S(_03546_),
+ sky130_fd_sc_hd__clkbuf_1 _16717_ (.A(_03546_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01387_));
+ sky130_fd_sc_hd__mux2_1 _16718_ (.A0(_09632_),
+    .A1(\u_usb_host.u_core.u_fifo_tx.ram[15][5] ),
+    .S(_03545_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_03547_));
- sky130_fd_sc_hd__clkbuf_1 _16727_ (.A(_03547_),
+ sky130_fd_sc_hd__clkbuf_1 _16719_ (.A(_03547_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_01375_));
- sky130_fd_sc_hd__mux2_1 _16728_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[16][1] ),
-    .A1(_02311_),
-    .S(_03546_),
+    .X(_01388_));
+ sky130_fd_sc_hd__mux2_1 _16720_ (.A0(_02307_),
+    .A1(\u_usb_host.u_core.u_fifo_tx.ram[15][6] ),
+    .S(_03545_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_03548_));
- sky130_fd_sc_hd__clkbuf_1 _16729_ (.A(_03548_),
+ sky130_fd_sc_hd__clkbuf_1 _16721_ (.A(_03548_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_01376_));
- sky130_fd_sc_hd__mux2_1 _16730_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[16][2] ),
-    .A1(_02315_),
-    .S(_03546_),
+    .X(_01389_));
+ sky130_fd_sc_hd__mux2_1 _16722_ (.A0(_02313_),
+    .A1(\u_usb_host.u_core.u_fifo_tx.ram[15][7] ),
+    .S(_03545_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_03549_));
- sky130_fd_sc_hd__clkbuf_1 _16731_ (.A(_03549_),
+ sky130_fd_sc_hd__clkbuf_1 _16723_ (.A(_03549_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_01377_));
- sky130_fd_sc_hd__mux2_1 _16732_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[16][3] ),
-    .A1(_02319_),
-    .S(_03546_),
+    .X(_01390_));
+ sky130_fd_sc_hd__or2_2 _16724_ (.A(_02865_),
+    .B(_03538_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_03550_));
- sky130_fd_sc_hd__clkbuf_1 _16733_ (.A(_03550_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01378_));
- sky130_fd_sc_hd__clkbuf_2 _16734_ (.A(_03545_),
+ sky130_fd_sc_hd__clkbuf_2 _16725_ (.A(_03550_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_03551_));
- sky130_fd_sc_hd__mux2_1 _16735_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[16][4] ),
-    .A1(_02323_),
+ sky130_fd_sc_hd__mux2_1 _16726_ (.A0(_09605_),
+    .A1(\u_usb_host.u_core.u_fifo_tx.ram[14][0] ),
     .S(_03551_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_03552_));
- sky130_fd_sc_hd__clkbuf_1 _16736_ (.A(_03552_),
+ sky130_fd_sc_hd__clkbuf_1 _16727_ (.A(_03552_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_01379_));
- sky130_fd_sc_hd__mux2_1 _16737_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[16][5] ),
-    .A1(_02332_),
+    .X(_01391_));
+ sky130_fd_sc_hd__mux2_1 _16728_ (.A0(_09611_),
+    .A1(\u_usb_host.u_core.u_fifo_tx.ram[14][1] ),
     .S(_03551_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_03553_));
- sky130_fd_sc_hd__clkbuf_1 _16738_ (.A(_03553_),
+ sky130_fd_sc_hd__clkbuf_1 _16729_ (.A(_03553_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_01380_));
- sky130_fd_sc_hd__mux2_1 _16739_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[16][6] ),
-    .A1(_02339_),
+    .X(_01392_));
+ sky130_fd_sc_hd__mux2_1 _16730_ (.A0(_09615_),
+    .A1(\u_usb_host.u_core.u_fifo_tx.ram[14][2] ),
     .S(_03551_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_03554_));
- sky130_fd_sc_hd__clkbuf_1 _16740_ (.A(_03554_),
+ sky130_fd_sc_hd__clkbuf_1 _16731_ (.A(_03554_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_01381_));
- sky130_fd_sc_hd__mux2_1 _16741_ (.A0(\u_usb_host.u_core.u_fifo_tx.ram[16][7] ),
-    .A1(_02345_),
+    .X(_01393_));
+ sky130_fd_sc_hd__mux2_1 _16732_ (.A0(_09619_),
+    .A1(\u_usb_host.u_core.u_fifo_tx.ram[14][3] ),
     .S(_03551_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_03555_));
- sky130_fd_sc_hd__clkbuf_1 _16742_ (.A(_03555_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01382_));
- sky130_fd_sc_hd__or4b_2 _16743_ (.A(\u_usb_host.u_core.u_fifo_tx.wr_ptr[4] ),
-    .B(\u_usb_host.u_core.u_fifo_tx.wr_ptr[5] ),
-    .C(_03350_),
-    .D_N(net110),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03556_));
- sky130_fd_sc_hd__clkbuf_1 _16744_ (.A(_03556_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03557_));
- sky130_fd_sc_hd__or2_1 _16745_ (.A(_02811_),
-    .B(_03557_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03558_));
- sky130_fd_sc_hd__clkbuf_2 _16746_ (.A(_03558_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03559_));
- sky130_fd_sc_hd__mux2_1 _16747_ (.A0(_02306_),
-    .A1(\u_usb_host.u_core.u_fifo_tx.ram[15][0] ),
-    .S(_03559_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03560_));
- sky130_fd_sc_hd__clkbuf_1 _16748_ (.A(_03560_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01383_));
- sky130_fd_sc_hd__mux2_1 _16749_ (.A0(_02312_),
-    .A1(\u_usb_host.u_core.u_fifo_tx.ram[15][1] ),
-    .S(_03559_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03561_));
- sky130_fd_sc_hd__clkbuf_1 _16750_ (.A(_03561_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01384_));
- sky130_fd_sc_hd__mux2_1 _16751_ (.A0(_02316_),
-    .A1(\u_usb_host.u_core.u_fifo_tx.ram[15][2] ),
-    .S(_03559_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03562_));
- sky130_fd_sc_hd__clkbuf_1 _16752_ (.A(_03562_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01385_));
- sky130_fd_sc_hd__mux2_1 _16753_ (.A0(_02320_),
-    .A1(\u_usb_host.u_core.u_fifo_tx.ram[15][3] ),
-    .S(_03559_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03563_));
- sky130_fd_sc_hd__clkbuf_1 _16754_ (.A(_03563_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01386_));
- sky130_fd_sc_hd__buf_2 _16755_ (.A(_03558_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03564_));
- sky130_fd_sc_hd__mux2_1 _16756_ (.A0(_02324_),
-    .A1(\u_usb_host.u_core.u_fifo_tx.ram[15][4] ),
-    .S(_03564_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03565_));
- sky130_fd_sc_hd__clkbuf_1 _16757_ (.A(_03565_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01387_));
- sky130_fd_sc_hd__mux2_1 _16758_ (.A0(_02333_),
-    .A1(\u_usb_host.u_core.u_fifo_tx.ram[15][5] ),
-    .S(_03564_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03566_));
- sky130_fd_sc_hd__clkbuf_1 _16759_ (.A(_03566_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01388_));
- sky130_fd_sc_hd__mux2_1 _16760_ (.A0(_02340_),
-    .A1(\u_usb_host.u_core.u_fifo_tx.ram[15][6] ),
-    .S(_03564_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03567_));
- sky130_fd_sc_hd__clkbuf_1 _16761_ (.A(_03567_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01389_));
- sky130_fd_sc_hd__mux2_1 _16762_ (.A0(_02346_),
-    .A1(\u_usb_host.u_core.u_fifo_tx.ram[15][7] ),
-    .S(_03564_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03568_));
- sky130_fd_sc_hd__clkbuf_1 _16763_ (.A(_03568_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01390_));
- sky130_fd_sc_hd__or2_1 _16764_ (.A(_02883_),
-    .B(_03557_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03569_));
- sky130_fd_sc_hd__clkbuf_2 _16765_ (.A(_03569_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03570_));
- sky130_fd_sc_hd__mux2_1 _16766_ (.A0(_02306_),
-    .A1(\u_usb_host.u_core.u_fifo_tx.ram[14][0] ),
-    .S(_03570_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03571_));
- sky130_fd_sc_hd__clkbuf_1 _16767_ (.A(_03571_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01391_));
- sky130_fd_sc_hd__mux2_1 _16768_ (.A0(_02312_),
-    .A1(\u_usb_host.u_core.u_fifo_tx.ram[14][1] ),
-    .S(_03570_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03572_));
- sky130_fd_sc_hd__clkbuf_1 _16769_ (.A(_03572_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01392_));
- sky130_fd_sc_hd__mux2_1 _16770_ (.A0(_02316_),
-    .A1(\u_usb_host.u_core.u_fifo_tx.ram[14][2] ),
-    .S(_03570_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03573_));
- sky130_fd_sc_hd__clkbuf_1 _16771_ (.A(_03573_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01393_));
- sky130_fd_sc_hd__mux2_1 _16772_ (.A0(_02320_),
-    .A1(\u_usb_host.u_core.u_fifo_tx.ram[14][3] ),
-    .S(_03570_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03574_));
- sky130_fd_sc_hd__clkbuf_1 _16773_ (.A(_03574_),
+ sky130_fd_sc_hd__clkbuf_1 _16733_ (.A(_03555_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01394_));
- sky130_fd_sc_hd__buf_2 _16774_ (.A(_03569_),
+ sky130_fd_sc_hd__clkbuf_2 _16734_ (.A(_03550_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03575_));
- sky130_fd_sc_hd__mux2_1 _16775_ (.A0(_02324_),
+    .X(_03556_));
+ sky130_fd_sc_hd__mux2_1 _16735_ (.A0(_09623_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[14][4] ),
-    .S(_03575_),
+    .S(_03556_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03576_));
- sky130_fd_sc_hd__clkbuf_1 _16776_ (.A(_03576_),
+    .X(_03557_));
+ sky130_fd_sc_hd__clkbuf_1 _16736_ (.A(_03557_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01395_));
- sky130_fd_sc_hd__mux2_1 _16777_ (.A0(_02333_),
+ sky130_fd_sc_hd__mux2_1 _16737_ (.A0(_09632_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[14][5] ),
-    .S(_03575_),
+    .S(_03556_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03577_));
- sky130_fd_sc_hd__clkbuf_1 _16778_ (.A(_03577_),
+    .X(_03558_));
+ sky130_fd_sc_hd__clkbuf_1 _16738_ (.A(_03558_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01396_));
- sky130_fd_sc_hd__mux2_1 _16779_ (.A0(_02340_),
+ sky130_fd_sc_hd__mux2_1 _16739_ (.A0(_02307_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[14][6] ),
-    .S(_03575_),
+    .S(_03556_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03578_));
- sky130_fd_sc_hd__clkbuf_1 _16780_ (.A(_03578_),
+    .X(_03559_));
+ sky130_fd_sc_hd__clkbuf_1 _16740_ (.A(_03559_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01397_));
- sky130_fd_sc_hd__mux2_1 _16781_ (.A0(_02346_),
+ sky130_fd_sc_hd__mux2_1 _16741_ (.A0(_02313_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[14][7] ),
-    .S(_03575_),
+    .S(_03556_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03579_));
- sky130_fd_sc_hd__clkbuf_1 _16782_ (.A(_03579_),
+    .X(_03560_));
+ sky130_fd_sc_hd__clkbuf_1 _16742_ (.A(_03560_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01398_));
- sky130_fd_sc_hd__clkbuf_1 _16783_ (.A(_02305_),
+ sky130_fd_sc_hd__clkbuf_1 _16743_ (.A(_09604_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03580_));
- sky130_fd_sc_hd__or2_1 _16784_ (.A(_02899_),
-    .B(_03557_),
+    .X(_03561_));
+ sky130_fd_sc_hd__or2_1 _16744_ (.A(_02881_),
+    .B(_03538_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03581_));
- sky130_fd_sc_hd__clkbuf_2 _16785_ (.A(_03581_),
+    .X(_03562_));
+ sky130_fd_sc_hd__clkbuf_2 _16745_ (.A(_03562_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03582_));
- sky130_fd_sc_hd__mux2_1 _16786_ (.A0(_03580_),
+    .X(_03563_));
+ sky130_fd_sc_hd__mux2_1 _16746_ (.A0(_03561_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[13][0] ),
-    .S(_03582_),
+    .S(_03563_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03583_));
- sky130_fd_sc_hd__clkbuf_1 _16787_ (.A(_03583_),
+    .X(_03564_));
+ sky130_fd_sc_hd__clkbuf_1 _16747_ (.A(_03564_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01399_));
- sky130_fd_sc_hd__clkbuf_1 _16788_ (.A(_02311_),
+ sky130_fd_sc_hd__clkbuf_1 _16748_ (.A(_09610_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03584_));
- sky130_fd_sc_hd__mux2_1 _16789_ (.A0(_03584_),
+    .X(_03565_));
+ sky130_fd_sc_hd__mux2_1 _16749_ (.A0(_03565_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[13][1] ),
-    .S(_03582_),
+    .S(_03563_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03585_));
- sky130_fd_sc_hd__clkbuf_1 _16790_ (.A(_03585_),
+    .X(_03566_));
+ sky130_fd_sc_hd__clkbuf_1 _16750_ (.A(_03566_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01400_));
- sky130_fd_sc_hd__clkbuf_1 _16791_ (.A(_02315_),
+ sky130_fd_sc_hd__clkbuf_1 _16751_ (.A(_09614_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03586_));
- sky130_fd_sc_hd__mux2_1 _16792_ (.A0(_03586_),
+    .X(_03567_));
+ sky130_fd_sc_hd__mux2_1 _16752_ (.A0(_03567_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[13][2] ),
-    .S(_03582_),
+    .S(_03563_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03587_));
- sky130_fd_sc_hd__clkbuf_1 _16793_ (.A(_03587_),
+    .X(_03568_));
+ sky130_fd_sc_hd__clkbuf_1 _16753_ (.A(_03568_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01401_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _16794_ (.A(_02319_),
+ sky130_fd_sc_hd__clkbuf_1 _16754_ (.A(_09618_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03588_));
- sky130_fd_sc_hd__mux2_1 _16795_ (.A0(_03588_),
+    .X(_03569_));
+ sky130_fd_sc_hd__mux2_1 _16755_ (.A0(_03569_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[13][3] ),
-    .S(_03582_),
+    .S(_03563_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03589_));
- sky130_fd_sc_hd__clkbuf_1 _16796_ (.A(_03589_),
+    .X(_03570_));
+ sky130_fd_sc_hd__clkbuf_1 _16756_ (.A(_03570_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01402_));
- sky130_fd_sc_hd__clkbuf_1 _16797_ (.A(_02323_),
+ sky130_fd_sc_hd__clkbuf_1 _16757_ (.A(_09622_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03590_));
- sky130_fd_sc_hd__clkbuf_2 _16798_ (.A(_03581_),
+    .X(_03571_));
+ sky130_fd_sc_hd__buf_2 _16758_ (.A(_03562_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03591_));
- sky130_fd_sc_hd__mux2_1 _16799_ (.A0(_03590_),
+    .X(_03572_));
+ sky130_fd_sc_hd__mux2_1 _16759_ (.A0(_03571_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[13][4] ),
-    .S(_03591_),
+    .S(_03572_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03592_));
- sky130_fd_sc_hd__clkbuf_1 _16800_ (.A(_03592_),
+    .X(_03573_));
+ sky130_fd_sc_hd__clkbuf_1 _16760_ (.A(_03573_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01403_));
- sky130_fd_sc_hd__clkbuf_1 _16801_ (.A(_02332_),
+ sky130_fd_sc_hd__clkbuf_1 _16761_ (.A(_09631_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03593_));
- sky130_fd_sc_hd__mux2_1 _16802_ (.A0(_03593_),
+    .X(_03574_));
+ sky130_fd_sc_hd__mux2_1 _16762_ (.A0(_03574_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[13][5] ),
-    .S(_03591_),
+    .S(_03572_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03594_));
- sky130_fd_sc_hd__clkbuf_1 _16803_ (.A(_03594_),
+    .X(_03575_));
+ sky130_fd_sc_hd__clkbuf_1 _16763_ (.A(_03575_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01404_));
- sky130_fd_sc_hd__clkbuf_1 _16804_ (.A(_02339_),
+ sky130_fd_sc_hd__clkbuf_1 _16764_ (.A(_02306_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03595_));
- sky130_fd_sc_hd__mux2_1 _16805_ (.A0(_03595_),
+    .X(_03576_));
+ sky130_fd_sc_hd__mux2_1 _16765_ (.A0(_03576_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[13][6] ),
-    .S(_03591_),
+    .S(_03572_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03596_));
- sky130_fd_sc_hd__clkbuf_1 _16806_ (.A(_03596_),
+    .X(_03577_));
+ sky130_fd_sc_hd__clkbuf_1 _16766_ (.A(_03577_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01405_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _16807_ (.A(_02345_),
+ sky130_fd_sc_hd__clkbuf_1 _16767_ (.A(_02312_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03597_));
- sky130_fd_sc_hd__mux2_1 _16808_ (.A0(_03597_),
+    .X(_03578_));
+ sky130_fd_sc_hd__mux2_1 _16768_ (.A0(_03578_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[13][7] ),
-    .S(_03591_),
+    .S(_03572_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03598_));
- sky130_fd_sc_hd__clkbuf_1 _16809_ (.A(_03598_),
+    .X(_03579_));
+ sky130_fd_sc_hd__clkbuf_1 _16769_ (.A(_03579_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01406_));
- sky130_fd_sc_hd__or2_1 _16810_ (.A(_02927_),
-    .B(_03557_),
+ sky130_fd_sc_hd__or2_1 _16770_ (.A(_02909_),
+    .B(_03538_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03599_));
- sky130_fd_sc_hd__clkbuf_2 _16811_ (.A(_03599_),
+    .X(_03580_));
+ sky130_fd_sc_hd__clkbuf_2 _16771_ (.A(_03580_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03600_));
- sky130_fd_sc_hd__mux2_1 _16812_ (.A0(_03580_),
+    .X(_03581_));
+ sky130_fd_sc_hd__mux2_1 _16772_ (.A0(_03561_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[12][0] ),
-    .S(_03600_),
+    .S(_03581_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03601_));
- sky130_fd_sc_hd__clkbuf_1 _16813_ (.A(_03601_),
+    .X(_03582_));
+ sky130_fd_sc_hd__clkbuf_1 _16773_ (.A(_03582_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01407_));
- sky130_fd_sc_hd__mux2_1 _16814_ (.A0(_03584_),
+ sky130_fd_sc_hd__mux2_1 _16774_ (.A0(_03565_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[12][1] ),
-    .S(_03600_),
+    .S(_03581_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03602_));
- sky130_fd_sc_hd__clkbuf_1 _16815_ (.A(_03602_),
+    .X(_03583_));
+ sky130_fd_sc_hd__clkbuf_1 _16775_ (.A(_03583_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01408_));
- sky130_fd_sc_hd__mux2_1 _16816_ (.A0(_03586_),
+ sky130_fd_sc_hd__mux2_1 _16776_ (.A0(_03567_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[12][2] ),
-    .S(_03600_),
+    .S(_03581_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03603_));
- sky130_fd_sc_hd__clkbuf_1 _16817_ (.A(_03603_),
+    .X(_03584_));
+ sky130_fd_sc_hd__clkbuf_1 _16777_ (.A(_03584_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01409_));
- sky130_fd_sc_hd__mux2_1 _16818_ (.A0(_03588_),
+ sky130_fd_sc_hd__mux2_1 _16778_ (.A0(_03569_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[12][3] ),
-    .S(_03600_),
+    .S(_03581_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03604_));
- sky130_fd_sc_hd__clkbuf_1 _16819_ (.A(_03604_),
+    .X(_03585_));
+ sky130_fd_sc_hd__clkbuf_1 _16779_ (.A(_03585_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01410_));
- sky130_fd_sc_hd__clkbuf_2 _16820_ (.A(_03599_),
+ sky130_fd_sc_hd__buf_2 _16780_ (.A(_03580_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03605_));
- sky130_fd_sc_hd__mux2_1 _16821_ (.A0(_03590_),
+    .X(_03586_));
+ sky130_fd_sc_hd__mux2_1 _16781_ (.A0(_03571_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[12][4] ),
-    .S(_03605_),
+    .S(_03586_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03606_));
- sky130_fd_sc_hd__clkbuf_1 _16822_ (.A(_03606_),
+    .X(_03587_));
+ sky130_fd_sc_hd__clkbuf_1 _16782_ (.A(_03587_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01411_));
- sky130_fd_sc_hd__mux2_1 _16823_ (.A0(_03593_),
+ sky130_fd_sc_hd__mux2_1 _16783_ (.A0(_03574_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[12][5] ),
-    .S(_03605_),
+    .S(_03586_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03607_));
- sky130_fd_sc_hd__clkbuf_1 _16824_ (.A(_03607_),
+    .X(_03588_));
+ sky130_fd_sc_hd__clkbuf_1 _16784_ (.A(_03588_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01412_));
- sky130_fd_sc_hd__mux2_1 _16825_ (.A0(_03595_),
+ sky130_fd_sc_hd__mux2_1 _16785_ (.A0(_03576_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[12][6] ),
-    .S(_03605_),
+    .S(_03586_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03608_));
- sky130_fd_sc_hd__clkbuf_1 _16826_ (.A(_03608_),
+    .X(_03589_));
+ sky130_fd_sc_hd__clkbuf_1 _16786_ (.A(_03589_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01413_));
- sky130_fd_sc_hd__mux2_1 _16827_ (.A0(_03597_),
+ sky130_fd_sc_hd__mux2_1 _16787_ (.A0(_03578_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[12][7] ),
-    .S(_03605_),
+    .S(_03586_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03609_));
- sky130_fd_sc_hd__clkbuf_1 _16828_ (.A(_03609_),
+    .X(_03590_));
+ sky130_fd_sc_hd__clkbuf_1 _16788_ (.A(_03590_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01414_));
- sky130_fd_sc_hd__clkbuf_1 _16829_ (.A(_03556_),
+ sky130_fd_sc_hd__clkbuf_1 _16789_ (.A(_03537_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03610_));
- sky130_fd_sc_hd__or2_1 _16830_ (.A(_02944_),
-    .B(_03610_),
+    .X(_03591_));
+ sky130_fd_sc_hd__or2_1 _16790_ (.A(_02925_),
+    .B(_03591_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03611_));
- sky130_fd_sc_hd__clkbuf_2 _16831_ (.A(_03611_),
+    .X(_03592_));
+ sky130_fd_sc_hd__clkbuf_2 _16791_ (.A(_03592_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03612_));
- sky130_fd_sc_hd__mux2_1 _16832_ (.A0(_03580_),
+    .X(_03593_));
+ sky130_fd_sc_hd__mux2_1 _16792_ (.A0(_03561_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[11][0] ),
-    .S(_03612_),
+    .S(_03593_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03613_));
- sky130_fd_sc_hd__clkbuf_1 _16833_ (.A(_03613_),
+    .X(_03594_));
+ sky130_fd_sc_hd__clkbuf_1 _16793_ (.A(_03594_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01415_));
- sky130_fd_sc_hd__mux2_1 _16834_ (.A0(_03584_),
+ sky130_fd_sc_hd__mux2_1 _16794_ (.A0(_03565_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[11][1] ),
-    .S(_03612_),
+    .S(_03593_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03614_));
- sky130_fd_sc_hd__clkbuf_1 _16835_ (.A(_03614_),
+    .X(_03595_));
+ sky130_fd_sc_hd__clkbuf_1 _16795_ (.A(_03595_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01416_));
- sky130_fd_sc_hd__mux2_1 _16836_ (.A0(_03586_),
+ sky130_fd_sc_hd__mux2_1 _16796_ (.A0(_03567_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[11][2] ),
-    .S(_03612_),
+    .S(_03593_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03615_));
- sky130_fd_sc_hd__clkbuf_1 _16837_ (.A(_03615_),
+    .X(_03596_));
+ sky130_fd_sc_hd__clkbuf_1 _16797_ (.A(_03596_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01417_));
- sky130_fd_sc_hd__mux2_1 _16838_ (.A0(_03588_),
+ sky130_fd_sc_hd__mux2_1 _16798_ (.A0(_03569_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[11][3] ),
-    .S(_03612_),
+    .S(_03593_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03616_));
- sky130_fd_sc_hd__clkbuf_1 _16839_ (.A(_03616_),
+    .X(_03597_));
+ sky130_fd_sc_hd__clkbuf_1 _16799_ (.A(_03597_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01418_));
- sky130_fd_sc_hd__clkbuf_2 _16840_ (.A(_03611_),
+ sky130_fd_sc_hd__buf_2 _16800_ (.A(_03592_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03617_));
- sky130_fd_sc_hd__mux2_1 _16841_ (.A0(_03590_),
+    .X(_03598_));
+ sky130_fd_sc_hd__mux2_1 _16801_ (.A0(_03571_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[11][4] ),
-    .S(_03617_),
+    .S(_03598_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03618_));
- sky130_fd_sc_hd__clkbuf_1 _16842_ (.A(_03618_),
+    .X(_03599_));
+ sky130_fd_sc_hd__clkbuf_1 _16802_ (.A(_03599_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01419_));
- sky130_fd_sc_hd__mux2_1 _16843_ (.A0(_03593_),
+ sky130_fd_sc_hd__mux2_1 _16803_ (.A0(_03574_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[11][5] ),
-    .S(_03617_),
+    .S(_03598_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03619_));
- sky130_fd_sc_hd__clkbuf_1 _16844_ (.A(_03619_),
+    .X(_03600_));
+ sky130_fd_sc_hd__clkbuf_1 _16804_ (.A(_03600_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01420_));
- sky130_fd_sc_hd__mux2_1 _16845_ (.A0(_03595_),
+ sky130_fd_sc_hd__mux2_1 _16805_ (.A0(_03576_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[11][6] ),
-    .S(_03617_),
+    .S(_03598_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03620_));
- sky130_fd_sc_hd__clkbuf_1 _16846_ (.A(_03620_),
+    .X(_03601_));
+ sky130_fd_sc_hd__clkbuf_1 _16806_ (.A(_03601_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01421_));
- sky130_fd_sc_hd__mux2_1 _16847_ (.A0(_03597_),
+ sky130_fd_sc_hd__mux2_1 _16807_ (.A0(_03578_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[11][7] ),
-    .S(_03617_),
+    .S(_03598_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03621_));
- sky130_fd_sc_hd__clkbuf_1 _16848_ (.A(_03621_),
+    .X(_03602_));
+ sky130_fd_sc_hd__clkbuf_1 _16808_ (.A(_03602_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01422_));
- sky130_fd_sc_hd__or2_1 _16849_ (.A(_02956_),
-    .B(_03610_),
+ sky130_fd_sc_hd__or2_1 _16809_ (.A(_02937_),
+    .B(_03591_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03622_));
- sky130_fd_sc_hd__clkbuf_2 _16850_ (.A(_03622_),
+    .X(_03603_));
+ sky130_fd_sc_hd__clkbuf_2 _16810_ (.A(_03603_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03623_));
- sky130_fd_sc_hd__mux2_1 _16851_ (.A0(_03580_),
+    .X(_03604_));
+ sky130_fd_sc_hd__mux2_1 _16811_ (.A0(_03561_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[10][0] ),
-    .S(_03623_),
+    .S(_03604_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03624_));
- sky130_fd_sc_hd__clkbuf_1 _16852_ (.A(_03624_),
+    .X(_03605_));
+ sky130_fd_sc_hd__clkbuf_1 _16812_ (.A(_03605_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01423_));
- sky130_fd_sc_hd__mux2_1 _16853_ (.A0(_03584_),
+ sky130_fd_sc_hd__mux2_1 _16813_ (.A0(_03565_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[10][1] ),
-    .S(_03623_),
+    .S(_03604_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03625_));
- sky130_fd_sc_hd__clkbuf_1 _16854_ (.A(_03625_),
+    .X(_03606_));
+ sky130_fd_sc_hd__clkbuf_1 _16814_ (.A(_03606_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01424_));
- sky130_fd_sc_hd__mux2_1 _16855_ (.A0(_03586_),
+ sky130_fd_sc_hd__mux2_1 _16815_ (.A0(_03567_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[10][2] ),
-    .S(_03623_),
+    .S(_03604_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03626_));
- sky130_fd_sc_hd__clkbuf_1 _16856_ (.A(_03626_),
+    .X(_03607_));
+ sky130_fd_sc_hd__clkbuf_1 _16816_ (.A(_03607_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01425_));
- sky130_fd_sc_hd__mux2_1 _16857_ (.A0(_03588_),
+ sky130_fd_sc_hd__mux2_1 _16817_ (.A0(_03569_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[10][3] ),
-    .S(_03623_),
+    .S(_03604_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03627_));
- sky130_fd_sc_hd__clkbuf_1 _16858_ (.A(_03627_),
+    .X(_03608_));
+ sky130_fd_sc_hd__clkbuf_1 _16818_ (.A(_03608_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01426_));
- sky130_fd_sc_hd__clkbuf_2 _16859_ (.A(_03622_),
+ sky130_fd_sc_hd__buf_2 _16819_ (.A(_03603_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03628_));
- sky130_fd_sc_hd__mux2_1 _16860_ (.A0(_03590_),
+    .X(_03609_));
+ sky130_fd_sc_hd__mux2_1 _16820_ (.A0(_03571_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[10][4] ),
-    .S(_03628_),
+    .S(_03609_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03629_));
- sky130_fd_sc_hd__clkbuf_1 _16861_ (.A(_03629_),
+    .X(_03610_));
+ sky130_fd_sc_hd__clkbuf_1 _16821_ (.A(_03610_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01427_));
- sky130_fd_sc_hd__mux2_1 _16862_ (.A0(_03593_),
+ sky130_fd_sc_hd__mux2_1 _16822_ (.A0(_03574_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[10][5] ),
-    .S(_03628_),
+    .S(_03609_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03630_));
- sky130_fd_sc_hd__clkbuf_1 _16863_ (.A(_03630_),
+    .X(_03611_));
+ sky130_fd_sc_hd__clkbuf_1 _16823_ (.A(_03611_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01428_));
- sky130_fd_sc_hd__mux2_1 _16864_ (.A0(_03595_),
+ sky130_fd_sc_hd__mux2_1 _16824_ (.A0(_03576_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[10][6] ),
-    .S(_03628_),
+    .S(_03609_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03631_));
- sky130_fd_sc_hd__clkbuf_1 _16865_ (.A(_03631_),
+    .X(_03612_));
+ sky130_fd_sc_hd__clkbuf_1 _16825_ (.A(_03612_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01429_));
- sky130_fd_sc_hd__mux2_1 _16866_ (.A0(_03597_),
+ sky130_fd_sc_hd__mux2_1 _16826_ (.A0(_03578_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[10][7] ),
-    .S(_03628_),
+    .S(_03609_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03632_));
- sky130_fd_sc_hd__clkbuf_1 _16867_ (.A(_03632_),
+    .X(_03613_));
+ sky130_fd_sc_hd__clkbuf_1 _16827_ (.A(_03613_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01430_));
- sky130_fd_sc_hd__clkbuf_1 _16868_ (.A(_02305_),
+ sky130_fd_sc_hd__clkbuf_1 _16828_ (.A(_09604_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03633_));
- sky130_fd_sc_hd__or2_1 _16869_ (.A(_02969_),
-    .B(_03610_),
+    .X(_03614_));
+ sky130_fd_sc_hd__or2_1 _16829_ (.A(_02950_),
+    .B(_03591_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03634_));
- sky130_fd_sc_hd__clkbuf_2 _16870_ (.A(_03634_),
+    .X(_03615_));
+ sky130_fd_sc_hd__clkbuf_2 _16830_ (.A(_03615_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03635_));
- sky130_fd_sc_hd__mux2_1 _16871_ (.A0(_03633_),
+    .X(_03616_));
+ sky130_fd_sc_hd__mux2_1 _16831_ (.A0(_03614_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[9][0] ),
-    .S(_03635_),
+    .S(_03616_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03636_));
- sky130_fd_sc_hd__clkbuf_1 _16872_ (.A(_03636_),
+    .X(_03617_));
+ sky130_fd_sc_hd__clkbuf_1 _16832_ (.A(_03617_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01431_));
- sky130_fd_sc_hd__clkbuf_1 _16873_ (.A(_02311_),
+ sky130_fd_sc_hd__clkbuf_1 _16833_ (.A(_09610_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03637_));
- sky130_fd_sc_hd__mux2_1 _16874_ (.A0(_03637_),
+    .X(_03618_));
+ sky130_fd_sc_hd__mux2_1 _16834_ (.A0(_03618_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[9][1] ),
-    .S(_03635_),
+    .S(_03616_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03638_));
- sky130_fd_sc_hd__clkbuf_1 _16875_ (.A(_03638_),
+    .X(_03619_));
+ sky130_fd_sc_hd__clkbuf_1 _16835_ (.A(_03619_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01432_));
- sky130_fd_sc_hd__clkbuf_1 _16876_ (.A(_02315_),
+ sky130_fd_sc_hd__clkbuf_1 _16836_ (.A(_09614_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03639_));
- sky130_fd_sc_hd__mux2_1 _16877_ (.A0(_03639_),
+    .X(_03620_));
+ sky130_fd_sc_hd__mux2_1 _16837_ (.A0(_03620_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[9][2] ),
-    .S(_03635_),
+    .S(_03616_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03640_));
- sky130_fd_sc_hd__clkbuf_1 _16878_ (.A(_03640_),
+    .X(_03621_));
+ sky130_fd_sc_hd__clkbuf_1 _16838_ (.A(_03621_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01433_));
- sky130_fd_sc_hd__clkbuf_1 _16879_ (.A(_02319_),
+ sky130_fd_sc_hd__clkbuf_1 _16839_ (.A(_09618_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03641_));
- sky130_fd_sc_hd__mux2_1 _16880_ (.A0(_03641_),
+    .X(_03622_));
+ sky130_fd_sc_hd__mux2_1 _16840_ (.A0(_03622_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[9][3] ),
-    .S(_03635_),
+    .S(_03616_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03642_));
- sky130_fd_sc_hd__clkbuf_1 _16881_ (.A(_03642_),
+    .X(_03623_));
+ sky130_fd_sc_hd__clkbuf_1 _16841_ (.A(_03623_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01434_));
- sky130_fd_sc_hd__clkbuf_1 _16882_ (.A(_02323_),
+ sky130_fd_sc_hd__clkbuf_1 _16842_ (.A(_09622_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03643_));
- sky130_fd_sc_hd__clkbuf_2 _16883_ (.A(_03634_),
+    .X(_03624_));
+ sky130_fd_sc_hd__clkbuf_2 _16843_ (.A(_03615_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03644_));
- sky130_fd_sc_hd__mux2_1 _16884_ (.A0(_03643_),
+    .X(_03625_));
+ sky130_fd_sc_hd__mux2_1 _16844_ (.A0(_03624_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[9][4] ),
-    .S(_03644_),
+    .S(_03625_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03645_));
- sky130_fd_sc_hd__clkbuf_1 _16885_ (.A(_03645_),
+    .X(_03626_));
+ sky130_fd_sc_hd__clkbuf_1 _16845_ (.A(_03626_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01435_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _16886_ (.A(_02332_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _16846_ (.A(_09631_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03646_));
- sky130_fd_sc_hd__mux2_1 _16887_ (.A0(_03646_),
+    .X(_03627_));
+ sky130_fd_sc_hd__mux2_1 _16847_ (.A0(_03627_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[9][5] ),
-    .S(_03644_),
+    .S(_03625_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03647_));
- sky130_fd_sc_hd__clkbuf_1 _16888_ (.A(_03647_),
+    .X(_03628_));
+ sky130_fd_sc_hd__clkbuf_1 _16848_ (.A(_03628_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01436_));
- sky130_fd_sc_hd__clkbuf_1 _16889_ (.A(_02339_),
+ sky130_fd_sc_hd__clkbuf_1 _16849_ (.A(_02306_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03648_));
- sky130_fd_sc_hd__mux2_1 _16890_ (.A0(_03648_),
+    .X(_03629_));
+ sky130_fd_sc_hd__mux2_1 _16850_ (.A0(_03629_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[9][6] ),
-    .S(_03644_),
+    .S(_03625_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03649_));
- sky130_fd_sc_hd__clkbuf_1 _16891_ (.A(_03649_),
+    .X(_03630_));
+ sky130_fd_sc_hd__clkbuf_1 _16851_ (.A(_03630_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01437_));
- sky130_fd_sc_hd__clkbuf_1 _16892_ (.A(_02345_),
+ sky130_fd_sc_hd__clkbuf_1 _16852_ (.A(_02312_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03650_));
- sky130_fd_sc_hd__mux2_1 _16893_ (.A0(_03650_),
+    .X(_03631_));
+ sky130_fd_sc_hd__mux2_1 _16853_ (.A0(_03631_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[9][7] ),
-    .S(_03644_),
+    .S(_03625_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03651_));
- sky130_fd_sc_hd__clkbuf_1 _16894_ (.A(_03651_),
+    .X(_03632_));
+ sky130_fd_sc_hd__clkbuf_1 _16854_ (.A(_03632_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01438_));
- sky130_fd_sc_hd__or2_1 _16895_ (.A(_02989_),
-    .B(_03610_),
+ sky130_fd_sc_hd__or2_1 _16855_ (.A(_02969_),
+    .B(_03591_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03652_));
- sky130_fd_sc_hd__buf_2 _16896_ (.A(_03652_),
+    .X(_03633_));
+ sky130_fd_sc_hd__clkbuf_2 _16856_ (.A(_03633_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03653_));
- sky130_fd_sc_hd__mux2_1 _16897_ (.A0(_03633_),
+    .X(_03634_));
+ sky130_fd_sc_hd__mux2_1 _16857_ (.A0(_03614_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[8][0] ),
-    .S(_03653_),
+    .S(_03634_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03654_));
- sky130_fd_sc_hd__clkbuf_1 _16898_ (.A(_03654_),
+    .X(_03635_));
+ sky130_fd_sc_hd__clkbuf_1 _16858_ (.A(_03635_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01439_));
- sky130_fd_sc_hd__mux2_1 _16899_ (.A0(_03637_),
+ sky130_fd_sc_hd__mux2_1 _16859_ (.A0(_03618_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[8][1] ),
-    .S(_03653_),
+    .S(_03634_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03655_));
- sky130_fd_sc_hd__clkbuf_1 _16900_ (.A(_03655_),
+    .X(_03636_));
+ sky130_fd_sc_hd__clkbuf_1 _16860_ (.A(_03636_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01440_));
- sky130_fd_sc_hd__mux2_1 _16901_ (.A0(_03639_),
+ sky130_fd_sc_hd__mux2_1 _16861_ (.A0(_03620_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[8][2] ),
-    .S(_03653_),
+    .S(_03634_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03656_));
- sky130_fd_sc_hd__clkbuf_1 _16902_ (.A(_03656_),
+    .X(_03637_));
+ sky130_fd_sc_hd__clkbuf_1 _16862_ (.A(_03637_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01441_));
- sky130_fd_sc_hd__mux2_1 _16903_ (.A0(_03641_),
+ sky130_fd_sc_hd__mux2_1 _16863_ (.A0(_03622_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[8][3] ),
-    .S(_03653_),
+    .S(_03634_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03657_));
- sky130_fd_sc_hd__clkbuf_1 _16904_ (.A(_03657_),
+    .X(_03638_));
+ sky130_fd_sc_hd__clkbuf_1 _16864_ (.A(_03638_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01442_));
- sky130_fd_sc_hd__clkbuf_2 _16905_ (.A(_03652_),
+ sky130_fd_sc_hd__clkbuf_2 _16865_ (.A(_03633_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03658_));
- sky130_fd_sc_hd__mux2_1 _16906_ (.A0(_03643_),
+    .X(_03639_));
+ sky130_fd_sc_hd__mux2_1 _16866_ (.A0(_03624_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[8][4] ),
-    .S(_03658_),
+    .S(_03639_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03659_));
- sky130_fd_sc_hd__clkbuf_1 _16907_ (.A(_03659_),
+    .X(_03640_));
+ sky130_fd_sc_hd__clkbuf_1 _16867_ (.A(_03640_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01443_));
- sky130_fd_sc_hd__mux2_1 _16908_ (.A0(_03646_),
+ sky130_fd_sc_hd__mux2_1 _16868_ (.A0(_03627_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[8][5] ),
-    .S(_03658_),
+    .S(_03639_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03660_));
- sky130_fd_sc_hd__clkbuf_1 _16909_ (.A(_03660_),
+    .X(_03641_));
+ sky130_fd_sc_hd__clkbuf_1 _16869_ (.A(_03641_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01444_));
- sky130_fd_sc_hd__mux2_1 _16910_ (.A0(_03648_),
+ sky130_fd_sc_hd__mux2_1 _16870_ (.A0(_03629_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[8][6] ),
-    .S(_03658_),
+    .S(_03639_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03661_));
- sky130_fd_sc_hd__clkbuf_1 _16911_ (.A(_03661_),
+    .X(_03642_));
+ sky130_fd_sc_hd__clkbuf_1 _16871_ (.A(_03642_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01445_));
- sky130_fd_sc_hd__mux2_1 _16912_ (.A0(_03650_),
+ sky130_fd_sc_hd__mux2_1 _16872_ (.A0(_03631_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[8][7] ),
-    .S(_03658_),
+    .S(_03639_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03662_));
- sky130_fd_sc_hd__clkbuf_1 _16913_ (.A(_03662_),
+    .X(_03643_));
+ sky130_fd_sc_hd__clkbuf_1 _16873_ (.A(_03643_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01446_));
- sky130_fd_sc_hd__clkbuf_4 _16914_ (.A(_03556_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _16874_ (.A(_03537_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03663_));
- sky130_fd_sc_hd__or2_1 _16915_ (.A(_03003_),
-    .B(_03663_),
+    .X(_03644_));
+ sky130_fd_sc_hd__or2_1 _16875_ (.A(_02983_),
+    .B(_03644_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03664_));
- sky130_fd_sc_hd__buf_2 _16916_ (.A(_03664_),
+    .X(_03645_));
+ sky130_fd_sc_hd__clkbuf_2 _16876_ (.A(_03645_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03665_));
- sky130_fd_sc_hd__mux2_1 _16917_ (.A0(_03633_),
+    .X(_03646_));
+ sky130_fd_sc_hd__mux2_1 _16877_ (.A0(_03614_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[7][0] ),
-    .S(_03665_),
+    .S(_03646_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03666_));
- sky130_fd_sc_hd__clkbuf_1 _16918_ (.A(_03666_),
+    .X(_03647_));
+ sky130_fd_sc_hd__clkbuf_1 _16878_ (.A(_03647_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01447_));
- sky130_fd_sc_hd__mux2_1 _16919_ (.A0(_03637_),
+ sky130_fd_sc_hd__mux2_1 _16879_ (.A0(_03618_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[7][1] ),
-    .S(_03665_),
+    .S(_03646_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03667_));
- sky130_fd_sc_hd__clkbuf_1 _16920_ (.A(_03667_),
+    .X(_03648_));
+ sky130_fd_sc_hd__clkbuf_1 _16880_ (.A(_03648_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01448_));
- sky130_fd_sc_hd__mux2_1 _16921_ (.A0(_03639_),
+ sky130_fd_sc_hd__mux2_1 _16881_ (.A0(_03620_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[7][2] ),
-    .S(_03665_),
+    .S(_03646_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03668_));
- sky130_fd_sc_hd__clkbuf_1 _16922_ (.A(_03668_),
+    .X(_03649_));
+ sky130_fd_sc_hd__clkbuf_1 _16882_ (.A(_03649_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01449_));
- sky130_fd_sc_hd__mux2_1 _16923_ (.A0(_03641_),
+ sky130_fd_sc_hd__mux2_1 _16883_ (.A0(_03622_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[7][3] ),
-    .S(_03665_),
+    .S(_03646_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03669_));
- sky130_fd_sc_hd__clkbuf_1 _16924_ (.A(_03669_),
+    .X(_03650_));
+ sky130_fd_sc_hd__clkbuf_1 _16884_ (.A(_03650_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01450_));
- sky130_fd_sc_hd__clkbuf_2 _16925_ (.A(_03664_),
+ sky130_fd_sc_hd__clkbuf_2 _16885_ (.A(_03645_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03670_));
- sky130_fd_sc_hd__mux2_1 _16926_ (.A0(_03643_),
+    .X(_03651_));
+ sky130_fd_sc_hd__mux2_1 _16886_ (.A0(_03624_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[7][4] ),
-    .S(_03670_),
+    .S(_03651_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03671_));
- sky130_fd_sc_hd__clkbuf_1 _16927_ (.A(_03671_),
+    .X(_03652_));
+ sky130_fd_sc_hd__clkbuf_1 _16887_ (.A(_03652_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01451_));
- sky130_fd_sc_hd__mux2_1 _16928_ (.A0(_03646_),
+ sky130_fd_sc_hd__mux2_1 _16888_ (.A0(_03627_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[7][5] ),
-    .S(_03670_),
+    .S(_03651_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03672_));
- sky130_fd_sc_hd__clkbuf_1 _16929_ (.A(_03672_),
+    .X(_03653_));
+ sky130_fd_sc_hd__clkbuf_1 _16889_ (.A(_03653_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01452_));
- sky130_fd_sc_hd__mux2_1 _16930_ (.A0(_03648_),
+ sky130_fd_sc_hd__mux2_1 _16890_ (.A0(_03629_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[7][6] ),
-    .S(_03670_),
+    .S(_03651_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03673_));
- sky130_fd_sc_hd__clkbuf_1 _16931_ (.A(_03673_),
+    .X(_03654_));
+ sky130_fd_sc_hd__clkbuf_1 _16891_ (.A(_03654_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01453_));
- sky130_fd_sc_hd__mux2_1 _16932_ (.A0(_03650_),
+ sky130_fd_sc_hd__mux2_1 _16892_ (.A0(_03631_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[7][7] ),
-    .S(_03670_),
+    .S(_03651_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03674_));
- sky130_fd_sc_hd__clkbuf_1 _16933_ (.A(_03674_),
+    .X(_03655_));
+ sky130_fd_sc_hd__clkbuf_1 _16893_ (.A(_03655_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01454_));
- sky130_fd_sc_hd__or2_1 _16934_ (.A(_03017_),
-    .B(_03663_),
+ sky130_fd_sc_hd__or2_1 _16894_ (.A(_02998_),
+    .B(_03644_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03675_));
- sky130_fd_sc_hd__clkbuf_2 _16935_ (.A(_03675_),
+    .X(_03656_));
+ sky130_fd_sc_hd__clkbuf_2 _16895_ (.A(_03656_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03676_));
- sky130_fd_sc_hd__mux2_1 _16936_ (.A0(_03633_),
+    .X(_03657_));
+ sky130_fd_sc_hd__mux2_1 _16896_ (.A0(_03614_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[6][0] ),
-    .S(_03676_),
+    .S(_03657_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03677_));
- sky130_fd_sc_hd__clkbuf_1 _16937_ (.A(_03677_),
+    .X(_03658_));
+ sky130_fd_sc_hd__clkbuf_1 _16897_ (.A(_03658_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01455_));
- sky130_fd_sc_hd__mux2_1 _16938_ (.A0(_03637_),
+ sky130_fd_sc_hd__mux2_1 _16898_ (.A0(_03618_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[6][1] ),
-    .S(_03676_),
+    .S(_03657_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03678_));
- sky130_fd_sc_hd__clkbuf_1 _16939_ (.A(_03678_),
+    .X(_03659_));
+ sky130_fd_sc_hd__clkbuf_1 _16899_ (.A(_03659_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01456_));
- sky130_fd_sc_hd__mux2_1 _16940_ (.A0(_03639_),
+ sky130_fd_sc_hd__mux2_1 _16900_ (.A0(_03620_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[6][2] ),
-    .S(_03676_),
+    .S(_03657_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03679_));
- sky130_fd_sc_hd__clkbuf_1 _16941_ (.A(_03679_),
+    .X(_03660_));
+ sky130_fd_sc_hd__clkbuf_1 _16901_ (.A(_03660_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01457_));
- sky130_fd_sc_hd__mux2_1 _16942_ (.A0(_03641_),
+ sky130_fd_sc_hd__mux2_1 _16902_ (.A0(_03622_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[6][3] ),
-    .S(_03676_),
+    .S(_03657_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03680_));
- sky130_fd_sc_hd__clkbuf_1 _16943_ (.A(_03680_),
+    .X(_03661_));
+ sky130_fd_sc_hd__clkbuf_1 _16903_ (.A(_03661_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01458_));
- sky130_fd_sc_hd__clkbuf_2 _16944_ (.A(_03675_),
+ sky130_fd_sc_hd__clkbuf_2 _16904_ (.A(_03656_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03681_));
- sky130_fd_sc_hd__mux2_1 _16945_ (.A0(_03643_),
+    .X(_03662_));
+ sky130_fd_sc_hd__mux2_1 _16905_ (.A0(_03624_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[6][4] ),
-    .S(_03681_),
+    .S(_03662_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03682_));
- sky130_fd_sc_hd__clkbuf_1 _16946_ (.A(_03682_),
+    .X(_03663_));
+ sky130_fd_sc_hd__clkbuf_1 _16906_ (.A(_03663_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01459_));
- sky130_fd_sc_hd__mux2_1 _16947_ (.A0(_03646_),
+ sky130_fd_sc_hd__mux2_1 _16907_ (.A0(_03627_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[6][5] ),
-    .S(_03681_),
+    .S(_03662_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03683_));
- sky130_fd_sc_hd__clkbuf_1 _16948_ (.A(_03683_),
+    .X(_03664_));
+ sky130_fd_sc_hd__clkbuf_1 _16908_ (.A(_03664_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01460_));
- sky130_fd_sc_hd__mux2_1 _16949_ (.A0(_03648_),
+ sky130_fd_sc_hd__mux2_1 _16909_ (.A0(_03629_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[6][6] ),
-    .S(_03681_),
+    .S(_03662_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03684_));
- sky130_fd_sc_hd__clkbuf_1 _16950_ (.A(_03684_),
+    .X(_03665_));
+ sky130_fd_sc_hd__clkbuf_1 _16910_ (.A(_03665_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01461_));
- sky130_fd_sc_hd__mux2_1 _16951_ (.A0(_03650_),
+ sky130_fd_sc_hd__mux2_1 _16911_ (.A0(_03631_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[6][7] ),
-    .S(_03681_),
+    .S(_03662_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03685_));
- sky130_fd_sc_hd__clkbuf_1 _16952_ (.A(_03685_),
+    .X(_03666_));
+ sky130_fd_sc_hd__clkbuf_1 _16912_ (.A(_03666_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01462_));
- sky130_fd_sc_hd__clkbuf_1 _16953_ (.A(_02895_),
+ sky130_fd_sc_hd__clkbuf_1 _16913_ (.A(_02877_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03686_));
- sky130_fd_sc_hd__or2_1 _16954_ (.A(_03030_),
-    .B(_03663_),
+    .X(_03667_));
+ sky130_fd_sc_hd__or2_1 _16914_ (.A(_03011_),
+    .B(_03644_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03687_));
- sky130_fd_sc_hd__clkbuf_2 _16955_ (.A(_03687_),
+    .X(_03668_));
+ sky130_fd_sc_hd__buf_2 _16915_ (.A(_03668_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03688_));
- sky130_fd_sc_hd__mux2_1 _16956_ (.A0(_03686_),
+    .X(_03669_));
+ sky130_fd_sc_hd__mux2_1 _16916_ (.A0(_03667_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[5][0] ),
-    .S(_03688_),
+    .S(_03669_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03689_));
- sky130_fd_sc_hd__clkbuf_1 _16957_ (.A(_03689_),
+    .X(_03670_));
+ sky130_fd_sc_hd__clkbuf_1 _16917_ (.A(_03670_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01463_));
- sky130_fd_sc_hd__clkbuf_1 _16958_ (.A(_02903_),
+ sky130_fd_sc_hd__clkbuf_1 _16918_ (.A(_02885_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03690_));
- sky130_fd_sc_hd__mux2_1 _16959_ (.A0(_03690_),
+    .X(_03671_));
+ sky130_fd_sc_hd__mux2_1 _16919_ (.A0(_03671_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[5][1] ),
-    .S(_03688_),
+    .S(_03669_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03691_));
- sky130_fd_sc_hd__clkbuf_1 _16960_ (.A(_03691_),
+    .X(_03672_));
+ sky130_fd_sc_hd__clkbuf_1 _16920_ (.A(_03672_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01464_));
- sky130_fd_sc_hd__clkbuf_1 _16961_ (.A(_02906_),
+ sky130_fd_sc_hd__clkbuf_1 _16921_ (.A(_02888_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03692_));
- sky130_fd_sc_hd__mux2_1 _16962_ (.A0(_03692_),
+    .X(_03673_));
+ sky130_fd_sc_hd__mux2_1 _16922_ (.A0(_03673_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[5][2] ),
-    .S(_03688_),
+    .S(_03669_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03693_));
- sky130_fd_sc_hd__clkbuf_1 _16963_ (.A(_03693_),
+    .X(_03674_));
+ sky130_fd_sc_hd__clkbuf_1 _16923_ (.A(_03674_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01465_));
- sky130_fd_sc_hd__clkbuf_1 _16964_ (.A(_02909_),
+ sky130_fd_sc_hd__clkbuf_1 _16924_ (.A(_02891_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03694_));
- sky130_fd_sc_hd__mux2_1 _16965_ (.A0(_03694_),
+    .X(_03675_));
+ sky130_fd_sc_hd__mux2_1 _16925_ (.A0(_03675_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[5][3] ),
-    .S(_03688_),
+    .S(_03669_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03695_));
- sky130_fd_sc_hd__clkbuf_1 _16966_ (.A(_03695_),
+    .X(_03676_));
+ sky130_fd_sc_hd__clkbuf_1 _16926_ (.A(_03676_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01466_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _16967_ (.A(_02912_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _16927_ (.A(_02894_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03696_));
- sky130_fd_sc_hd__clkbuf_2 _16968_ (.A(_03687_),
+    .X(_03677_));
+ sky130_fd_sc_hd__buf_2 _16928_ (.A(_03668_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03697_));
- sky130_fd_sc_hd__mux2_1 _16969_ (.A0(_03696_),
+    .X(_03678_));
+ sky130_fd_sc_hd__mux2_1 _16929_ (.A0(_03677_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[5][4] ),
-    .S(_03697_),
+    .S(_03678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03698_));
- sky130_fd_sc_hd__clkbuf_1 _16970_ (.A(_03698_),
+    .X(_03679_));
+ sky130_fd_sc_hd__clkbuf_1 _16930_ (.A(_03679_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01467_));
- sky130_fd_sc_hd__clkbuf_1 _16971_ (.A(_02916_),
+ sky130_fd_sc_hd__clkbuf_1 _16931_ (.A(_02898_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03699_));
- sky130_fd_sc_hd__mux2_1 _16972_ (.A0(_03699_),
+    .X(_03680_));
+ sky130_fd_sc_hd__mux2_1 _16932_ (.A0(_03680_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[5][5] ),
-    .S(_03697_),
+    .S(_03678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03700_));
- sky130_fd_sc_hd__clkbuf_1 _16973_ (.A(_03700_),
+    .X(_03681_));
+ sky130_fd_sc_hd__clkbuf_1 _16933_ (.A(_03681_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01468_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _16974_ (.A(_02919_),
+ sky130_fd_sc_hd__clkbuf_1 _16934_ (.A(_02901_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03701_));
- sky130_fd_sc_hd__mux2_1 _16975_ (.A0(_03701_),
+    .X(_03682_));
+ sky130_fd_sc_hd__mux2_1 _16935_ (.A0(_03682_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[5][6] ),
-    .S(_03697_),
+    .S(_03678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03702_));
- sky130_fd_sc_hd__clkbuf_1 _16976_ (.A(_03702_),
+    .X(_03683_));
+ sky130_fd_sc_hd__clkbuf_1 _16936_ (.A(_03683_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01469_));
- sky130_fd_sc_hd__clkbuf_1 _16977_ (.A(_02922_),
+ sky130_fd_sc_hd__clkbuf_1 _16937_ (.A(_02904_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03703_));
- sky130_fd_sc_hd__mux2_1 _16978_ (.A0(_03703_),
+    .X(_03684_));
+ sky130_fd_sc_hd__mux2_1 _16938_ (.A0(_03684_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[5][7] ),
-    .S(_03697_),
+    .S(_03678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03704_));
- sky130_fd_sc_hd__clkbuf_1 _16979_ (.A(_03704_),
+    .X(_03685_));
+ sky130_fd_sc_hd__clkbuf_1 _16939_ (.A(_03685_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01470_));
- sky130_fd_sc_hd__or2_1 _16980_ (.A(_03049_),
-    .B(_03663_),
+ sky130_fd_sc_hd__or2_1 _16940_ (.A(_03030_),
+    .B(_03644_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03705_));
- sky130_fd_sc_hd__clkbuf_2 _16981_ (.A(_03705_),
+    .X(_03686_));
+ sky130_fd_sc_hd__buf_2 _16941_ (.A(_03686_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03706_));
- sky130_fd_sc_hd__mux2_1 _16982_ (.A0(_03686_),
+    .X(_03687_));
+ sky130_fd_sc_hd__mux2_1 _16942_ (.A0(_03667_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[4][0] ),
-    .S(_03706_),
+    .S(_03687_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03707_));
- sky130_fd_sc_hd__clkbuf_1 _16983_ (.A(_03707_),
+    .X(_03688_));
+ sky130_fd_sc_hd__clkbuf_1 _16943_ (.A(_03688_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01471_));
- sky130_fd_sc_hd__mux2_1 _16984_ (.A0(_03690_),
+ sky130_fd_sc_hd__mux2_1 _16944_ (.A0(_03671_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[4][1] ),
-    .S(_03706_),
+    .S(_03687_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03708_));
- sky130_fd_sc_hd__clkbuf_1 _16985_ (.A(_03708_),
+    .X(_03689_));
+ sky130_fd_sc_hd__clkbuf_1 _16945_ (.A(_03689_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01472_));
- sky130_fd_sc_hd__mux2_1 _16986_ (.A0(_03692_),
+ sky130_fd_sc_hd__mux2_1 _16946_ (.A0(_03673_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[4][2] ),
-    .S(_03706_),
+    .S(_03687_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03709_));
- sky130_fd_sc_hd__clkbuf_1 _16987_ (.A(_03709_),
+    .X(_03690_));
+ sky130_fd_sc_hd__clkbuf_1 _16947_ (.A(_03690_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01473_));
- sky130_fd_sc_hd__mux2_1 _16988_ (.A0(_03694_),
+ sky130_fd_sc_hd__mux2_1 _16948_ (.A0(_03675_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[4][3] ),
-    .S(_03706_),
+    .S(_03687_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03710_));
- sky130_fd_sc_hd__clkbuf_1 _16989_ (.A(_03710_),
+    .X(_03691_));
+ sky130_fd_sc_hd__clkbuf_1 _16949_ (.A(_03691_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01474_));
- sky130_fd_sc_hd__clkbuf_2 _16990_ (.A(_03705_),
+ sky130_fd_sc_hd__clkbuf_2 _16950_ (.A(_03686_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03711_));
- sky130_fd_sc_hd__mux2_1 _16991_ (.A0(_03696_),
+    .X(_03692_));
+ sky130_fd_sc_hd__mux2_1 _16951_ (.A0(_03677_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[4][4] ),
-    .S(_03711_),
+    .S(_03692_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03712_));
- sky130_fd_sc_hd__clkbuf_1 _16992_ (.A(_03712_),
+    .X(_03693_));
+ sky130_fd_sc_hd__clkbuf_1 _16952_ (.A(_03693_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01475_));
- sky130_fd_sc_hd__mux2_1 _16993_ (.A0(_03699_),
+ sky130_fd_sc_hd__mux2_1 _16953_ (.A0(_03680_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[4][5] ),
-    .S(_03711_),
+    .S(_03692_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03713_));
- sky130_fd_sc_hd__clkbuf_1 _16994_ (.A(_03713_),
+    .X(_03694_));
+ sky130_fd_sc_hd__clkbuf_1 _16954_ (.A(_03694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01476_));
- sky130_fd_sc_hd__mux2_1 _16995_ (.A0(_03701_),
+ sky130_fd_sc_hd__mux2_1 _16955_ (.A0(_03682_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[4][6] ),
-    .S(_03711_),
+    .S(_03692_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03714_));
- sky130_fd_sc_hd__clkbuf_1 _16996_ (.A(_03714_),
+    .X(_03695_));
+ sky130_fd_sc_hd__clkbuf_1 _16956_ (.A(_03695_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01477_));
- sky130_fd_sc_hd__mux2_1 _16997_ (.A0(_03703_),
+ sky130_fd_sc_hd__mux2_1 _16957_ (.A0(_03684_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[4][7] ),
-    .S(_03711_),
+    .S(_03692_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03715_));
- sky130_fd_sc_hd__clkbuf_1 _16998_ (.A(_03715_),
+    .X(_03696_));
+ sky130_fd_sc_hd__clkbuf_1 _16958_ (.A(_03696_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01478_));
- sky130_fd_sc_hd__buf_2 _16999_ (.A(_03556_),
+ sky130_fd_sc_hd__clkbuf_1 _16959_ (.A(_03537_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03716_));
- sky130_fd_sc_hd__or2_1 _17000_ (.A(_03064_),
-    .B(_03716_),
+    .X(_03697_));
+ sky130_fd_sc_hd__or2_2 _16960_ (.A(_03045_),
+    .B(_03697_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03717_));
- sky130_fd_sc_hd__clkbuf_2 _17001_ (.A(_03717_),
+    .X(_03698_));
+ sky130_fd_sc_hd__clkbuf_2 _16961_ (.A(_03698_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03718_));
- sky130_fd_sc_hd__mux2_1 _17002_ (.A0(_03686_),
+    .X(_03699_));
+ sky130_fd_sc_hd__mux2_1 _16962_ (.A0(_03667_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[3][0] ),
-    .S(_03718_),
+    .S(_03699_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03719_));
- sky130_fd_sc_hd__clkbuf_1 _17003_ (.A(_03719_),
+    .X(_03700_));
+ sky130_fd_sc_hd__clkbuf_1 _16963_ (.A(_03700_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01479_));
- sky130_fd_sc_hd__mux2_1 _17004_ (.A0(_03690_),
+ sky130_fd_sc_hd__mux2_1 _16964_ (.A0(_03671_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[3][1] ),
-    .S(_03718_),
+    .S(_03699_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03720_));
- sky130_fd_sc_hd__clkbuf_1 _17005_ (.A(_03720_),
+    .X(_03701_));
+ sky130_fd_sc_hd__clkbuf_1 _16965_ (.A(_03701_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01480_));
- sky130_fd_sc_hd__mux2_1 _17006_ (.A0(_03692_),
+ sky130_fd_sc_hd__mux2_1 _16966_ (.A0(_03673_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[3][2] ),
-    .S(_03718_),
+    .S(_03699_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03721_));
- sky130_fd_sc_hd__clkbuf_1 _17007_ (.A(_03721_),
+    .X(_03702_));
+ sky130_fd_sc_hd__clkbuf_1 _16967_ (.A(_03702_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01481_));
- sky130_fd_sc_hd__mux2_1 _17008_ (.A0(_03694_),
+ sky130_fd_sc_hd__mux2_1 _16968_ (.A0(_03675_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[3][3] ),
-    .S(_03718_),
+    .S(_03699_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03722_));
- sky130_fd_sc_hd__clkbuf_1 _17009_ (.A(_03722_),
+    .X(_03703_));
+ sky130_fd_sc_hd__clkbuf_1 _16969_ (.A(_03703_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01482_));
- sky130_fd_sc_hd__clkbuf_2 _17010_ (.A(_03717_),
+ sky130_fd_sc_hd__clkbuf_2 _16970_ (.A(_03698_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03723_));
- sky130_fd_sc_hd__mux2_1 _17011_ (.A0(_03696_),
+    .X(_03704_));
+ sky130_fd_sc_hd__mux2_1 _16971_ (.A0(_03677_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[3][4] ),
-    .S(_03723_),
+    .S(_03704_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03724_));
- sky130_fd_sc_hd__clkbuf_1 _17012_ (.A(_03724_),
+    .X(_03705_));
+ sky130_fd_sc_hd__clkbuf_1 _16972_ (.A(_03705_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01483_));
- sky130_fd_sc_hd__mux2_1 _17013_ (.A0(_03699_),
+ sky130_fd_sc_hd__mux2_1 _16973_ (.A0(_03680_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[3][5] ),
-    .S(_03723_),
+    .S(_03704_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03725_));
- sky130_fd_sc_hd__clkbuf_1 _17014_ (.A(_03725_),
+    .X(_03706_));
+ sky130_fd_sc_hd__clkbuf_1 _16974_ (.A(_03706_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01484_));
- sky130_fd_sc_hd__mux2_1 _17015_ (.A0(_03701_),
+ sky130_fd_sc_hd__mux2_1 _16975_ (.A0(_03682_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[3][6] ),
-    .S(_03723_),
+    .S(_03704_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03726_));
- sky130_fd_sc_hd__clkbuf_1 _17016_ (.A(_03726_),
+    .X(_03707_));
+ sky130_fd_sc_hd__clkbuf_1 _16976_ (.A(_03707_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01485_));
- sky130_fd_sc_hd__mux2_1 _17017_ (.A0(_03703_),
+ sky130_fd_sc_hd__mux2_1 _16977_ (.A0(_03684_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[3][7] ),
-    .S(_03723_),
+    .S(_03704_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03727_));
- sky130_fd_sc_hd__clkbuf_1 _17018_ (.A(_03727_),
+    .X(_03708_));
+ sky130_fd_sc_hd__clkbuf_1 _16978_ (.A(_03708_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01486_));
- sky130_fd_sc_hd__or2_1 _17019_ (.A(_03076_),
-    .B(_03716_),
+ sky130_fd_sc_hd__or2_2 _16979_ (.A(_03057_),
+    .B(_03697_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03728_));
- sky130_fd_sc_hd__clkbuf_2 _17020_ (.A(_03728_),
+    .X(_03709_));
+ sky130_fd_sc_hd__clkbuf_2 _16980_ (.A(_03709_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03729_));
- sky130_fd_sc_hd__mux2_1 _17021_ (.A0(_03686_),
+    .X(_03710_));
+ sky130_fd_sc_hd__mux2_1 _16981_ (.A0(_03667_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[2][0] ),
-    .S(_03729_),
+    .S(_03710_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03730_));
- sky130_fd_sc_hd__clkbuf_1 _17022_ (.A(_03730_),
+    .X(_03711_));
+ sky130_fd_sc_hd__clkbuf_1 _16982_ (.A(_03711_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01487_));
- sky130_fd_sc_hd__mux2_1 _17023_ (.A0(_03690_),
+ sky130_fd_sc_hd__mux2_1 _16983_ (.A0(_03671_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[2][1] ),
-    .S(_03729_),
+    .S(_03710_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03731_));
- sky130_fd_sc_hd__clkbuf_1 _17024_ (.A(_03731_),
+    .X(_03712_));
+ sky130_fd_sc_hd__clkbuf_1 _16984_ (.A(_03712_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01488_));
- sky130_fd_sc_hd__mux2_1 _17025_ (.A0(_03692_),
+ sky130_fd_sc_hd__mux2_1 _16985_ (.A0(_03673_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[2][2] ),
-    .S(_03729_),
+    .S(_03710_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03732_));
- sky130_fd_sc_hd__clkbuf_1 _17026_ (.A(_03732_),
+    .X(_03713_));
+ sky130_fd_sc_hd__clkbuf_1 _16986_ (.A(_03713_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01489_));
- sky130_fd_sc_hd__mux2_1 _17027_ (.A0(_03694_),
+ sky130_fd_sc_hd__mux2_1 _16987_ (.A0(_03675_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[2][3] ),
-    .S(_03729_),
+    .S(_03710_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03733_));
- sky130_fd_sc_hd__clkbuf_1 _17028_ (.A(_03733_),
+    .X(_03714_));
+ sky130_fd_sc_hd__clkbuf_1 _16988_ (.A(_03714_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01490_));
- sky130_fd_sc_hd__clkbuf_2 _17029_ (.A(_03728_),
+ sky130_fd_sc_hd__clkbuf_2 _16989_ (.A(_03709_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03734_));
- sky130_fd_sc_hd__mux2_1 _17030_ (.A0(_03696_),
+    .X(_03715_));
+ sky130_fd_sc_hd__mux2_1 _16990_ (.A0(_03677_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[2][4] ),
-    .S(_03734_),
+    .S(_03715_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03735_));
- sky130_fd_sc_hd__clkbuf_1 _17031_ (.A(_03735_),
+    .X(_03716_));
+ sky130_fd_sc_hd__clkbuf_1 _16991_ (.A(_03716_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01491_));
- sky130_fd_sc_hd__mux2_1 _17032_ (.A0(_03699_),
+ sky130_fd_sc_hd__mux2_1 _16992_ (.A0(_03680_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[2][5] ),
-    .S(_03734_),
+    .S(_03715_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03736_));
- sky130_fd_sc_hd__clkbuf_1 _17033_ (.A(_03736_),
+    .X(_03717_));
+ sky130_fd_sc_hd__clkbuf_1 _16993_ (.A(_03717_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01492_));
- sky130_fd_sc_hd__mux2_1 _17034_ (.A0(_03701_),
+ sky130_fd_sc_hd__mux2_1 _16994_ (.A0(_03682_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[2][6] ),
-    .S(_03734_),
+    .S(_03715_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03737_));
- sky130_fd_sc_hd__clkbuf_1 _17035_ (.A(_03737_),
+    .X(_03718_));
+ sky130_fd_sc_hd__clkbuf_1 _16995_ (.A(_03718_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01493_));
- sky130_fd_sc_hd__mux2_1 _17036_ (.A0(_03703_),
+ sky130_fd_sc_hd__mux2_1 _16996_ (.A0(_03684_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[2][7] ),
-    .S(_03734_),
+    .S(_03715_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03738_));
- sky130_fd_sc_hd__clkbuf_1 _17037_ (.A(_03738_),
+    .X(_03719_));
+ sky130_fd_sc_hd__clkbuf_1 _16997_ (.A(_03719_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01494_));
- sky130_fd_sc_hd__or2_1 _17038_ (.A(_03090_),
-    .B(_03716_),
+ sky130_fd_sc_hd__or2_1 _16998_ (.A(_03071_),
+    .B(_03697_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03739_));
- sky130_fd_sc_hd__clkbuf_2 _17039_ (.A(_03739_),
+    .X(_03720_));
+ sky130_fd_sc_hd__buf_2 _16999_ (.A(_03720_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03740_));
- sky130_fd_sc_hd__mux2_1 _17040_ (.A0(_02796_),
+    .X(_03721_));
+ sky130_fd_sc_hd__mux2_1 _17000_ (.A0(_02778_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[1][0] ),
-    .S(_03740_),
+    .S(_03721_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03741_));
- sky130_fd_sc_hd__clkbuf_1 _17041_ (.A(_03741_),
+    .X(_03722_));
+ sky130_fd_sc_hd__clkbuf_1 _17001_ (.A(_03722_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01495_));
- sky130_fd_sc_hd__mux2_1 _17042_ (.A0(_02815_),
+ sky130_fd_sc_hd__mux2_1 _17002_ (.A0(_02797_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[1][1] ),
-    .S(_03740_),
+    .S(_03721_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03742_));
- sky130_fd_sc_hd__clkbuf_1 _17043_ (.A(_03742_),
+    .X(_03723_));
+ sky130_fd_sc_hd__clkbuf_1 _17003_ (.A(_03723_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01496_));
- sky130_fd_sc_hd__mux2_1 _17044_ (.A0(_02817_),
+ sky130_fd_sc_hd__mux2_1 _17004_ (.A0(_02799_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[1][2] ),
-    .S(_03740_),
+    .S(_03721_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03743_));
- sky130_fd_sc_hd__clkbuf_1 _17045_ (.A(_03743_),
+    .X(_03724_));
+ sky130_fd_sc_hd__clkbuf_1 _17005_ (.A(_03724_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01497_));
- sky130_fd_sc_hd__mux2_1 _17046_ (.A0(_02819_),
+ sky130_fd_sc_hd__mux2_1 _17006_ (.A0(_02801_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[1][3] ),
-    .S(_03740_),
+    .S(_03721_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03744_));
- sky130_fd_sc_hd__clkbuf_1 _17047_ (.A(_03744_),
+    .X(_03725_));
+ sky130_fd_sc_hd__clkbuf_1 _17007_ (.A(_03725_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01498_));
- sky130_fd_sc_hd__clkbuf_2 _17048_ (.A(_03739_),
+ sky130_fd_sc_hd__buf_4 _17008_ (.A(_03720_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03745_));
- sky130_fd_sc_hd__mux2_1 _17049_ (.A0(_02821_),
+    .X(_03726_));
+ sky130_fd_sc_hd__mux2_1 _17009_ (.A0(_02803_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[1][4] ),
-    .S(_03745_),
+    .S(_03726_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03746_));
- sky130_fd_sc_hd__clkbuf_1 _17050_ (.A(_03746_),
+    .X(_03727_));
+ sky130_fd_sc_hd__clkbuf_1 _17010_ (.A(_03727_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01499_));
- sky130_fd_sc_hd__mux2_1 _17051_ (.A0(_02824_),
+ sky130_fd_sc_hd__mux2_1 _17011_ (.A0(_02806_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[1][5] ),
-    .S(_03745_),
+    .S(_03726_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03747_));
- sky130_fd_sc_hd__clkbuf_1 _17052_ (.A(_03747_),
+    .X(_03728_));
+ sky130_fd_sc_hd__clkbuf_1 _17012_ (.A(_03728_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01500_));
- sky130_fd_sc_hd__mux2_1 _17053_ (.A0(_02826_),
+ sky130_fd_sc_hd__mux2_1 _17013_ (.A0(_02808_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[1][6] ),
-    .S(_03745_),
+    .S(_03726_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03748_));
- sky130_fd_sc_hd__clkbuf_1 _17054_ (.A(_03748_),
+    .X(_03729_));
+ sky130_fd_sc_hd__clkbuf_1 _17014_ (.A(_03729_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01501_));
- sky130_fd_sc_hd__mux2_1 _17055_ (.A0(_02828_),
+ sky130_fd_sc_hd__mux2_1 _17015_ (.A0(_02810_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[1][7] ),
-    .S(_03745_),
+    .S(_03726_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03749_));
- sky130_fd_sc_hd__clkbuf_1 _17056_ (.A(_03749_),
+    .X(_03730_));
+ sky130_fd_sc_hd__clkbuf_1 _17016_ (.A(_03730_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01502_));
- sky130_fd_sc_hd__or2_1 _17057_ (.A(_03116_),
-    .B(_03716_),
+ sky130_fd_sc_hd__or2_1 _17017_ (.A(_03097_),
+    .B(_03697_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03750_));
- sky130_fd_sc_hd__clkbuf_2 _17058_ (.A(_03750_),
+    .X(_03731_));
+ sky130_fd_sc_hd__buf_2 _17018_ (.A(_03731_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03751_));
- sky130_fd_sc_hd__mux2_1 _17059_ (.A0(_02796_),
+    .X(_03732_));
+ sky130_fd_sc_hd__mux2_1 _17019_ (.A0(_02778_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[0][0] ),
-    .S(_03751_),
+    .S(_03732_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03752_));
- sky130_fd_sc_hd__clkbuf_1 _17060_ (.A(_03752_),
+    .X(_03733_));
+ sky130_fd_sc_hd__clkbuf_1 _17020_ (.A(_03733_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01503_));
- sky130_fd_sc_hd__mux2_1 _17061_ (.A0(_02815_),
+ sky130_fd_sc_hd__mux2_1 _17021_ (.A0(_02797_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[0][1] ),
-    .S(_03751_),
+    .S(_03732_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03753_));
- sky130_fd_sc_hd__clkbuf_1 _17062_ (.A(_03753_),
+    .X(_03734_));
+ sky130_fd_sc_hd__clkbuf_1 _17022_ (.A(_03734_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01504_));
- sky130_fd_sc_hd__mux2_1 _17063_ (.A0(_02817_),
+ sky130_fd_sc_hd__mux2_1 _17023_ (.A0(_02799_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[0][2] ),
-    .S(_03751_),
+    .S(_03732_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03754_));
- sky130_fd_sc_hd__clkbuf_1 _17064_ (.A(_03754_),
+    .X(_03735_));
+ sky130_fd_sc_hd__clkbuf_1 _17024_ (.A(_03735_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01505_));
- sky130_fd_sc_hd__mux2_1 _17065_ (.A0(_02819_),
+ sky130_fd_sc_hd__mux2_1 _17025_ (.A0(_02801_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[0][3] ),
-    .S(_03751_),
+    .S(_03732_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03755_));
- sky130_fd_sc_hd__clkbuf_1 _17066_ (.A(_03755_),
+    .X(_03736_));
+ sky130_fd_sc_hd__clkbuf_1 _17026_ (.A(_03736_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01506_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _17067_ (.A(_03750_),
+ sky130_fd_sc_hd__clkbuf_4 _17027_ (.A(_03731_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03756_));
- sky130_fd_sc_hd__mux2_1 _17068_ (.A0(_02821_),
+    .X(_03737_));
+ sky130_fd_sc_hd__mux2_1 _17028_ (.A0(_02803_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[0][4] ),
-    .S(_03756_),
+    .S(_03737_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03757_));
- sky130_fd_sc_hd__clkbuf_1 _17069_ (.A(_03757_),
+    .X(_03738_));
+ sky130_fd_sc_hd__clkbuf_1 _17029_ (.A(_03738_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01507_));
- sky130_fd_sc_hd__mux2_1 _17070_ (.A0(_02824_),
+ sky130_fd_sc_hd__mux2_1 _17030_ (.A0(_02806_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[0][5] ),
-    .S(_03756_),
+    .S(_03737_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03758_));
- sky130_fd_sc_hd__clkbuf_1 _17071_ (.A(_03758_),
+    .X(_03739_));
+ sky130_fd_sc_hd__clkbuf_1 _17031_ (.A(_03739_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01508_));
- sky130_fd_sc_hd__mux2_1 _17072_ (.A0(_02826_),
+ sky130_fd_sc_hd__mux2_1 _17032_ (.A0(_02808_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[0][6] ),
-    .S(_03756_),
+    .S(_03737_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03759_));
- sky130_fd_sc_hd__clkbuf_1 _17073_ (.A(_03759_),
+    .X(_03740_));
+ sky130_fd_sc_hd__clkbuf_1 _17033_ (.A(_03740_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01509_));
- sky130_fd_sc_hd__mux2_1 _17074_ (.A0(_02828_),
+ sky130_fd_sc_hd__mux2_1 _17034_ (.A0(_02810_),
     .A1(\u_usb_host.u_core.u_fifo_tx.ram[0][7] ),
-    .S(_03756_),
+    .S(_03737_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03760_));
- sky130_fd_sc_hd__clkbuf_1 _17075_ (.A(_03760_),
+    .X(_03741_));
+ sky130_fd_sc_hd__clkbuf_1 _17035_ (.A(_03741_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01510_));
- sky130_fd_sc_hd__buf_2 _17076_ (.A(_03350_),
+ sky130_fd_sc_hd__buf_2 _17036_ (.A(_03331_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03761_));
- sky130_fd_sc_hd__o211a_1 _17077_ (.A1(\u_usb_host.u_core.u_fifo_tx.count[6] ),
-    .A2(_02803_),
-    .B1(_02502_),
-    .C1(_05298_),
+    .X(_03742_));
+ sky130_fd_sc_hd__o211a_1 _17037_ (.A1(\u_usb_host.u_core.u_fifo_tx.count[6] ),
+    .A2(_02785_),
+    .B1(_02484_),
+    .C1(_05281_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03762_));
- sky130_fd_sc_hd__and2_1 _17078_ (.A(_03761_),
-    .B(_03762_),
+    .X(_03743_));
+ sky130_fd_sc_hd__and2_1 _17038_ (.A(_03742_),
+    .B(_03743_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03763_));
- sky130_fd_sc_hd__nor2_1 _17079_ (.A(_03761_),
-    .B(_03762_),
+    .X(_03744_));
+ sky130_fd_sc_hd__nor2_1 _17039_ (.A(_03742_),
+    .B(_03743_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03764_));
- sky130_fd_sc_hd__or2_1 _17080_ (.A(_03763_),
-    .B(_03764_),
+    .Y(_03745_));
+ sky130_fd_sc_hd__or2_1 _17040_ (.A(_03744_),
+    .B(_03745_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03765_));
- sky130_fd_sc_hd__nor2_1 _17081_ (.A(\u_usb_host.u_core.u_fifo_tx.flush_i ),
-    .B(_03765_),
+    .X(_03746_));
+ sky130_fd_sc_hd__nor2_1 _17041_ (.A(\u_usb_host.u_core.u_fifo_tx.flush_i ),
+    .B(_03746_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03766_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _17082_ (.A(\u_usb_host.u_core.u_fifo_tx.count[0] ),
+    .Y(_03747_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _17042_ (.A(\u_usb_host.u_core.u_fifo_tx.count[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03767_));
- sky130_fd_sc_hd__mux2_1 _17083_ (.A0(_03765_),
-    .A1(_03766_),
-    .S(_03767_),
+    .X(_03748_));
+ sky130_fd_sc_hd__mux2_1 _17043_ (.A0(_03746_),
+    .A1(_03747_),
+    .S(_03748_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03768_));
- sky130_fd_sc_hd__clkbuf_1 _17084_ (.A(_03768_),
+    .X(_03749_));
+ sky130_fd_sc_hd__clkbuf_1 _17044_ (.A(_03749_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01511_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _17085_ (.A(\u_usb_host.u_core.u_fifo_tx.count[1] ),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _17045_ (.A(\u_usb_host.u_core.u_fifo_tx.count[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03769_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _17086_ (.A(_03766_),
+    .X(_03750_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _17046_ (.A(_03747_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03770_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _17087_ (.A(_03764_),
+    .X(_03751_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _17047_ (.A(_03745_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03771_));
- sky130_fd_sc_hd__xor2_1 _17088_ (.A(_03767_),
-    .B(_03769_),
+    .X(_03752_));
+ sky130_fd_sc_hd__xor2_1 _17048_ (.A(_03748_),
+    .B(_03750_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03772_));
- sky130_fd_sc_hd__mux2_1 _17089_ (.A0(_03763_),
-    .A1(_03771_),
-    .S(_03772_),
+    .X(_03753_));
+ sky130_fd_sc_hd__mux2_1 _17049_ (.A0(_03744_),
+    .A1(_03752_),
+    .S(_03753_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03773_));
- sky130_fd_sc_hd__a21o_1 _17090_ (.A1(_03769_),
-    .A2(_03770_),
-    .B1(_03773_),
+    .X(_03754_));
+ sky130_fd_sc_hd__a21o_1 _17050_ (.A1(_03750_),
+    .A2(_03751_),
+    .B1(_03754_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01512_));
- sky130_fd_sc_hd__clkbuf_1 _17091_ (.A(\u_usb_host.u_core.u_fifo_tx.count[2] ),
+ sky130_fd_sc_hd__clkbuf_1 _17051_ (.A(\u_usb_host.u_core.u_fifo_tx.count[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03774_));
- sky130_fd_sc_hd__and3_1 _17092_ (.A(\u_usb_host.u_core.u_fifo_tx.count[0] ),
+    .X(_03755_));
+ sky130_fd_sc_hd__and3_1 _17052_ (.A(\u_usb_host.u_core.u_fifo_tx.count[0] ),
     .B(\u_usb_host.u_core.u_fifo_tx.count[1] ),
-    .C(_03774_),
+    .C(_03755_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03775_));
- sky130_fd_sc_hd__a21oi_1 _17093_ (.A1(_03767_),
-    .A2(_03769_),
-    .B1(_03774_),
+    .X(_03756_));
+ sky130_fd_sc_hd__a21oi_1 _17053_ (.A1(_03748_),
+    .A2(_03750_),
+    .B1(_03755_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03776_));
- sky130_fd_sc_hd__nor2_1 _17094_ (.A(_03775_),
-    .B(_03776_),
+    .Y(_03757_));
+ sky130_fd_sc_hd__nor2_1 _17054_ (.A(_03756_),
+    .B(_03757_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03777_));
- sky130_fd_sc_hd__o21ai_1 _17095_ (.A1(_03767_),
-    .A2(_03769_),
-    .B1(_03774_),
+    .Y(_03758_));
+ sky130_fd_sc_hd__o21ai_1 _17055_ (.A1(_03748_),
+    .A2(_03750_),
+    .B1(_03755_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03778_));
- sky130_fd_sc_hd__clkbuf_2 _17096_ (.A(_03762_),
+    .Y(_03759_));
+ sky130_fd_sc_hd__clkbuf_2 _17056_ (.A(_03743_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03779_));
- sky130_fd_sc_hd__nand2_1 _17097_ (.A(_03761_),
-    .B(_03779_),
+    .X(_03760_));
+ sky130_fd_sc_hd__nand2_1 _17057_ (.A(_03742_),
+    .B(_03760_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03780_));
- sky130_fd_sc_hd__a21oi_1 _17098_ (.A1(_02800_),
-    .A2(_03778_),
-    .B1(_03780_),
+    .Y(_03761_));
+ sky130_fd_sc_hd__a21oi_1 _17058_ (.A1(_02782_),
+    .A2(_03759_),
+    .B1(_03761_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03781_));
- sky130_fd_sc_hd__a221o_1 _17099_ (.A1(_03774_),
-    .A2(_03770_),
-    .B1(_03777_),
-    .B2(_03771_),
-    .C1(_03781_),
+    .Y(_03762_));
+ sky130_fd_sc_hd__a221o_1 _17059_ (.A1(_03755_),
+    .A2(_03751_),
+    .B1(_03758_),
+    .B2(_03752_),
+    .C1(_03762_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01513_));
- sky130_fd_sc_hd__clkbuf_1 _17100_ (.A(\u_usb_host.u_core.u_fifo_tx.count[3] ),
+ sky130_fd_sc_hd__clkbuf_1 _17060_ (.A(\u_usb_host.u_core.u_fifo_tx.count[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03782_));
- sky130_fd_sc_hd__and2_1 _17101_ (.A(\u_usb_host.u_core.u_fifo_tx.count[3] ),
-    .B(_03775_),
+    .X(_03763_));
+ sky130_fd_sc_hd__and2_1 _17061_ (.A(\u_usb_host.u_core.u_fifo_tx.count[3] ),
+    .B(_03756_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03783_));
- sky130_fd_sc_hd__or2_1 _17102_ (.A(_03782_),
-    .B(_03775_),
+    .X(_03764_));
+ sky130_fd_sc_hd__or2_1 _17062_ (.A(_03763_),
+    .B(_03756_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03784_));
- sky130_fd_sc_hd__and3b_1 _17103_ (.A_N(_03783_),
-    .B(_03784_),
-    .C(_03764_),
+    .X(_03765_));
+ sky130_fd_sc_hd__and3b_1 _17063_ (.A_N(_03764_),
+    .B(_03765_),
+    .C(_03745_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03785_));
- sky130_fd_sc_hd__nand2_1 _17104_ (.A(_03782_),
-    .B(_02800_),
+    .X(_03766_));
+ sky130_fd_sc_hd__nand2_1 _17064_ (.A(_03763_),
+    .B(_02782_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03786_));
- sky130_fd_sc_hd__a21oi_1 _17105_ (.A1(_02801_),
-    .A2(_03786_),
-    .B1(_03780_),
+    .Y(_03767_));
+ sky130_fd_sc_hd__a21oi_1 _17065_ (.A1(_02783_),
+    .A2(_03767_),
+    .B1(_03761_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03787_));
- sky130_fd_sc_hd__a211o_1 _17106_ (.A1(_03782_),
-    .A2(_03770_),
-    .B1(_03785_),
-    .C1(_03787_),
+    .Y(_03768_));
+ sky130_fd_sc_hd__a211o_1 _17066_ (.A1(_03763_),
+    .A2(_03751_),
+    .B1(_03766_),
+    .C1(_03768_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01514_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _17107_ (.A(\u_usb_host.u_core.u_fifo_tx.count[4] ),
+ sky130_fd_sc_hd__clkbuf_1 _17067_ (.A(\u_usb_host.u_core.u_fifo_tx.count[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03788_));
- sky130_fd_sc_hd__clkbuf_1 _17108_ (.A(_03788_),
+    .X(_03769_));
+ sky130_fd_sc_hd__clkbuf_1 _17068_ (.A(_03769_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03789_));
- sky130_fd_sc_hd__nand2_1 _17109_ (.A(_03789_),
-    .B(_03783_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_03790_));
- sky130_fd_sc_hd__or2_1 _17110_ (.A(_03789_),
-    .B(_03783_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03791_));
- sky130_fd_sc_hd__xnor2_1 _17111_ (.A(_03788_),
-    .B(_02801_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_03792_));
- sky130_fd_sc_hd__a22o_1 _17112_ (.A1(_03789_),
-    .A2(_03766_),
-    .B1(_03792_),
-    .B2(_03763_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03793_));
- sky130_fd_sc_hd__a31o_1 _17113_ (.A1(_03771_),
-    .A2(_03790_),
-    .A3(_03791_),
-    .B1(_03793_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01515_));
- sky130_fd_sc_hd__clkbuf_1 _17114_ (.A(\u_usb_host.u_core.u_fifo_tx.count[5] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03794_));
- sky130_fd_sc_hd__and3_1 _17115_ (.A(_03788_),
-    .B(_03794_),
-    .C(_03783_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03795_));
- sky130_fd_sc_hd__and2b_1 _17116_ (.A_N(_03795_),
+    .X(_03770_));
+ sky130_fd_sc_hd__nand2_1 _17069_ (.A(_03770_),
     .B(_03764_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03796_));
- sky130_fd_sc_hd__a31o_1 _17117_ (.A1(_03782_),
-    .A2(_03789_),
-    .A3(_03775_),
-    .B1(_03794_),
+    .Y(_03771_));
+ sky130_fd_sc_hd__or2_1 _17070_ (.A(_03770_),
+    .B(_03764_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03797_));
- sky130_fd_sc_hd__o21ai_1 _17118_ (.A1(_03788_),
-    .A2(_02801_),
-    .B1(_03794_),
+    .X(_03772_));
+ sky130_fd_sc_hd__xnor2_1 _17071_ (.A(_03769_),
+    .B(_02783_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03798_));
- sky130_fd_sc_hd__a21oi_1 _17119_ (.A1(_02803_),
-    .A2(_03798_),
-    .B1(_03780_),
+    .Y(_03773_));
+ sky130_fd_sc_hd__a22o_1 _17072_ (.A1(_03770_),
+    .A2(_03747_),
+    .B1(_03773_),
+    .B2(_03744_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03799_));
- sky130_fd_sc_hd__a221o_1 _17120_ (.A1(_03794_),
+    .X(_03774_));
+ sky130_fd_sc_hd__a31o_1 _17073_ (.A1(_03752_),
+    .A2(_03771_),
+    .A3(_03772_),
+    .B1(_03774_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01515_));
+ sky130_fd_sc_hd__clkbuf_1 _17074_ (.A(\u_usb_host.u_core.u_fifo_tx.count[5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03775_));
+ sky130_fd_sc_hd__and3_1 _17075_ (.A(_03769_),
+    .B(_03775_),
+    .C(_03764_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03776_));
+ sky130_fd_sc_hd__and2b_1 _17076_ (.A_N(_03776_),
+    .B(_03745_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03777_));
+ sky130_fd_sc_hd__a31o_1 _17077_ (.A1(_03763_),
     .A2(_03770_),
-    .B1(_03796_),
-    .B2(_03797_),
-    .C1(_03799_),
+    .A3(_03756_),
+    .B1(_03775_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03778_));
+ sky130_fd_sc_hd__o21ai_1 _17078_ (.A1(_03769_),
+    .A2(_02783_),
+    .B1(_03775_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_03779_));
+ sky130_fd_sc_hd__a21oi_1 _17079_ (.A1(_02785_),
+    .A2(_03779_),
+    .B1(_03761_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_03780_));
+ sky130_fd_sc_hd__a221o_1 _17080_ (.A1(_03775_),
+    .A2(_03751_),
+    .B1(_03777_),
+    .B2(_03778_),
+    .C1(_03780_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01516_));
- sky130_fd_sc_hd__a21o_1 _17121_ (.A1(_02803_),
-    .A2(_03763_),
-    .B1(_03796_),
+ sky130_fd_sc_hd__a21o_1 _17081_ (.A1(_02785_),
+    .A2(_03744_),
+    .B1(_03777_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03800_));
- sky130_fd_sc_hd__o21a_1 _17122_ (.A1(_03766_),
-    .A2(_03800_),
+    .X(_03781_));
+ sky130_fd_sc_hd__o21a_1 _17082_ (.A1(_03747_),
+    .A2(_03781_),
     .B1(\u_usb_host.u_core.u_fifo_tx.count[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03801_));
- sky130_fd_sc_hd__a31o_1 _17123_ (.A1(_02799_),
-    .A2(_03771_),
-    .A3(_03795_),
-    .B1(_03801_),
+    .X(_03782_));
+ sky130_fd_sc_hd__a31o_1 _17083_ (.A1(_02781_),
+    .A2(_03752_),
+    .A3(_03776_),
+    .B1(_03782_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01517_));
- sky130_fd_sc_hd__nor2_2 _17124_ (.A(\u_usb_host.u_core.u_fifo_tx.flush_i ),
-    .B(_03762_),
+ sky130_fd_sc_hd__nor2_2 _17084_ (.A(\u_usb_host.u_core.u_fifo_tx.flush_i ),
+    .B(_03743_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03802_));
- sky130_fd_sc_hd__clkbuf_1 _17125_ (.A(_08016_),
+    .Y(_03783_));
+ sky130_fd_sc_hd__clkbuf_1 _17085_ (.A(_08028_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03803_));
- sky130_fd_sc_hd__mux2_1 _17126_ (.A0(_03779_),
-    .A1(_03802_),
-    .S(_03803_),
+    .X(_03784_));
+ sky130_fd_sc_hd__mux2_1 _17086_ (.A0(_03760_),
+    .A1(_03783_),
+    .S(_03784_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03804_));
- sky130_fd_sc_hd__clkbuf_1 _17127_ (.A(_03804_),
+    .X(_03785_));
+ sky130_fd_sc_hd__clkbuf_1 _17087_ (.A(_03785_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01518_));
- sky130_fd_sc_hd__clkbuf_1 _17128_ (.A(_08015_),
+ sky130_fd_sc_hd__clkbuf_1 _17088_ (.A(_08027_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03805_));
- sky130_fd_sc_hd__or2_1 _17129_ (.A(_03805_),
-    .B(_03803_),
+    .X(_03786_));
+ sky130_fd_sc_hd__or2_1 _17089_ (.A(_03786_),
+    .B(_03784_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03806_));
- sky130_fd_sc_hd__nand2_1 _17130_ (.A(_03805_),
-    .B(_03803_),
+    .X(_03787_));
+ sky130_fd_sc_hd__nand2_1 _17090_ (.A(_03786_),
+    .B(_03784_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03807_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _17131_ (.A(_03779_),
+    .Y(_03788_));
+ sky130_fd_sc_hd__clkbuf_1 _17091_ (.A(_03760_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03808_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _17132_ (.A(_03802_),
+    .X(_03789_));
+ sky130_fd_sc_hd__clkbuf_1 _17092_ (.A(_03783_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03809_));
- sky130_fd_sc_hd__a32o_1 _17133_ (.A1(_03806_),
-    .A2(_03807_),
-    .A3(_03808_),
-    .B1(_03809_),
-    .B2(_03805_),
+    .X(_03790_));
+ sky130_fd_sc_hd__a32o_1 _17093_ (.A1(_03787_),
+    .A2(_03788_),
+    .A3(_03789_),
+    .B1(_03790_),
+    .B2(_03786_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01519_));
- sky130_fd_sc_hd__nand3_1 _17134_ (.A(_08015_),
-    .B(_08016_),
-    .C(_08119_),
+ sky130_fd_sc_hd__nand3_1 _17094_ (.A(_08027_),
+    .B(_08028_),
+    .C(_08143_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03810_));
- sky130_fd_sc_hd__a21o_1 _17135_ (.A1(_03805_),
-    .A2(_03803_),
-    .B1(_08119_),
+    .Y(_03791_));
+ sky130_fd_sc_hd__a21o_1 _17095_ (.A1(_03786_),
+    .A2(_03784_),
+    .B1(_08143_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03811_));
- sky130_fd_sc_hd__a32o_1 _17136_ (.A1(_03808_),
-    .A2(_03810_),
-    .A3(_03811_),
-    .B1(_03809_),
-    .B2(_08119_),
+    .X(_03792_));
+ sky130_fd_sc_hd__a32o_1 _17096_ (.A1(_03789_),
+    .A2(_03791_),
+    .A3(_03792_),
+    .B1(_03790_),
+    .B2(_08143_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01520_));
- sky130_fd_sc_hd__a21o_1 _17137_ (.A1(_07977_),
-    .A2(_03810_),
-    .B1(_08619_),
+ sky130_fd_sc_hd__a21o_1 _17097_ (.A1(_07963_),
+    .A2(_03791_),
+    .B1(_08596_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03812_));
- sky130_fd_sc_hd__a22o_1 _17138_ (.A1(_07977_),
-    .A2(_03809_),
-    .B1(_03812_),
-    .B2(_03808_),
+    .X(_03793_));
+ sky130_fd_sc_hd__a22o_1 _17098_ (.A1(_07963_),
+    .A2(_03790_),
+    .B1(_03793_),
+    .B2(_03789_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01521_));
- sky130_fd_sc_hd__nand2_1 _17139_ (.A(_07963_),
-    .B(_08607_),
+ sky130_fd_sc_hd__nand2_1 _17099_ (.A(_07948_),
+    .B(_08725_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03813_));
- sky130_fd_sc_hd__or2_1 _17140_ (.A(_07963_),
-    .B(_08607_),
+    .Y(_03794_));
+ sky130_fd_sc_hd__or2_1 _17100_ (.A(_07948_),
+    .B(_08725_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03814_));
- sky130_fd_sc_hd__a32o_1 _17141_ (.A1(_03779_),
-    .A2(_03813_),
-    .A3(_03814_),
-    .B1(_03802_),
-    .B2(_07963_),
+    .X(_03795_));
+ sky130_fd_sc_hd__a32o_1 _17101_ (.A1(_03760_),
+    .A2(_03794_),
+    .A3(_03795_),
+    .B1(_03783_),
+    .B2(_07948_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01522_));
- sky130_fd_sc_hd__a21o_1 _17142_ (.A1(_07964_),
-    .A2(_03813_),
-    .B1(_08579_),
+ sky130_fd_sc_hd__a21o_1 _17102_ (.A1(_07949_),
+    .A2(_03794_),
+    .B1(_08386_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03815_));
- sky130_fd_sc_hd__a22o_1 _17143_ (.A1(_07964_),
-    .A2(_03809_),
-    .B1(_03815_),
-    .B2(_03808_),
+    .X(_03796_));
+ sky130_fd_sc_hd__a22o_1 _17103_ (.A1(_07949_),
+    .A2(_03790_),
+    .B1(_03796_),
+    .B2(_03789_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01523_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _17144_ (.A(_02804_),
+ sky130_fd_sc_hd__clkbuf_1 _17104_ (.A(_02786_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03816_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _17145_ (.A(_03816_),
+    .X(_03797_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _17105_ (.A(_03797_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03817_));
- sky130_fd_sc_hd__nor2_1 _17146_ (.A(\u_usb_host.u_core.u_fifo_tx.flush_i ),
-    .B(_03816_),
+    .X(_03798_));
+ sky130_fd_sc_hd__nor2_1 _17106_ (.A(\u_usb_host.u_core.u_fifo_tx.flush_i ),
+    .B(_03797_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03818_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _17147_ (.A(_03818_),
+    .Y(_03799_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _17107_ (.A(_03799_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03819_));
- sky130_fd_sc_hd__mux2_1 _17148_ (.A0(_03817_),
-    .A1(_03819_),
-    .S(_02897_),
+    .X(_03800_));
+ sky130_fd_sc_hd__mux2_1 _17108_ (.A0(_03798_),
+    .A1(_03800_),
+    .S(_02879_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03820_));
- sky130_fd_sc_hd__clkbuf_1 _17149_ (.A(_03820_),
+    .X(_03801_));
+ sky130_fd_sc_hd__clkbuf_1 _17109_ (.A(_03801_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01524_));
- sky130_fd_sc_hd__a32o_1 _17150_ (.A1(_03817_),
-    .A2(_02809_),
-    .A3(_02926_),
-    .B1(_03819_),
-    .B2(_02881_),
+ sky130_fd_sc_hd__a32o_1 _17110_ (.A1(_03798_),
+    .A2(_02791_),
+    .A3(_02908_),
+    .B1(_03800_),
+    .B2(_02863_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01525_));
- sky130_fd_sc_hd__a21o_1 _17151_ (.A1(_03816_),
-    .A2(_03002_),
-    .B1(_03818_),
+ sky130_fd_sc_hd__a21o_1 _17111_ (.A1(_03797_),
+    .A2(_02982_),
+    .B1(_03799_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03821_));
- sky130_fd_sc_hd__a31o_1 _17152_ (.A1(_02897_),
-    .A2(_02881_),
-    .A3(_03816_),
-    .B1(_03062_),
+    .X(_03802_));
+ sky130_fd_sc_hd__a31o_1 _17112_ (.A1(_02863_),
+    .A2(_02879_),
+    .A3(_03797_),
+    .B1(_03044_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03822_));
- sky130_fd_sc_hd__and2_1 _17153_ (.A(_03821_),
-    .B(_03822_),
+    .X(_03803_));
+ sky130_fd_sc_hd__and2_1 _17113_ (.A(_03802_),
+    .B(_03803_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03823_));
- sky130_fd_sc_hd__clkbuf_1 _17154_ (.A(_03823_),
+    .X(_03804_));
+ sky130_fd_sc_hd__clkbuf_1 _17114_ (.A(_03804_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01526_));
- sky130_fd_sc_hd__a2bb2o_1 _17155_ (.A1_N(_03761_),
-    .A2_N(_03004_),
-    .B1(_03821_),
-    .B2(_03063_),
+ sky130_fd_sc_hd__a2bb2o_1 _17115_ (.A1_N(_03742_),
+    .A2_N(_02984_),
+    .B1(_03802_),
+    .B2(_03043_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01527_));
- sky130_fd_sc_hd__nor2_1 _17156_ (.A(_02809_),
-    .B(_02880_),
+ sky130_fd_sc_hd__nor2_1 _17116_ (.A(_02791_),
+    .B(_02862_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03824_));
- sky130_fd_sc_hd__nand2_1 _17157_ (.A(_03128_),
-    .B(_03824_),
+    .Y(_03805_));
+ sky130_fd_sc_hd__nand2_1 _17117_ (.A(_03109_),
+    .B(_03805_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03825_));
- sky130_fd_sc_hd__or2_1 _17158_ (.A(_03128_),
-    .B(_03824_),
+    .Y(_03806_));
+ sky130_fd_sc_hd__or2_1 _17118_ (.A(_03109_),
+    .B(_03805_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03826_));
- sky130_fd_sc_hd__a32o_1 _17159_ (.A1(_03817_),
-    .A2(_03825_),
-    .A3(_03826_),
-    .B1(_03819_),
-    .B2(_03128_),
+    .X(_03807_));
+ sky130_fd_sc_hd__a32o_1 _17119_ (.A1(_03798_),
+    .A2(_03806_),
+    .A3(_03807_),
+    .B1(_03800_),
+    .B2(_03109_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01528_));
- sky130_fd_sc_hd__xnor2_1 _17160_ (.A(_02798_),
-    .B(_03825_),
+ sky130_fd_sc_hd__xnor2_1 _17120_ (.A(_02780_),
+    .B(_03806_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03827_));
- sky130_fd_sc_hd__a22o_1 _17161_ (.A1(_02798_),
-    .A2(_03819_),
-    .B1(_03827_),
-    .B2(_03817_),
+    .Y(_03808_));
+ sky130_fd_sc_hd__a22o_1 _17121_ (.A1(_02780_),
+    .A2(_03800_),
+    .B1(_03808_),
+    .B2(_03798_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01529_));
- sky130_fd_sc_hd__clkbuf_2 _17162_ (.A(_02639_),
+ sky130_fd_sc_hd__clkbuf_1 _17122_ (.A(\u_usb_host.u_core.fifo_rx_data_w[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03828_));
- sky130_fd_sc_hd__inv_2 _17163_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[4] ),
+    .X(_03809_));
+ sky130_fd_sc_hd__clkbuf_2 _17123_ (.A(_03809_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03829_));
- sky130_fd_sc_hd__inv_2 _17164_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[5] ),
+    .X(_03810_));
+ sky130_fd_sc_hd__inv_2 _17124_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03830_));
- sky130_fd_sc_hd__or3_1 _17165_ (.A(\u_usb_host.u_core.u_fifo_rx.count[1] ),
+    .Y(_03811_));
+ sky130_fd_sc_hd__inv_2 _17125_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_03812_));
+ sky130_fd_sc_hd__or3_1 _17126_ (.A(\u_usb_host.u_core.u_fifo_rx.count[1] ),
     .B(\u_usb_host.u_core.u_fifo_rx.count[0] ),
     .C(\u_usb_host.u_core.u_fifo_rx.count[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03831_));
- sky130_fd_sc_hd__or2_1 _17166_ (.A(\u_usb_host.u_core.u_fifo_rx.count[3] ),
-    .B(_03831_),
+    .X(_03813_));
+ sky130_fd_sc_hd__or2_2 _17127_ (.A(\u_usb_host.u_core.u_fifo_rx.count[3] ),
+    .B(_03813_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03832_));
- sky130_fd_sc_hd__or3_2 _17167_ (.A(\u_usb_host.u_core.u_fifo_rx.count[5] ),
-    .B(\u_usb_host.u_core.u_fifo_rx.count[4] ),
-    .C(_03832_),
+    .X(_03814_));
+ sky130_fd_sc_hd__nor3_2 _17128_ (.A(\u_usb_host.u_core.u_fifo_rx.count[4] ),
+    .B(\u_usb_host.u_core.u_fifo_rx.count[5] ),
+    .C(_03814_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03833_));
- sky130_fd_sc_hd__inv_2 _17168_ (.A(_03833_),
+    .Y(_03815_));
+ sky130_fd_sc_hd__or4b_4 _17129_ (.A(\u_usb_host.u_core.u_sie.crc_byte_w ),
+    .B(_06231_),
+    .C(_05315_),
+    .D_N(\u_usb_host.u_core.u_sie.data_ready_w ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03834_));
- sky130_fd_sc_hd__inv_2 _17169_ (.A(\u_usb_host.u_core.u_sie.data_ready_w ),
+    .X(_03816_));
+ sky130_fd_sc_hd__a21oi_1 _17130_ (.A1(\u_usb_host.u_core.u_fifo_rx.count[6] ),
+    .A2(_03815_),
+    .B1(_03816_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03835_));
- sky130_fd_sc_hd__or4_4 _17170_ (.A(_03835_),
-    .B(\u_usb_host.u_core.u_sie.crc_byte_w ),
-    .C(_06215_),
-    .D(_05329_),
+    .Y(_03817_));
+ sky130_fd_sc_hd__nand2_1 _17131_ (.A(net107),
+    .B(_03817_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03836_));
- sky130_fd_sc_hd__a21oi_2 _17171_ (.A1(\u_usb_host.u_core.u_fifo_rx.count[6] ),
-    .A2(_03834_),
-    .B1(_03836_),
+    .Y(_03818_));
+ sky130_fd_sc_hd__or3_2 _17132_ (.A(_03811_),
+    .B(_03812_),
+    .C(_03818_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03837_));
- sky130_fd_sc_hd__nand2_1 _17172_ (.A(net107),
-    .B(_03837_),
+    .X(_03819_));
+ sky130_fd_sc_hd__clkbuf_1 _17133_ (.A(_03819_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03838_));
- sky130_fd_sc_hd__or3_2 _17173_ (.A(_03829_),
-    .B(_03830_),
-    .C(_03838_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03839_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _17174_ (.A(_03839_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03840_));
- sky130_fd_sc_hd__nand2_1 _17175_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[1] ),
+    .X(_03820_));
+ sky130_fd_sc_hd__nand2_1 _17134_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[1] ),
     .B(\u_usb_host.u_core.u_fifo_rx.wr_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03841_));
- sky130_fd_sc_hd__clkbuf_1 _17176_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[3] ),
+    .Y(_03821_));
+ sky130_fd_sc_hd__clkbuf_1 _17135_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03842_));
- sky130_fd_sc_hd__nand2_1 _17177_ (.A(_03842_),
+    .X(_03822_));
+ sky130_fd_sc_hd__nand2_1 _17136_ (.A(_03822_),
     .B(\u_usb_host.u_core.u_fifo_rx.wr_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03843_));
- sky130_fd_sc_hd__or2_2 _17178_ (.A(_03841_),
-    .B(_03843_),
+    .Y(_03823_));
+ sky130_fd_sc_hd__or2_1 _17137_ (.A(_03821_),
+    .B(_03823_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03844_));
- sky130_fd_sc_hd__clkbuf_2 _17179_ (.A(_03844_),
+    .X(_03824_));
+ sky130_fd_sc_hd__clkbuf_2 _17138_ (.A(_03824_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03845_));
- sky130_fd_sc_hd__nor2_1 _17180_ (.A(_03840_),
-    .B(_03845_),
+    .X(_03825_));
+ sky130_fd_sc_hd__nor2_1 _17139_ (.A(_03820_),
+    .B(_03825_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03846_));
- sky130_fd_sc_hd__buf_2 _17181_ (.A(_03846_),
+    .Y(_03826_));
+ sky130_fd_sc_hd__clkbuf_4 _17140_ (.A(_03826_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03847_));
- sky130_fd_sc_hd__mux2_1 _17182_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[63][0] ),
-    .A1(_03828_),
-    .S(_03847_),
+    .X(_03827_));
+ sky130_fd_sc_hd__mux2_1 _17141_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[63][0] ),
+    .A1(_03810_),
+    .S(_03827_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03848_));
- sky130_fd_sc_hd__clkbuf_1 _17183_ (.A(_03848_),
+    .X(_03828_));
+ sky130_fd_sc_hd__clkbuf_1 _17142_ (.A(_03828_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01530_));
- sky130_fd_sc_hd__clkbuf_2 _17184_ (.A(_02642_),
+ sky130_fd_sc_hd__clkbuf_2 _17143_ (.A(_02622_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03849_));
- sky130_fd_sc_hd__mux2_1 _17185_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[63][1] ),
-    .A1(_03849_),
-    .S(_03847_),
+    .X(_03829_));
+ sky130_fd_sc_hd__mux2_1 _17144_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[63][1] ),
+    .A1(_03829_),
+    .S(_03827_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03850_));
- sky130_fd_sc_hd__clkbuf_1 _17186_ (.A(_03850_),
+    .X(_03830_));
+ sky130_fd_sc_hd__clkbuf_1 _17145_ (.A(_03830_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01531_));
- sky130_fd_sc_hd__buf_2 _17187_ (.A(_02646_),
+ sky130_fd_sc_hd__clkbuf_1 _17146_ (.A(_02625_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03851_));
- sky130_fd_sc_hd__mux2_1 _17188_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[63][2] ),
-    .A1(_03851_),
-    .S(_03847_),
+    .X(_03831_));
+ sky130_fd_sc_hd__mux2_1 _17147_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[63][2] ),
+    .A1(_03831_),
+    .S(_03827_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03852_));
- sky130_fd_sc_hd__clkbuf_1 _17189_ (.A(_03852_),
+    .X(_03832_));
+ sky130_fd_sc_hd__clkbuf_1 _17148_ (.A(_03832_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01532_));
- sky130_fd_sc_hd__clkbuf_2 _17190_ (.A(_02651_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _17149_ (.A(_02629_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03853_));
- sky130_fd_sc_hd__mux2_1 _17191_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[63][3] ),
-    .A1(_03853_),
-    .S(_03847_),
+    .X(_03833_));
+ sky130_fd_sc_hd__mux2_1 _17150_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[63][3] ),
+    .A1(_03833_),
+    .S(_03827_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03854_));
- sky130_fd_sc_hd__clkbuf_1 _17192_ (.A(_03854_),
+    .X(_03834_));
+ sky130_fd_sc_hd__clkbuf_1 _17151_ (.A(_03834_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01533_));
- sky130_fd_sc_hd__clkbuf_2 _17193_ (.A(_02654_),
+ sky130_fd_sc_hd__clkbuf_1 _17152_ (.A(_02634_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03855_));
- sky130_fd_sc_hd__clkbuf_2 _17194_ (.A(_03846_),
+    .X(_03835_));
+ sky130_fd_sc_hd__buf_2 _17153_ (.A(_03826_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03856_));
- sky130_fd_sc_hd__mux2_1 _17195_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[63][4] ),
-    .A1(_03855_),
-    .S(_03856_),
+    .X(_03836_));
+ sky130_fd_sc_hd__mux2_1 _17154_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[63][4] ),
+    .A1(_03835_),
+    .S(_03836_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03857_));
- sky130_fd_sc_hd__clkbuf_1 _17196_ (.A(_03857_),
+    .X(_03837_));
+ sky130_fd_sc_hd__clkbuf_1 _17155_ (.A(_03837_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01534_));
- sky130_fd_sc_hd__clkbuf_4 _17197_ (.A(_02657_),
+ sky130_fd_sc_hd__clkbuf_2 _17156_ (.A(_02637_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03858_));
- sky130_fd_sc_hd__mux2_1 _17198_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[63][5] ),
-    .A1(_03858_),
-    .S(_03856_),
+    .X(_03838_));
+ sky130_fd_sc_hd__mux2_1 _17157_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[63][5] ),
+    .A1(_03838_),
+    .S(_03836_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03859_));
- sky130_fd_sc_hd__clkbuf_1 _17199_ (.A(_03859_),
+    .X(_03839_));
+ sky130_fd_sc_hd__clkbuf_1 _17158_ (.A(_03839_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01535_));
- sky130_fd_sc_hd__buf_2 _17200_ (.A(_02660_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _17159_ (.A(_02640_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03860_));
- sky130_fd_sc_hd__mux2_1 _17201_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[63][6] ),
-    .A1(_03860_),
-    .S(_03856_),
+    .X(_03840_));
+ sky130_fd_sc_hd__mux2_1 _17160_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[63][6] ),
+    .A1(_03840_),
+    .S(_03836_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03861_));
- sky130_fd_sc_hd__clkbuf_1 _17202_ (.A(_03861_),
+    .X(_03841_));
+ sky130_fd_sc_hd__clkbuf_1 _17161_ (.A(_03841_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01536_));
- sky130_fd_sc_hd__buf_2 _17203_ (.A(_02683_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _17162_ (.A(_02665_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03862_));
- sky130_fd_sc_hd__mux2_1 _17204_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[63][7] ),
-    .A1(_03862_),
-    .S(_03856_),
+    .X(_03842_));
+ sky130_fd_sc_hd__mux2_1 _17163_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[63][7] ),
+    .A1(_03842_),
+    .S(_03836_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03863_));
- sky130_fd_sc_hd__clkbuf_1 _17205_ (.A(_03863_),
+    .X(_03843_));
+ sky130_fd_sc_hd__clkbuf_1 _17164_ (.A(_03843_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01537_));
- sky130_fd_sc_hd__and2_1 _17206_ (.A(_06297_),
-    .B(_06685_),
+ sky130_fd_sc_hd__and2b_1 _17165_ (.A_N(_06314_),
+    .B(_06695_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03864_));
- sky130_fd_sc_hd__buf_2 _17207_ (.A(_03864_),
+    .X(_03844_));
+ sky130_fd_sc_hd__clkbuf_4 _17166_ (.A(_03844_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03865_));
- sky130_fd_sc_hd__mux2_1 _17208_ (.A0(\u_uart_core.u_rxfifo.mem[4][0] ),
-    .A1(_06295_),
-    .S(_03865_),
+    .X(_03845_));
+ sky130_fd_sc_hd__mux2_1 _17167_ (.A0(\u_uart_core.u_rxfifo.mem[4][0] ),
+    .A1(_06280_),
+    .S(_03845_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03866_));
- sky130_fd_sc_hd__clkbuf_1 _17209_ (.A(_03866_),
+    .X(_03846_));
+ sky130_fd_sc_hd__clkbuf_1 _17168_ (.A(_03846_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01538_));
- sky130_fd_sc_hd__mux2_1 _17210_ (.A0(\u_uart_core.u_rxfifo.mem[4][1] ),
-    .A1(_06301_),
-    .S(_03865_),
+ sky130_fd_sc_hd__mux2_1 _17169_ (.A0(\u_uart_core.u_rxfifo.mem[4][1] ),
+    .A1(_06286_),
+    .S(_03845_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03867_));
- sky130_fd_sc_hd__clkbuf_1 _17211_ (.A(_03867_),
+    .X(_03847_));
+ sky130_fd_sc_hd__clkbuf_1 _17170_ (.A(_03847_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01539_));
- sky130_fd_sc_hd__mux2_1 _17212_ (.A0(\u_uart_core.u_rxfifo.mem[4][2] ),
-    .A1(_06303_),
-    .S(_03865_),
+ sky130_fd_sc_hd__mux2_1 _17171_ (.A0(\u_uart_core.u_rxfifo.mem[4][2] ),
+    .A1(_06288_),
+    .S(_03845_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03868_));
- sky130_fd_sc_hd__clkbuf_1 _17213_ (.A(_03868_),
+    .X(_03848_));
+ sky130_fd_sc_hd__clkbuf_1 _17172_ (.A(_03848_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01540_));
- sky130_fd_sc_hd__mux2_1 _17214_ (.A0(\u_uart_core.u_rxfifo.mem[4][3] ),
-    .A1(_06257_),
-    .S(_03865_),
+ sky130_fd_sc_hd__mux2_1 _17173_ (.A0(\u_uart_core.u_rxfifo.mem[4][3] ),
+    .A1(_06269_),
+    .S(_03845_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03869_));
- sky130_fd_sc_hd__clkbuf_1 _17215_ (.A(_03869_),
+    .X(_03849_));
+ sky130_fd_sc_hd__clkbuf_1 _17174_ (.A(_03849_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01541_));
- sky130_fd_sc_hd__clkbuf_2 _17216_ (.A(_03864_),
+ sky130_fd_sc_hd__clkbuf_2 _17175_ (.A(_03844_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03870_));
- sky130_fd_sc_hd__mux2_1 _17217_ (.A0(\u_uart_core.u_rxfifo.mem[4][4] ),
-    .A1(_06306_),
-    .S(_03870_),
+    .X(_03850_));
+ sky130_fd_sc_hd__mux2_1 _17176_ (.A0(\u_uart_core.u_rxfifo.mem[4][4] ),
+    .A1(_06291_),
+    .S(_03850_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03871_));
- sky130_fd_sc_hd__clkbuf_1 _17218_ (.A(_03871_),
+    .X(_03851_));
+ sky130_fd_sc_hd__clkbuf_1 _17177_ (.A(_03851_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01542_));
- sky130_fd_sc_hd__mux2_1 _17219_ (.A0(\u_uart_core.u_rxfifo.mem[4][5] ),
-    .A1(_06309_),
-    .S(_03870_),
+ sky130_fd_sc_hd__mux2_1 _17178_ (.A0(\u_uart_core.u_rxfifo.mem[4][5] ),
+    .A1(_06294_),
+    .S(_03850_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03872_));
- sky130_fd_sc_hd__clkbuf_1 _17220_ (.A(_03872_),
+    .X(_03852_));
+ sky130_fd_sc_hd__clkbuf_1 _17179_ (.A(_03852_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01543_));
- sky130_fd_sc_hd__mux2_1 _17221_ (.A0(\u_uart_core.u_rxfifo.mem[4][6] ),
-    .A1(_06311_),
-    .S(_03870_),
+ sky130_fd_sc_hd__mux2_1 _17180_ (.A0(\u_uart_core.u_rxfifo.mem[4][6] ),
+    .A1(_06296_),
+    .S(_03850_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03873_));
- sky130_fd_sc_hd__clkbuf_1 _17222_ (.A(_03873_),
+    .X(_03853_));
+ sky130_fd_sc_hd__clkbuf_1 _17181_ (.A(_03853_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01544_));
- sky130_fd_sc_hd__mux2_1 _17223_ (.A0(\u_uart_core.u_rxfifo.mem[4][7] ),
-    .A1(_06266_),
-    .S(_03870_),
+ sky130_fd_sc_hd__mux2_1 _17182_ (.A0(\u_uart_core.u_rxfifo.mem[4][7] ),
+    .A1(_06278_),
+    .S(_03850_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03874_));
- sky130_fd_sc_hd__clkbuf_1 _17224_ (.A(_03874_),
+    .X(_03854_));
+ sky130_fd_sc_hd__clkbuf_1 _17183_ (.A(_03854_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01545_));
- sky130_fd_sc_hd__clkbuf_2 _17225_ (.A(\u_usb_host.u_core.fifo_rx_data_w[0] ),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _17184_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03875_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _17226_ (.A(_03875_),
+    .X(_03855_));
+ sky130_fd_sc_hd__clkbuf_1 _17185_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03876_));
- sky130_fd_sc_hd__clkbuf_1 _17227_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[0] ),
+    .X(_03856_));
+ sky130_fd_sc_hd__or2b_1 _17186_ (.A(_03855_),
+    .B_N(_03856_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03877_));
- sky130_fd_sc_hd__clkbuf_1 _17228_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[1] ),
+    .X(_03857_));
+ sky130_fd_sc_hd__or2_2 _17187_ (.A(_03823_),
+    .B(_03857_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03878_));
- sky130_fd_sc_hd__or2b_1 _17229_ (.A(_03877_),
-    .B_N(_03878_),
+    .X(_03858_));
+ sky130_fd_sc_hd__nor2_1 _17188_ (.A(_03820_),
+    .B(_03858_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03879_));
- sky130_fd_sc_hd__or2_2 _17230_ (.A(_03843_),
-    .B(_03879_),
+    .Y(_03859_));
+ sky130_fd_sc_hd__buf_2 _17189_ (.A(_03859_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03880_));
- sky130_fd_sc_hd__nor2_1 _17231_ (.A(_03840_),
-    .B(_03880_),
+    .X(_03860_));
+ sky130_fd_sc_hd__mux2_1 _17190_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[62][0] ),
+    .A1(_03810_),
+    .S(_03860_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03881_));
- sky130_fd_sc_hd__clkbuf_2 _17232_ (.A(_03881_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03882_));
- sky130_fd_sc_hd__mux2_1 _17233_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[62][0] ),
-    .A1(_03876_),
-    .S(_03882_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03883_));
- sky130_fd_sc_hd__clkbuf_1 _17234_ (.A(_03883_),
+    .X(_03861_));
+ sky130_fd_sc_hd__clkbuf_1 _17191_ (.A(_03861_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01546_));
- sky130_fd_sc_hd__clkbuf_4 _17235_ (.A(\u_usb_host.u_core.fifo_rx_data_w[1] ),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _17192_ (.A(\u_usb_host.u_core.fifo_rx_data_w[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03884_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _17236_ (.A(_03884_),
+    .X(_03862_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _17193_ (.A(_03862_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03885_));
- sky130_fd_sc_hd__mux2_1 _17237_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[62][1] ),
-    .A1(_03885_),
-    .S(_03882_),
+    .X(_03863_));
+ sky130_fd_sc_hd__mux2_1 _17194_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[62][1] ),
+    .A1(_03863_),
+    .S(_03860_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03886_));
- sky130_fd_sc_hd__clkbuf_1 _17238_ (.A(_03886_),
+    .X(_03864_));
+ sky130_fd_sc_hd__clkbuf_1 _17195_ (.A(_03864_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01547_));
- sky130_fd_sc_hd__clkbuf_4 _17239_ (.A(\u_usb_host.u_core.fifo_rx_data_w[2] ),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _17196_ (.A(\u_usb_host.u_core.fifo_rx_data_w[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03887_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _17240_ (.A(_03887_),
+    .X(_03865_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _17197_ (.A(_03865_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03888_));
- sky130_fd_sc_hd__mux2_1 _17241_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[62][2] ),
-    .A1(_03888_),
-    .S(_03882_),
+    .X(_03866_));
+ sky130_fd_sc_hd__mux2_1 _17198_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[62][2] ),
+    .A1(_03866_),
+    .S(_03860_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03889_));
- sky130_fd_sc_hd__clkbuf_1 _17242_ (.A(_03889_),
+    .X(_03867_));
+ sky130_fd_sc_hd__clkbuf_1 _17199_ (.A(_03867_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01548_));
- sky130_fd_sc_hd__clkbuf_2 _17243_ (.A(\u_usb_host.u_core.fifo_rx_data_w[3] ),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _17200_ (.A(\u_usb_host.u_core.fifo_rx_data_w[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03890_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _17244_ (.A(_03890_),
+    .X(_03868_));
+ sky130_fd_sc_hd__clkbuf_1 _17201_ (.A(_03868_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03891_));
- sky130_fd_sc_hd__mux2_1 _17245_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[62][3] ),
-    .A1(_03891_),
-    .S(_03882_),
+    .X(_03869_));
+ sky130_fd_sc_hd__mux2_1 _17202_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[62][3] ),
+    .A1(_03869_),
+    .S(_03860_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03892_));
- sky130_fd_sc_hd__clkbuf_1 _17246_ (.A(_03892_),
+    .X(_03870_));
+ sky130_fd_sc_hd__clkbuf_1 _17203_ (.A(_03870_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01549_));
- sky130_fd_sc_hd__clkbuf_2 _17247_ (.A(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _17204_ (.A(\u_usb_host.u_core.fifo_rx_data_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03893_));
- sky130_fd_sc_hd__clkbuf_1 _17248_ (.A(_03893_),
+    .X(_03871_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _17205_ (.A(_03871_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03894_));
- sky130_fd_sc_hd__buf_2 _17249_ (.A(_03881_),
+    .X(_03872_));
+ sky130_fd_sc_hd__buf_2 _17206_ (.A(_03859_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03895_));
- sky130_fd_sc_hd__mux2_1 _17250_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[62][4] ),
-    .A1(_03894_),
-    .S(_03895_),
+    .X(_03873_));
+ sky130_fd_sc_hd__mux2_1 _17207_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[62][4] ),
+    .A1(_03872_),
+    .S(_03873_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03896_));
- sky130_fd_sc_hd__clkbuf_1 _17251_ (.A(_03896_),
+    .X(_03874_));
+ sky130_fd_sc_hd__clkbuf_1 _17208_ (.A(_03874_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01550_));
- sky130_fd_sc_hd__clkbuf_4 _17252_ (.A(\u_usb_host.u_core.fifo_rx_data_w[5] ),
+ sky130_fd_sc_hd__clkbuf_1 _17209_ (.A(\u_usb_host.u_core.fifo_rx_data_w[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03897_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _17253_ (.A(_03897_),
+    .X(_03875_));
+ sky130_fd_sc_hd__buf_2 _17210_ (.A(_03875_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03898_));
- sky130_fd_sc_hd__mux2_1 _17254_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[62][5] ),
-    .A1(_03898_),
-    .S(_03895_),
+    .X(_03876_));
+ sky130_fd_sc_hd__mux2_1 _17211_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[62][5] ),
+    .A1(_03876_),
+    .S(_03873_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03899_));
- sky130_fd_sc_hd__clkbuf_1 _17255_ (.A(_03899_),
+    .X(_03877_));
+ sky130_fd_sc_hd__clkbuf_1 _17212_ (.A(_03877_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01551_));
- sky130_fd_sc_hd__clkbuf_4 _17256_ (.A(\u_usb_host.u_core.fifo_rx_data_w[6] ),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _17213_ (.A(\u_usb_host.u_core.fifo_rx_data_w[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03900_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _17257_ (.A(_03900_),
+    .X(_03878_));
+ sky130_fd_sc_hd__clkbuf_2 _17214_ (.A(_03878_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03901_));
- sky130_fd_sc_hd__mux2_1 _17258_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[62][6] ),
-    .A1(_03901_),
-    .S(_03895_),
+    .X(_03879_));
+ sky130_fd_sc_hd__mux2_1 _17215_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[62][6] ),
+    .A1(_03879_),
+    .S(_03873_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03902_));
- sky130_fd_sc_hd__clkbuf_1 _17259_ (.A(_03902_),
+    .X(_03880_));
+ sky130_fd_sc_hd__clkbuf_1 _17216_ (.A(_03880_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01552_));
- sky130_fd_sc_hd__clkbuf_2 _17260_ (.A(_02683_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _17217_ (.A(_02665_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03903_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _17261_ (.A(_03903_),
+    .X(_03881_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _17218_ (.A(_03881_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03904_));
- sky130_fd_sc_hd__mux2_1 _17262_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[62][7] ),
-    .A1(_03904_),
-    .S(_03895_),
+    .X(_03882_));
+ sky130_fd_sc_hd__mux2_1 _17219_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[62][7] ),
+    .A1(_03882_),
+    .S(_03873_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03905_));
- sky130_fd_sc_hd__clkbuf_1 _17263_ (.A(_03905_),
+    .X(_03883_));
+ sky130_fd_sc_hd__clkbuf_1 _17220_ (.A(_03883_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01553_));
- sky130_fd_sc_hd__or2b_1 _17264_ (.A(_03878_),
-    .B_N(_03877_),
+ sky130_fd_sc_hd__or2b_1 _17221_ (.A(_03856_),
+    .B_N(_03855_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03906_));
- sky130_fd_sc_hd__or2_2 _17265_ (.A(_03843_),
-    .B(_03906_),
+    .X(_03884_));
+ sky130_fd_sc_hd__or2_2 _17222_ (.A(_03823_),
+    .B(_03884_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03907_));
- sky130_fd_sc_hd__nor2_1 _17266_ (.A(_03840_),
-    .B(_03907_),
+    .X(_03885_));
+ sky130_fd_sc_hd__nor2_1 _17223_ (.A(_03820_),
+    .B(_03885_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03908_));
- sky130_fd_sc_hd__clkbuf_4 _17267_ (.A(_03908_),
+    .Y(_03886_));
+ sky130_fd_sc_hd__buf_2 _17224_ (.A(_03886_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03909_));
- sky130_fd_sc_hd__mux2_1 _17268_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[61][0] ),
-    .A1(_03876_),
-    .S(_03909_),
+    .X(_03887_));
+ sky130_fd_sc_hd__mux2_1 _17225_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[61][0] ),
+    .A1(_03810_),
+    .S(_03887_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03910_));
- sky130_fd_sc_hd__clkbuf_1 _17269_ (.A(_03910_),
+    .X(_03888_));
+ sky130_fd_sc_hd__clkbuf_1 _17226_ (.A(_03888_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01554_));
- sky130_fd_sc_hd__mux2_1 _17270_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[61][1] ),
-    .A1(_03885_),
-    .S(_03909_),
+ sky130_fd_sc_hd__mux2_1 _17227_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[61][1] ),
+    .A1(_03863_),
+    .S(_03887_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03911_));
- sky130_fd_sc_hd__clkbuf_1 _17271_ (.A(_03911_),
+    .X(_03889_));
+ sky130_fd_sc_hd__clkbuf_1 _17228_ (.A(_03889_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01555_));
- sky130_fd_sc_hd__mux2_1 _17272_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[61][2] ),
-    .A1(_03888_),
-    .S(_03909_),
+ sky130_fd_sc_hd__mux2_1 _17229_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[61][2] ),
+    .A1(_03866_),
+    .S(_03887_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03912_));
- sky130_fd_sc_hd__clkbuf_1 _17273_ (.A(_03912_),
+    .X(_03890_));
+ sky130_fd_sc_hd__clkbuf_1 _17230_ (.A(_03890_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01556_));
- sky130_fd_sc_hd__mux2_1 _17274_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[61][3] ),
-    .A1(_03891_),
-    .S(_03909_),
+ sky130_fd_sc_hd__mux2_1 _17231_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[61][3] ),
+    .A1(_03869_),
+    .S(_03887_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03913_));
- sky130_fd_sc_hd__clkbuf_1 _17275_ (.A(_03913_),
+    .X(_03891_));
+ sky130_fd_sc_hd__clkbuf_1 _17232_ (.A(_03891_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01557_));
- sky130_fd_sc_hd__buf_2 _17276_ (.A(_03908_),
+ sky130_fd_sc_hd__buf_2 _17233_ (.A(_03886_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03914_));
- sky130_fd_sc_hd__mux2_1 _17277_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[61][4] ),
-    .A1(_03894_),
-    .S(_03914_),
+    .X(_03892_));
+ sky130_fd_sc_hd__mux2_1 _17234_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[61][4] ),
+    .A1(_03872_),
+    .S(_03892_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03915_));
- sky130_fd_sc_hd__clkbuf_1 _17278_ (.A(_03915_),
+    .X(_03893_));
+ sky130_fd_sc_hd__clkbuf_1 _17235_ (.A(_03893_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01558_));
- sky130_fd_sc_hd__mux2_1 _17279_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[61][5] ),
-    .A1(_03898_),
-    .S(_03914_),
+ sky130_fd_sc_hd__mux2_1 _17236_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[61][5] ),
+    .A1(_03876_),
+    .S(_03892_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03916_));
- sky130_fd_sc_hd__clkbuf_1 _17280_ (.A(_03916_),
+    .X(_03894_));
+ sky130_fd_sc_hd__clkbuf_1 _17237_ (.A(_03894_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01559_));
- sky130_fd_sc_hd__mux2_1 _17281_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[61][6] ),
-    .A1(_03901_),
-    .S(_03914_),
+ sky130_fd_sc_hd__mux2_1 _17238_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[61][6] ),
+    .A1(_03879_),
+    .S(_03892_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03917_));
- sky130_fd_sc_hd__clkbuf_1 _17282_ (.A(_03917_),
+    .X(_03895_));
+ sky130_fd_sc_hd__clkbuf_1 _17239_ (.A(_03895_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01560_));
- sky130_fd_sc_hd__mux2_1 _17283_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[61][7] ),
-    .A1(_03904_),
-    .S(_03914_),
+ sky130_fd_sc_hd__mux2_1 _17240_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[61][7] ),
+    .A1(_03882_),
+    .S(_03892_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03918_));
- sky130_fd_sc_hd__clkbuf_1 _17284_ (.A(_03918_),
+    .X(_03896_));
+ sky130_fd_sc_hd__clkbuf_1 _17241_ (.A(_03896_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01561_));
- sky130_fd_sc_hd__or2_1 _17285_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[1] ),
+ sky130_fd_sc_hd__or2_1 _17242_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[1] ),
     .B(\u_usb_host.u_core.u_fifo_rx.wr_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03919_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _17286_ (.A(_03919_),
+    .X(_03897_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _17243_ (.A(_03897_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03920_));
- sky130_fd_sc_hd__or2_1 _17287_ (.A(_03843_),
-    .B(_03920_),
+    .X(_03898_));
+ sky130_fd_sc_hd__or2_1 _17244_ (.A(_03823_),
+    .B(_03898_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03921_));
- sky130_fd_sc_hd__nor2_1 _17288_ (.A(_03840_),
-    .B(_03921_),
+    .X(_03899_));
+ sky130_fd_sc_hd__nor2_1 _17245_ (.A(_03820_),
+    .B(_03899_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03922_));
- sky130_fd_sc_hd__buf_2 _17289_ (.A(_03922_),
+    .Y(_03900_));
+ sky130_fd_sc_hd__buf_2 _17246_ (.A(_03900_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03923_));
- sky130_fd_sc_hd__mux2_1 _17290_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[60][0] ),
-    .A1(_03876_),
-    .S(_03923_),
+    .X(_03901_));
+ sky130_fd_sc_hd__mux2_1 _17247_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[60][0] ),
+    .A1(_03810_),
+    .S(_03901_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03924_));
- sky130_fd_sc_hd__clkbuf_1 _17291_ (.A(_03924_),
+    .X(_03902_));
+ sky130_fd_sc_hd__clkbuf_1 _17248_ (.A(_03902_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01562_));
- sky130_fd_sc_hd__mux2_1 _17292_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[60][1] ),
-    .A1(_03885_),
-    .S(_03923_),
+ sky130_fd_sc_hd__mux2_1 _17249_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[60][1] ),
+    .A1(_03863_),
+    .S(_03901_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03925_));
- sky130_fd_sc_hd__clkbuf_1 _17293_ (.A(_03925_),
+    .X(_03903_));
+ sky130_fd_sc_hd__clkbuf_1 _17250_ (.A(_03903_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01563_));
- sky130_fd_sc_hd__mux2_1 _17294_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[60][2] ),
-    .A1(_03888_),
-    .S(_03923_),
+ sky130_fd_sc_hd__mux2_1 _17251_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[60][2] ),
+    .A1(_03866_),
+    .S(_03901_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03926_));
- sky130_fd_sc_hd__clkbuf_1 _17295_ (.A(_03926_),
+    .X(_03904_));
+ sky130_fd_sc_hd__clkbuf_1 _17252_ (.A(_03904_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01564_));
- sky130_fd_sc_hd__mux2_1 _17296_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[60][3] ),
-    .A1(_03891_),
-    .S(_03923_),
+ sky130_fd_sc_hd__mux2_1 _17253_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[60][3] ),
+    .A1(_03869_),
+    .S(_03901_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03927_));
- sky130_fd_sc_hd__clkbuf_1 _17297_ (.A(_03927_),
+    .X(_03905_));
+ sky130_fd_sc_hd__clkbuf_1 _17254_ (.A(_03905_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01565_));
- sky130_fd_sc_hd__buf_2 _17298_ (.A(_03922_),
+ sky130_fd_sc_hd__buf_2 _17255_ (.A(_03900_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03928_));
- sky130_fd_sc_hd__mux2_1 _17299_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[60][4] ),
-    .A1(_03894_),
-    .S(_03928_),
+    .X(_03906_));
+ sky130_fd_sc_hd__mux2_1 _17256_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[60][4] ),
+    .A1(_03872_),
+    .S(_03906_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03929_));
- sky130_fd_sc_hd__clkbuf_1 _17300_ (.A(_03929_),
+    .X(_03907_));
+ sky130_fd_sc_hd__clkbuf_1 _17257_ (.A(_03907_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01566_));
- sky130_fd_sc_hd__mux2_1 _17301_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[60][5] ),
-    .A1(_03898_),
-    .S(_03928_),
+ sky130_fd_sc_hd__mux2_1 _17258_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[60][5] ),
+    .A1(_03876_),
+    .S(_03906_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03930_));
- sky130_fd_sc_hd__clkbuf_1 _17302_ (.A(_03930_),
+    .X(_03908_));
+ sky130_fd_sc_hd__clkbuf_1 _17259_ (.A(_03908_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01567_));
- sky130_fd_sc_hd__mux2_1 _17303_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[60][6] ),
-    .A1(_03901_),
-    .S(_03928_),
+ sky130_fd_sc_hd__mux2_1 _17260_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[60][6] ),
+    .A1(_03879_),
+    .S(_03906_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03931_));
- sky130_fd_sc_hd__clkbuf_1 _17304_ (.A(_03931_),
+    .X(_03909_));
+ sky130_fd_sc_hd__clkbuf_1 _17261_ (.A(_03909_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01568_));
- sky130_fd_sc_hd__mux2_1 _17305_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[60][7] ),
-    .A1(_03904_),
-    .S(_03928_),
+ sky130_fd_sc_hd__mux2_1 _17262_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[60][7] ),
+    .A1(_03882_),
+    .S(_03906_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03932_));
- sky130_fd_sc_hd__clkbuf_1 _17306_ (.A(_03932_),
+    .X(_03910_));
+ sky130_fd_sc_hd__clkbuf_1 _17263_ (.A(_03910_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01569_));
- sky130_fd_sc_hd__clkbuf_1 _17307_ (.A(_03839_),
+ sky130_fd_sc_hd__clkbuf_2 _17264_ (.A(_03809_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03933_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _17308_ (.A(_03841_),
+    .X(_03911_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _17265_ (.A(_03819_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03934_));
- sky130_fd_sc_hd__or2b_1 _17309_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[2] ),
+    .X(_03912_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _17266_ (.A(_03821_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03913_));
+ sky130_fd_sc_hd__or2b_1 _17267_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[2] ),
     .B_N(\u_usb_host.u_core.u_fifo_rx.wr_ptr[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .X(_03914_));
+ sky130_fd_sc_hd__or2_2 _17268_ (.A(_03913_),
+    .B(_03914_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03915_));
+ sky130_fd_sc_hd__nor2_1 _17269_ (.A(_03912_),
+    .B(_03915_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_03916_));
+ sky130_fd_sc_hd__buf_2 _17270_ (.A(_03916_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03917_));
+ sky130_fd_sc_hd__mux2_1 _17271_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[59][0] ),
+    .A1(_03911_),
+    .S(_03917_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03918_));
+ sky130_fd_sc_hd__clkbuf_1 _17272_ (.A(_03918_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01570_));
+ sky130_fd_sc_hd__mux2_1 _17273_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[59][1] ),
+    .A1(_03863_),
+    .S(_03917_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03919_));
+ sky130_fd_sc_hd__clkbuf_1 _17274_ (.A(_03919_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01571_));
+ sky130_fd_sc_hd__mux2_1 _17275_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[59][2] ),
+    .A1(_03866_),
+    .S(_03917_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03920_));
+ sky130_fd_sc_hd__clkbuf_1 _17276_ (.A(_03920_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01572_));
+ sky130_fd_sc_hd__mux2_1 _17277_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[59][3] ),
+    .A1(_03869_),
+    .S(_03917_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03921_));
+ sky130_fd_sc_hd__clkbuf_1 _17278_ (.A(_03921_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01573_));
+ sky130_fd_sc_hd__buf_2 _17279_ (.A(_03916_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03922_));
+ sky130_fd_sc_hd__mux2_1 _17280_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[59][4] ),
+    .A1(_03872_),
+    .S(_03922_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03923_));
+ sky130_fd_sc_hd__clkbuf_1 _17281_ (.A(_03923_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01574_));
+ sky130_fd_sc_hd__mux2_1 _17282_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[59][5] ),
+    .A1(_03876_),
+    .S(_03922_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03924_));
+ sky130_fd_sc_hd__clkbuf_1 _17283_ (.A(_03924_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01575_));
+ sky130_fd_sc_hd__mux2_1 _17284_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[59][6] ),
+    .A1(_03879_),
+    .S(_03922_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03925_));
+ sky130_fd_sc_hd__clkbuf_1 _17285_ (.A(_03925_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01576_));
+ sky130_fd_sc_hd__mux2_1 _17286_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[59][7] ),
+    .A1(_03882_),
+    .S(_03922_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03926_));
+ sky130_fd_sc_hd__clkbuf_1 _17287_ (.A(_03926_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01577_));
+ sky130_fd_sc_hd__or2_2 _17288_ (.A(_03857_),
+    .B(_03914_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03927_));
+ sky130_fd_sc_hd__nor2_1 _17289_ (.A(_03912_),
+    .B(_03927_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_03928_));
+ sky130_fd_sc_hd__clkbuf_4 _17290_ (.A(_03928_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03929_));
+ sky130_fd_sc_hd__mux2_1 _17291_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[58][0] ),
+    .A1(_03911_),
+    .S(_03929_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03930_));
+ sky130_fd_sc_hd__clkbuf_1 _17292_ (.A(_03930_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01578_));
+ sky130_fd_sc_hd__clkbuf_2 _17293_ (.A(_03862_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03931_));
+ sky130_fd_sc_hd__mux2_1 _17294_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[58][1] ),
+    .A1(_03931_),
+    .S(_03929_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03932_));
+ sky130_fd_sc_hd__clkbuf_1 _17295_ (.A(_03932_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01579_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _17296_ (.A(_03865_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03933_));
+ sky130_fd_sc_hd__mux2_1 _17297_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[58][2] ),
+    .A1(_03933_),
+    .S(_03929_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03934_));
+ sky130_fd_sc_hd__clkbuf_1 _17298_ (.A(_03934_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01580_));
+ sky130_fd_sc_hd__clkbuf_2 _17299_ (.A(_03868_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .X(_03935_));
- sky130_fd_sc_hd__or2_2 _17310_ (.A(_03934_),
-    .B(_03935_),
+ sky130_fd_sc_hd__mux2_1 _17300_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[58][3] ),
+    .A1(_03935_),
+    .S(_03929_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_03936_));
- sky130_fd_sc_hd__nor2_1 _17311_ (.A(_03933_),
-    .B(_03936_),
+ sky130_fd_sc_hd__clkbuf_1 _17301_ (.A(_03936_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03937_));
- sky130_fd_sc_hd__clkbuf_4 _17312_ (.A(_03937_),
+    .X(_01581_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _17302_ (.A(_03871_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03937_));
+ sky130_fd_sc_hd__buf_2 _17303_ (.A(_03928_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_03938_));
- sky130_fd_sc_hd__mux2_1 _17313_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[59][0] ),
-    .A1(_03876_),
+ sky130_fd_sc_hd__mux2_1 _17304_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[58][4] ),
+    .A1(_03937_),
     .S(_03938_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_03939_));
- sky130_fd_sc_hd__clkbuf_1 _17314_ (.A(_03939_),
+ sky130_fd_sc_hd__clkbuf_1 _17305_ (.A(_03939_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_01570_));
- sky130_fd_sc_hd__mux2_1 _17315_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[59][1] ),
-    .A1(_03885_),
-    .S(_03938_),
+    .X(_01582_));
+ sky130_fd_sc_hd__clkbuf_2 _17306_ (.A(_03875_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_03940_));
- sky130_fd_sc_hd__clkbuf_1 _17316_ (.A(_03940_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01571_));
- sky130_fd_sc_hd__mux2_1 _17317_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[59][2] ),
-    .A1(_03888_),
+ sky130_fd_sc_hd__mux2_1 _17307_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[58][5] ),
+    .A1(_03940_),
     .S(_03938_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_03941_));
- sky130_fd_sc_hd__clkbuf_1 _17318_ (.A(_03941_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01572_));
- sky130_fd_sc_hd__mux2_1 _17319_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[59][3] ),
-    .A1(_03891_),
-    .S(_03938_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03942_));
- sky130_fd_sc_hd__clkbuf_1 _17320_ (.A(_03942_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01573_));
- sky130_fd_sc_hd__buf_2 _17321_ (.A(_03937_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03943_));
- sky130_fd_sc_hd__mux2_1 _17322_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[59][4] ),
-    .A1(_03894_),
-    .S(_03943_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03944_));
- sky130_fd_sc_hd__clkbuf_1 _17323_ (.A(_03944_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01574_));
- sky130_fd_sc_hd__mux2_1 _17324_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[59][5] ),
-    .A1(_03898_),
-    .S(_03943_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03945_));
- sky130_fd_sc_hd__clkbuf_1 _17325_ (.A(_03945_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01575_));
- sky130_fd_sc_hd__mux2_1 _17326_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[59][6] ),
-    .A1(_03901_),
-    .S(_03943_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03946_));
- sky130_fd_sc_hd__clkbuf_1 _17327_ (.A(_03946_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01576_));
- sky130_fd_sc_hd__mux2_1 _17328_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[59][7] ),
-    .A1(_03904_),
-    .S(_03943_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03947_));
- sky130_fd_sc_hd__clkbuf_1 _17329_ (.A(_03947_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01577_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _17330_ (.A(_03875_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03948_));
- sky130_fd_sc_hd__or2_2 _17331_ (.A(_03879_),
-    .B(_03935_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03949_));
- sky130_fd_sc_hd__nor2_1 _17332_ (.A(_03933_),
-    .B(_03949_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_03950_));
- sky130_fd_sc_hd__buf_2 _17333_ (.A(_03950_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03951_));
- sky130_fd_sc_hd__mux2_1 _17334_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[58][0] ),
-    .A1(_03948_),
-    .S(_03951_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03952_));
- sky130_fd_sc_hd__clkbuf_1 _17335_ (.A(_03952_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01578_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _17336_ (.A(_03884_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03953_));
- sky130_fd_sc_hd__mux2_1 _17337_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[58][1] ),
-    .A1(_03953_),
-    .S(_03951_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03954_));
- sky130_fd_sc_hd__clkbuf_1 _17338_ (.A(_03954_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01579_));
- sky130_fd_sc_hd__clkbuf_1 _17339_ (.A(_03887_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03955_));
- sky130_fd_sc_hd__mux2_1 _17340_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[58][2] ),
-    .A1(_03955_),
-    .S(_03951_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03956_));
- sky130_fd_sc_hd__clkbuf_1 _17341_ (.A(_03956_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01580_));
- sky130_fd_sc_hd__clkbuf_1 _17342_ (.A(_03890_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03957_));
- sky130_fd_sc_hd__mux2_1 _17343_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[58][3] ),
-    .A1(_03957_),
-    .S(_03951_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03958_));
- sky130_fd_sc_hd__clkbuf_1 _17344_ (.A(_03958_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01581_));
- sky130_fd_sc_hd__clkbuf_1 _17345_ (.A(_03893_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03959_));
- sky130_fd_sc_hd__clkbuf_4 _17346_ (.A(_03950_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03960_));
- sky130_fd_sc_hd__mux2_1 _17347_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[58][4] ),
-    .A1(_03959_),
-    .S(_03960_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03961_));
- sky130_fd_sc_hd__clkbuf_1 _17348_ (.A(_03961_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01582_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _17349_ (.A(_03897_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03962_));
- sky130_fd_sc_hd__mux2_1 _17350_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[58][5] ),
-    .A1(_03962_),
-    .S(_03960_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_03963_));
- sky130_fd_sc_hd__clkbuf_1 _17351_ (.A(_03963_),
+ sky130_fd_sc_hd__clkbuf_1 _17308_ (.A(_03941_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01583_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _17352_ (.A(_03900_),
+ sky130_fd_sc_hd__clkbuf_2 _17309_ (.A(_03878_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03964_));
- sky130_fd_sc_hd__mux2_1 _17353_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[58][6] ),
-    .A1(_03964_),
-    .S(_03960_),
+    .X(_03942_));
+ sky130_fd_sc_hd__mux2_1 _17310_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[58][6] ),
+    .A1(_03942_),
+    .S(_03938_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03965_));
- sky130_fd_sc_hd__clkbuf_1 _17354_ (.A(_03965_),
+    .X(_03943_));
+ sky130_fd_sc_hd__clkbuf_1 _17311_ (.A(_03943_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01584_));
- sky130_fd_sc_hd__buf_2 _17355_ (.A(_02683_),
+ sky130_fd_sc_hd__buf_2 _17312_ (.A(_02665_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03966_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _17356_ (.A(_03966_),
+    .X(_03944_));
+ sky130_fd_sc_hd__clkbuf_2 _17313_ (.A(_03944_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03967_));
- sky130_fd_sc_hd__mux2_1 _17357_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[58][7] ),
-    .A1(_03967_),
-    .S(_03960_),
+    .X(_03945_));
+ sky130_fd_sc_hd__mux2_1 _17314_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[58][7] ),
+    .A1(_03945_),
+    .S(_03938_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03968_));
- sky130_fd_sc_hd__clkbuf_1 _17358_ (.A(_03968_),
+    .X(_03946_));
+ sky130_fd_sc_hd__clkbuf_1 _17315_ (.A(_03946_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01585_));
- sky130_fd_sc_hd__or2_2 _17359_ (.A(_03906_),
-    .B(_03935_),
+ sky130_fd_sc_hd__or2_2 _17316_ (.A(_03884_),
+    .B(_03914_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03969_));
- sky130_fd_sc_hd__nor2_1 _17360_ (.A(_03933_),
-    .B(_03969_),
+    .X(_03947_));
+ sky130_fd_sc_hd__nor2_1 _17317_ (.A(_03912_),
+    .B(_03947_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03970_));
- sky130_fd_sc_hd__clkbuf_4 _17361_ (.A(_03970_),
+    .Y(_03948_));
+ sky130_fd_sc_hd__clkbuf_2 _17318_ (.A(_03948_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03971_));
- sky130_fd_sc_hd__mux2_1 _17362_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[57][0] ),
-    .A1(_03948_),
-    .S(_03971_),
+    .X(_03949_));
+ sky130_fd_sc_hd__mux2_1 _17319_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[57][0] ),
+    .A1(_03911_),
+    .S(_03949_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03972_));
- sky130_fd_sc_hd__clkbuf_1 _17363_ (.A(_03972_),
+    .X(_03950_));
+ sky130_fd_sc_hd__clkbuf_1 _17320_ (.A(_03950_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01586_));
- sky130_fd_sc_hd__mux2_1 _17364_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[57][1] ),
-    .A1(_03953_),
-    .S(_03971_),
+ sky130_fd_sc_hd__mux2_1 _17321_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[57][1] ),
+    .A1(_03931_),
+    .S(_03949_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03973_));
- sky130_fd_sc_hd__clkbuf_1 _17365_ (.A(_03973_),
+    .X(_03951_));
+ sky130_fd_sc_hd__clkbuf_1 _17322_ (.A(_03951_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01587_));
- sky130_fd_sc_hd__mux2_1 _17366_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[57][2] ),
-    .A1(_03955_),
-    .S(_03971_),
+ sky130_fd_sc_hd__mux2_1 _17323_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[57][2] ),
+    .A1(_03933_),
+    .S(_03949_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03974_));
- sky130_fd_sc_hd__clkbuf_1 _17367_ (.A(_03974_),
+    .X(_03952_));
+ sky130_fd_sc_hd__clkbuf_1 _17324_ (.A(_03952_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01588_));
- sky130_fd_sc_hd__mux2_1 _17368_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[57][3] ),
-    .A1(_03957_),
-    .S(_03971_),
+ sky130_fd_sc_hd__mux2_1 _17325_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[57][3] ),
+    .A1(_03935_),
+    .S(_03949_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03975_));
- sky130_fd_sc_hd__clkbuf_1 _17369_ (.A(_03975_),
+    .X(_03953_));
+ sky130_fd_sc_hd__clkbuf_1 _17326_ (.A(_03953_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01589_));
- sky130_fd_sc_hd__clkbuf_4 _17370_ (.A(_03970_),
+ sky130_fd_sc_hd__buf_2 _17327_ (.A(_03948_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03976_));
- sky130_fd_sc_hd__mux2_1 _17371_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[57][4] ),
-    .A1(_03959_),
-    .S(_03976_),
+    .X(_03954_));
+ sky130_fd_sc_hd__mux2_1 _17328_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[57][4] ),
+    .A1(_03937_),
+    .S(_03954_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03977_));
- sky130_fd_sc_hd__clkbuf_1 _17372_ (.A(_03977_),
+    .X(_03955_));
+ sky130_fd_sc_hd__clkbuf_1 _17329_ (.A(_03955_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01590_));
- sky130_fd_sc_hd__mux2_1 _17373_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[57][5] ),
-    .A1(_03962_),
-    .S(_03976_),
+ sky130_fd_sc_hd__mux2_1 _17330_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[57][5] ),
+    .A1(_03940_),
+    .S(_03954_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03978_));
- sky130_fd_sc_hd__clkbuf_1 _17374_ (.A(_03978_),
+    .X(_03956_));
+ sky130_fd_sc_hd__clkbuf_1 _17331_ (.A(_03956_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01591_));
- sky130_fd_sc_hd__mux2_1 _17375_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[57][6] ),
-    .A1(_03964_),
-    .S(_03976_),
+ sky130_fd_sc_hd__mux2_1 _17332_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[57][6] ),
+    .A1(_03942_),
+    .S(_03954_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03979_));
- sky130_fd_sc_hd__clkbuf_1 _17376_ (.A(_03979_),
+    .X(_03957_));
+ sky130_fd_sc_hd__clkbuf_1 _17333_ (.A(_03957_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01592_));
- sky130_fd_sc_hd__mux2_1 _17377_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[57][7] ),
-    .A1(_03967_),
-    .S(_03976_),
+ sky130_fd_sc_hd__mux2_1 _17334_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[57][7] ),
+    .A1(_03945_),
+    .S(_03954_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03980_));
- sky130_fd_sc_hd__clkbuf_1 _17378_ (.A(_03980_),
+    .X(_03958_));
+ sky130_fd_sc_hd__clkbuf_1 _17335_ (.A(_03958_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01593_));
- sky130_fd_sc_hd__or2_2 _17379_ (.A(_03920_),
-    .B(_03935_),
+ sky130_fd_sc_hd__or2_4 _17336_ (.A(_03898_),
+    .B(_03914_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03981_));
- sky130_fd_sc_hd__nor2_1 _17380_ (.A(_03933_),
-    .B(_03981_),
+    .X(_03959_));
+ sky130_fd_sc_hd__nor2_1 _17337_ (.A(_03912_),
+    .B(_03959_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03982_));
- sky130_fd_sc_hd__clkbuf_4 _17381_ (.A(_03982_),
+    .Y(_03960_));
+ sky130_fd_sc_hd__buf_2 _17338_ (.A(_03960_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03983_));
- sky130_fd_sc_hd__mux2_1 _17382_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[56][0] ),
-    .A1(_03948_),
-    .S(_03983_),
+    .X(_03961_));
+ sky130_fd_sc_hd__mux2_1 _17339_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[56][0] ),
+    .A1(_03911_),
+    .S(_03961_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03984_));
- sky130_fd_sc_hd__clkbuf_1 _17383_ (.A(_03984_),
+    .X(_03962_));
+ sky130_fd_sc_hd__clkbuf_1 _17340_ (.A(_03962_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01594_));
- sky130_fd_sc_hd__mux2_1 _17384_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[56][1] ),
-    .A1(_03953_),
-    .S(_03983_),
+ sky130_fd_sc_hd__mux2_1 _17341_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[56][1] ),
+    .A1(_03931_),
+    .S(_03961_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03985_));
- sky130_fd_sc_hd__clkbuf_1 _17385_ (.A(_03985_),
+    .X(_03963_));
+ sky130_fd_sc_hd__clkbuf_1 _17342_ (.A(_03963_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01595_));
- sky130_fd_sc_hd__mux2_1 _17386_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[56][2] ),
-    .A1(_03955_),
-    .S(_03983_),
+ sky130_fd_sc_hd__mux2_1 _17343_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[56][2] ),
+    .A1(_03933_),
+    .S(_03961_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03986_));
- sky130_fd_sc_hd__clkbuf_1 _17387_ (.A(_03986_),
+    .X(_03964_));
+ sky130_fd_sc_hd__clkbuf_1 _17344_ (.A(_03964_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01596_));
- sky130_fd_sc_hd__mux2_1 _17388_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[56][3] ),
-    .A1(_03957_),
-    .S(_03983_),
+ sky130_fd_sc_hd__mux2_1 _17345_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[56][3] ),
+    .A1(_03935_),
+    .S(_03961_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03987_));
- sky130_fd_sc_hd__clkbuf_1 _17389_ (.A(_03987_),
+    .X(_03965_));
+ sky130_fd_sc_hd__clkbuf_1 _17346_ (.A(_03965_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01597_));
- sky130_fd_sc_hd__clkbuf_4 _17390_ (.A(_03982_),
+ sky130_fd_sc_hd__clkbuf_4 _17347_ (.A(_03960_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03988_));
- sky130_fd_sc_hd__mux2_1 _17391_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[56][4] ),
-    .A1(_03959_),
-    .S(_03988_),
+    .X(_03966_));
+ sky130_fd_sc_hd__mux2_1 _17348_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[56][4] ),
+    .A1(_03937_),
+    .S(_03966_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03989_));
- sky130_fd_sc_hd__clkbuf_1 _17392_ (.A(_03989_),
+    .X(_03967_));
+ sky130_fd_sc_hd__clkbuf_1 _17349_ (.A(_03967_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01598_));
- sky130_fd_sc_hd__mux2_1 _17393_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[56][5] ),
-    .A1(_03962_),
-    .S(_03988_),
+ sky130_fd_sc_hd__mux2_1 _17350_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[56][5] ),
+    .A1(_03940_),
+    .S(_03966_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03990_));
- sky130_fd_sc_hd__clkbuf_1 _17394_ (.A(_03990_),
+    .X(_03968_));
+ sky130_fd_sc_hd__clkbuf_1 _17351_ (.A(_03968_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01599_));
- sky130_fd_sc_hd__mux2_1 _17395_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[56][6] ),
-    .A1(_03964_),
-    .S(_03988_),
+ sky130_fd_sc_hd__mux2_1 _17352_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[56][6] ),
+    .A1(_03942_),
+    .S(_03966_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03991_));
- sky130_fd_sc_hd__clkbuf_1 _17396_ (.A(_03991_),
+    .X(_03969_));
+ sky130_fd_sc_hd__clkbuf_1 _17353_ (.A(_03969_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01600_));
- sky130_fd_sc_hd__mux2_1 _17397_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[56][7] ),
-    .A1(_03967_),
-    .S(_03988_),
+ sky130_fd_sc_hd__mux2_1 _17354_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[56][7] ),
+    .A1(_03945_),
+    .S(_03966_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03992_));
- sky130_fd_sc_hd__clkbuf_1 _17398_ (.A(_03992_),
+    .X(_03970_));
+ sky130_fd_sc_hd__clkbuf_1 _17355_ (.A(_03970_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01601_));
- sky130_fd_sc_hd__clkbuf_1 _17399_ (.A(_03839_),
+ sky130_fd_sc_hd__clkbuf_2 _17356_ (.A(_03809_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03993_));
- sky130_fd_sc_hd__clkbuf_1 _17400_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[3] ),
+    .X(_03971_));
+ sky130_fd_sc_hd__clkbuf_1 _17357_ (.A(_03819_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03994_));
- sky130_fd_sc_hd__clkbuf_1 _17401_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[2] ),
+    .X(_03972_));
+ sky130_fd_sc_hd__clkbuf_1 _17358_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03995_));
- sky130_fd_sc_hd__or3b_4 _17402_ (.A(_03841_),
-    .B(_03994_),
-    .C_N(_03995_),
+    .X(_03973_));
+ sky130_fd_sc_hd__clkbuf_1 _17359_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03996_));
- sky130_fd_sc_hd__nor2_1 _17403_ (.A(_03993_),
-    .B(_03996_),
+    .X(_03974_));
+ sky130_fd_sc_hd__or3b_4 _17360_ (.A(_03821_),
+    .B(_03973_),
+    .C_N(_03974_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_03997_));
- sky130_fd_sc_hd__buf_2 _17404_ (.A(_03997_),
+    .X(_03975_));
+ sky130_fd_sc_hd__nor2_1 _17361_ (.A(_03972_),
+    .B(_03975_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03998_));
- sky130_fd_sc_hd__mux2_1 _17405_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[55][0] ),
-    .A1(_03948_),
-    .S(_03998_),
+    .Y(_03976_));
+ sky130_fd_sc_hd__buf_2 _17362_ (.A(_03976_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_03999_));
- sky130_fd_sc_hd__clkbuf_1 _17406_ (.A(_03999_),
+    .X(_03977_));
+ sky130_fd_sc_hd__mux2_1 _17363_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[55][0] ),
+    .A1(_03971_),
+    .S(_03977_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03978_));
+ sky130_fd_sc_hd__clkbuf_1 _17364_ (.A(_03978_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01602_));
- sky130_fd_sc_hd__mux2_1 _17407_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[55][1] ),
-    .A1(_03953_),
-    .S(_03998_),
+ sky130_fd_sc_hd__mux2_1 _17365_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[55][1] ),
+    .A1(_03931_),
+    .S(_03977_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04000_));
- sky130_fd_sc_hd__clkbuf_1 _17408_ (.A(_04000_),
+    .X(_03979_));
+ sky130_fd_sc_hd__clkbuf_1 _17366_ (.A(_03979_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01603_));
- sky130_fd_sc_hd__mux2_1 _17409_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[55][2] ),
-    .A1(_03955_),
-    .S(_03998_),
+ sky130_fd_sc_hd__mux2_1 _17367_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[55][2] ),
+    .A1(_03933_),
+    .S(_03977_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04001_));
- sky130_fd_sc_hd__clkbuf_1 _17410_ (.A(_04001_),
+    .X(_03980_));
+ sky130_fd_sc_hd__clkbuf_1 _17368_ (.A(_03980_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01604_));
- sky130_fd_sc_hd__mux2_1 _17411_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[55][3] ),
-    .A1(_03957_),
-    .S(_03998_),
+ sky130_fd_sc_hd__mux2_1 _17369_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[55][3] ),
+    .A1(_03935_),
+    .S(_03977_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04002_));
- sky130_fd_sc_hd__clkbuf_1 _17412_ (.A(_04002_),
+    .X(_03981_));
+ sky130_fd_sc_hd__clkbuf_1 _17370_ (.A(_03981_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01605_));
- sky130_fd_sc_hd__clkbuf_4 _17413_ (.A(_03997_),
+ sky130_fd_sc_hd__clkbuf_4 _17371_ (.A(_03976_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04003_));
- sky130_fd_sc_hd__mux2_1 _17414_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[55][4] ),
-    .A1(_03959_),
-    .S(_04003_),
+    .X(_03982_));
+ sky130_fd_sc_hd__mux2_1 _17372_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[55][4] ),
+    .A1(_03937_),
+    .S(_03982_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04004_));
- sky130_fd_sc_hd__clkbuf_1 _17415_ (.A(_04004_),
+    .X(_03983_));
+ sky130_fd_sc_hd__clkbuf_1 _17373_ (.A(_03983_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01606_));
- sky130_fd_sc_hd__mux2_1 _17416_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[55][5] ),
-    .A1(_03962_),
-    .S(_04003_),
+ sky130_fd_sc_hd__mux2_1 _17374_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[55][5] ),
+    .A1(_03940_),
+    .S(_03982_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04005_));
- sky130_fd_sc_hd__clkbuf_1 _17417_ (.A(_04005_),
+    .X(_03984_));
+ sky130_fd_sc_hd__clkbuf_1 _17375_ (.A(_03984_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01607_));
- sky130_fd_sc_hd__mux2_1 _17418_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[55][6] ),
-    .A1(_03964_),
-    .S(_04003_),
+ sky130_fd_sc_hd__mux2_1 _17376_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[55][6] ),
+    .A1(_03942_),
+    .S(_03982_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04006_));
- sky130_fd_sc_hd__clkbuf_1 _17419_ (.A(_04006_),
+    .X(_03985_));
+ sky130_fd_sc_hd__clkbuf_1 _17377_ (.A(_03985_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01608_));
- sky130_fd_sc_hd__mux2_1 _17420_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[55][7] ),
-    .A1(_03967_),
-    .S(_04003_),
+ sky130_fd_sc_hd__mux2_1 _17378_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[55][7] ),
+    .A1(_03945_),
+    .S(_03982_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04007_));
- sky130_fd_sc_hd__clkbuf_1 _17421_ (.A(_04007_),
+    .X(_03986_));
+ sky130_fd_sc_hd__clkbuf_1 _17379_ (.A(_03986_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01609_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _17422_ (.A(_03875_),
+ sky130_fd_sc_hd__clkbuf_1 _17380_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04008_));
- sky130_fd_sc_hd__clkbuf_1 _17423_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[2] ),
+    .X(_03987_));
+ sky130_fd_sc_hd__or3b_4 _17381_ (.A(_03857_),
+    .B(_03973_),
+    .C_N(_03987_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04009_));
- sky130_fd_sc_hd__or3b_4 _17424_ (.A(_03879_),
-    .B(_03994_),
-    .C_N(_04009_),
+    .X(_03988_));
+ sky130_fd_sc_hd__nor2_1 _17382_ (.A(_03972_),
+    .B(_03988_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04010_));
- sky130_fd_sc_hd__nor2_1 _17425_ (.A(_03993_),
-    .B(_04010_),
+    .Y(_03989_));
+ sky130_fd_sc_hd__buf_2 _17383_ (.A(_03989_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04011_));
- sky130_fd_sc_hd__clkbuf_4 _17426_ (.A(_04011_),
+    .X(_03990_));
+ sky130_fd_sc_hd__mux2_1 _17384_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[54][0] ),
+    .A1(_03971_),
+    .S(_03990_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04012_));
- sky130_fd_sc_hd__mux2_1 _17427_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[54][0] ),
-    .A1(_04008_),
-    .S(_04012_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04013_));
- sky130_fd_sc_hd__clkbuf_1 _17428_ (.A(_04013_),
+    .X(_03991_));
+ sky130_fd_sc_hd__clkbuf_1 _17385_ (.A(_03991_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01610_));
- sky130_fd_sc_hd__clkbuf_1 _17429_ (.A(_03884_),
+ sky130_fd_sc_hd__clkbuf_2 _17386_ (.A(_03862_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04014_));
- sky130_fd_sc_hd__mux2_1 _17430_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[54][1] ),
-    .A1(_04014_),
-    .S(_04012_),
+    .X(_03992_));
+ sky130_fd_sc_hd__mux2_1 _17387_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[54][1] ),
+    .A1(_03992_),
+    .S(_03990_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04015_));
- sky130_fd_sc_hd__clkbuf_1 _17431_ (.A(_04015_),
+    .X(_03993_));
+ sky130_fd_sc_hd__clkbuf_1 _17388_ (.A(_03993_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01611_));
- sky130_fd_sc_hd__clkbuf_1 _17432_ (.A(_03887_),
+ sky130_fd_sc_hd__buf_2 _17389_ (.A(_03865_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04016_));
- sky130_fd_sc_hd__mux2_1 _17433_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[54][2] ),
-    .A1(_04016_),
-    .S(_04012_),
+    .X(_03994_));
+ sky130_fd_sc_hd__mux2_1 _17390_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[54][2] ),
+    .A1(_03994_),
+    .S(_03990_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04017_));
- sky130_fd_sc_hd__clkbuf_1 _17434_ (.A(_04017_),
+    .X(_03995_));
+ sky130_fd_sc_hd__clkbuf_1 _17391_ (.A(_03995_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01612_));
- sky130_fd_sc_hd__clkbuf_1 _17435_ (.A(_03890_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _17392_ (.A(_03868_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04018_));
- sky130_fd_sc_hd__mux2_1 _17436_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[54][3] ),
-    .A1(_04018_),
-    .S(_04012_),
+    .X(_03996_));
+ sky130_fd_sc_hd__mux2_1 _17393_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[54][3] ),
+    .A1(_03996_),
+    .S(_03990_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04019_));
- sky130_fd_sc_hd__clkbuf_1 _17437_ (.A(_04019_),
+    .X(_03997_));
+ sky130_fd_sc_hd__clkbuf_1 _17394_ (.A(_03997_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01613_));
- sky130_fd_sc_hd__clkbuf_1 _17438_ (.A(_03893_),
+ sky130_fd_sc_hd__clkbuf_1 _17395_ (.A(_03871_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04020_));
- sky130_fd_sc_hd__clkbuf_2 _17439_ (.A(_04011_),
+    .X(_03998_));
+ sky130_fd_sc_hd__clkbuf_4 _17396_ (.A(_03989_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_03999_));
+ sky130_fd_sc_hd__mux2_1 _17397_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[54][4] ),
+    .A1(_03998_),
+    .S(_03999_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04000_));
+ sky130_fd_sc_hd__clkbuf_1 _17398_ (.A(_04000_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01614_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _17399_ (.A(_03875_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04001_));
+ sky130_fd_sc_hd__mux2_1 _17400_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[54][5] ),
+    .A1(_04001_),
+    .S(_03999_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04002_));
+ sky130_fd_sc_hd__clkbuf_1 _17401_ (.A(_04002_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01615_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _17402_ (.A(_03878_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04003_));
+ sky130_fd_sc_hd__mux2_1 _17403_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[54][6] ),
+    .A1(_04003_),
+    .S(_03999_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04004_));
+ sky130_fd_sc_hd__clkbuf_1 _17404_ (.A(_04004_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01616_));
+ sky130_fd_sc_hd__clkbuf_1 _17405_ (.A(_03944_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04005_));
+ sky130_fd_sc_hd__mux2_1 _17406_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[54][7] ),
+    .A1(_04005_),
+    .S(_03999_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04006_));
+ sky130_fd_sc_hd__clkbuf_1 _17407_ (.A(_04006_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01617_));
+ sky130_fd_sc_hd__or3b_4 _17408_ (.A(_03884_),
+    .B(_03822_),
+    .C_N(_03987_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04007_));
+ sky130_fd_sc_hd__nor2_1 _17409_ (.A(_03972_),
+    .B(_04007_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_04008_));
+ sky130_fd_sc_hd__buf_2 _17410_ (.A(_04008_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04009_));
+ sky130_fd_sc_hd__mux2_1 _17411_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[53][0] ),
+    .A1(_03971_),
+    .S(_04009_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04010_));
+ sky130_fd_sc_hd__clkbuf_1 _17412_ (.A(_04010_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01618_));
+ sky130_fd_sc_hd__mux2_1 _17413_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[53][1] ),
+    .A1(_03992_),
+    .S(_04009_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04011_));
+ sky130_fd_sc_hd__clkbuf_1 _17414_ (.A(_04011_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01619_));
+ sky130_fd_sc_hd__mux2_1 _17415_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[53][2] ),
+    .A1(_03994_),
+    .S(_04009_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04012_));
+ sky130_fd_sc_hd__clkbuf_1 _17416_ (.A(_04012_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01620_));
+ sky130_fd_sc_hd__mux2_1 _17417_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[53][3] ),
+    .A1(_03996_),
+    .S(_04009_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04013_));
+ sky130_fd_sc_hd__clkbuf_1 _17418_ (.A(_04013_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01621_));
+ sky130_fd_sc_hd__clkbuf_4 _17419_ (.A(_04008_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04014_));
+ sky130_fd_sc_hd__mux2_1 _17420_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[53][4] ),
+    .A1(_03998_),
+    .S(_04014_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04015_));
+ sky130_fd_sc_hd__clkbuf_1 _17421_ (.A(_04015_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01622_));
+ sky130_fd_sc_hd__mux2_1 _17422_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[53][5] ),
+    .A1(_04001_),
+    .S(_04014_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04016_));
+ sky130_fd_sc_hd__clkbuf_1 _17423_ (.A(_04016_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01623_));
+ sky130_fd_sc_hd__mux2_1 _17424_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[53][6] ),
+    .A1(_04003_),
+    .S(_04014_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04017_));
+ sky130_fd_sc_hd__clkbuf_1 _17425_ (.A(_04017_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01624_));
+ sky130_fd_sc_hd__mux2_1 _17426_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[53][7] ),
+    .A1(_04005_),
+    .S(_04014_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04018_));
+ sky130_fd_sc_hd__clkbuf_1 _17427_ (.A(_04018_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01625_));
+ sky130_fd_sc_hd__or3b_4 _17428_ (.A(_03897_),
+    .B(_03973_),
+    .C_N(_03974_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04019_));
+ sky130_fd_sc_hd__nor2_1 _17429_ (.A(_03972_),
+    .B(_04019_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_04020_));
+ sky130_fd_sc_hd__buf_2 _17430_ (.A(_04020_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_04021_));
- sky130_fd_sc_hd__mux2_1 _17440_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[54][4] ),
-    .A1(_04020_),
+ sky130_fd_sc_hd__mux2_1 _17431_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[52][0] ),
+    .A1(_03971_),
     .S(_04021_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_04022_));
- sky130_fd_sc_hd__clkbuf_1 _17441_ (.A(_04022_),
+ sky130_fd_sc_hd__clkbuf_1 _17432_ (.A(_04022_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_01614_));
- sky130_fd_sc_hd__clkbuf_1 _17442_ (.A(_03897_),
+    .X(_01626_));
+ sky130_fd_sc_hd__mux2_1 _17433_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[52][1] ),
+    .A1(_03992_),
+    .S(_04021_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_04023_));
- sky130_fd_sc_hd__mux2_1 _17443_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[54][5] ),
-    .A1(_04023_),
+ sky130_fd_sc_hd__clkbuf_1 _17434_ (.A(_04023_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01627_));
+ sky130_fd_sc_hd__mux2_1 _17435_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[52][2] ),
+    .A1(_03994_),
     .S(_04021_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_04024_));
- sky130_fd_sc_hd__clkbuf_1 _17444_ (.A(_04024_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01615_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _17445_ (.A(_03900_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04025_));
- sky130_fd_sc_hd__mux2_1 _17446_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[54][6] ),
-    .A1(_04025_),
-    .S(_04021_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04026_));
- sky130_fd_sc_hd__clkbuf_1 _17447_ (.A(_04026_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01616_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _17448_ (.A(_03966_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04027_));
- sky130_fd_sc_hd__mux2_1 _17449_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[54][7] ),
-    .A1(_04027_),
-    .S(_04021_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04028_));
- sky130_fd_sc_hd__clkbuf_1 _17450_ (.A(_04028_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01617_));
- sky130_fd_sc_hd__or3b_4 _17451_ (.A(_03906_),
-    .B(_03842_),
-    .C_N(_04009_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04029_));
- sky130_fd_sc_hd__nor2_1 _17452_ (.A(_03993_),
-    .B(_04029_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_04030_));
- sky130_fd_sc_hd__clkbuf_4 _17453_ (.A(_04030_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04031_));
- sky130_fd_sc_hd__mux2_1 _17454_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[53][0] ),
-    .A1(_04008_),
-    .S(_04031_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04032_));
- sky130_fd_sc_hd__clkbuf_1 _17455_ (.A(_04032_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01618_));
- sky130_fd_sc_hd__mux2_1 _17456_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[53][1] ),
-    .A1(_04014_),
-    .S(_04031_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04033_));
- sky130_fd_sc_hd__clkbuf_1 _17457_ (.A(_04033_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01619_));
- sky130_fd_sc_hd__mux2_1 _17458_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[53][2] ),
-    .A1(_04016_),
-    .S(_04031_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04034_));
- sky130_fd_sc_hd__clkbuf_1 _17459_ (.A(_04034_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01620_));
- sky130_fd_sc_hd__mux2_1 _17460_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[53][3] ),
-    .A1(_04018_),
-    .S(_04031_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04035_));
- sky130_fd_sc_hd__clkbuf_1 _17461_ (.A(_04035_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01621_));
- sky130_fd_sc_hd__clkbuf_2 _17462_ (.A(_04030_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04036_));
- sky130_fd_sc_hd__mux2_1 _17463_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[53][4] ),
-    .A1(_04020_),
-    .S(_04036_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04037_));
- sky130_fd_sc_hd__clkbuf_1 _17464_ (.A(_04037_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01622_));
- sky130_fd_sc_hd__mux2_1 _17465_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[53][5] ),
-    .A1(_04023_),
-    .S(_04036_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04038_));
- sky130_fd_sc_hd__clkbuf_1 _17466_ (.A(_04038_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01623_));
- sky130_fd_sc_hd__mux2_1 _17467_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[53][6] ),
-    .A1(_04025_),
-    .S(_04036_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04039_));
- sky130_fd_sc_hd__clkbuf_1 _17468_ (.A(_04039_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01624_));
- sky130_fd_sc_hd__mux2_1 _17469_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[53][7] ),
-    .A1(_04027_),
-    .S(_04036_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04040_));
- sky130_fd_sc_hd__clkbuf_1 _17470_ (.A(_04040_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01625_));
- sky130_fd_sc_hd__or3b_4 _17471_ (.A(_03919_),
-    .B(_03994_),
-    .C_N(_03995_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04041_));
- sky130_fd_sc_hd__nor2_1 _17472_ (.A(_03993_),
-    .B(_04041_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_04042_));
- sky130_fd_sc_hd__clkbuf_4 _17473_ (.A(_04042_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04043_));
- sky130_fd_sc_hd__mux2_1 _17474_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[52][0] ),
-    .A1(_04008_),
-    .S(_04043_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04044_));
- sky130_fd_sc_hd__clkbuf_1 _17475_ (.A(_04044_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01626_));
- sky130_fd_sc_hd__mux2_1 _17476_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[52][1] ),
-    .A1(_04014_),
-    .S(_04043_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04045_));
- sky130_fd_sc_hd__clkbuf_1 _17477_ (.A(_04045_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01627_));
- sky130_fd_sc_hd__mux2_1 _17478_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[52][2] ),
-    .A1(_04016_),
-    .S(_04043_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04046_));
- sky130_fd_sc_hd__clkbuf_1 _17479_ (.A(_04046_),
+ sky130_fd_sc_hd__clkbuf_1 _17436_ (.A(_04024_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01628_));
- sky130_fd_sc_hd__mux2_1 _17480_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[52][3] ),
-    .A1(_04018_),
-    .S(_04043_),
+ sky130_fd_sc_hd__mux2_1 _17437_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[52][3] ),
+    .A1(_03996_),
+    .S(_04021_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04047_));
- sky130_fd_sc_hd__clkbuf_1 _17481_ (.A(_04047_),
+    .X(_04025_));
+ sky130_fd_sc_hd__clkbuf_1 _17438_ (.A(_04025_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01629_));
- sky130_fd_sc_hd__clkbuf_2 _17482_ (.A(_04042_),
+ sky130_fd_sc_hd__clkbuf_4 _17439_ (.A(_04020_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04026_));
+ sky130_fd_sc_hd__mux2_1 _17440_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[52][4] ),
+    .A1(_03998_),
+    .S(_04026_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04027_));
+ sky130_fd_sc_hd__clkbuf_1 _17441_ (.A(_04027_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01630_));
+ sky130_fd_sc_hd__mux2_1 _17442_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[52][5] ),
+    .A1(_04001_),
+    .S(_04026_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04028_));
+ sky130_fd_sc_hd__clkbuf_1 _17443_ (.A(_04028_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01631_));
+ sky130_fd_sc_hd__mux2_1 _17444_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[52][6] ),
+    .A1(_04003_),
+    .S(_04026_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04029_));
+ sky130_fd_sc_hd__clkbuf_1 _17445_ (.A(_04029_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01632_));
+ sky130_fd_sc_hd__mux2_1 _17446_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[52][7] ),
+    .A1(_04005_),
+    .S(_04026_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04030_));
+ sky130_fd_sc_hd__clkbuf_1 _17447_ (.A(_04030_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01633_));
+ sky130_fd_sc_hd__clkbuf_2 _17448_ (.A(_03809_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04031_));
+ sky130_fd_sc_hd__clkbuf_2 _17449_ (.A(_03819_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04032_));
+ sky130_fd_sc_hd__or3_4 _17450_ (.A(_03822_),
+    .B(_03987_),
+    .C(_03913_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04033_));
+ sky130_fd_sc_hd__nor2_1 _17451_ (.A(_04032_),
+    .B(_04033_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_04034_));
+ sky130_fd_sc_hd__clkbuf_4 _17452_ (.A(_04034_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04035_));
+ sky130_fd_sc_hd__mux2_1 _17453_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[51][0] ),
+    .A1(_04031_),
+    .S(_04035_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04036_));
+ sky130_fd_sc_hd__clkbuf_1 _17454_ (.A(_04036_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01634_));
+ sky130_fd_sc_hd__mux2_1 _17455_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[51][1] ),
+    .A1(_03992_),
+    .S(_04035_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04037_));
+ sky130_fd_sc_hd__clkbuf_1 _17456_ (.A(_04037_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01635_));
+ sky130_fd_sc_hd__mux2_1 _17457_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[51][2] ),
+    .A1(_03994_),
+    .S(_04035_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04038_));
+ sky130_fd_sc_hd__clkbuf_1 _17458_ (.A(_04038_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01636_));
+ sky130_fd_sc_hd__mux2_1 _17459_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[51][3] ),
+    .A1(_03996_),
+    .S(_04035_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04039_));
+ sky130_fd_sc_hd__clkbuf_1 _17460_ (.A(_04039_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01637_));
+ sky130_fd_sc_hd__clkbuf_4 _17461_ (.A(_04034_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04040_));
+ sky130_fd_sc_hd__mux2_1 _17462_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[51][4] ),
+    .A1(_03998_),
+    .S(_04040_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04041_));
+ sky130_fd_sc_hd__clkbuf_1 _17463_ (.A(_04041_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01638_));
+ sky130_fd_sc_hd__mux2_1 _17464_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[51][5] ),
+    .A1(_04001_),
+    .S(_04040_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04042_));
+ sky130_fd_sc_hd__clkbuf_1 _17465_ (.A(_04042_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01639_));
+ sky130_fd_sc_hd__mux2_1 _17466_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[51][6] ),
+    .A1(_04003_),
+    .S(_04040_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04043_));
+ sky130_fd_sc_hd__clkbuf_1 _17467_ (.A(_04043_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01640_));
+ sky130_fd_sc_hd__mux2_1 _17468_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[51][7] ),
+    .A1(_04005_),
+    .S(_04040_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04044_));
+ sky130_fd_sc_hd__clkbuf_1 _17469_ (.A(_04044_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01641_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _17470_ (.A(_03822_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04045_));
+ sky130_fd_sc_hd__or3_4 _17471_ (.A(_04045_),
+    .B(_03974_),
+    .C(_03857_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04046_));
+ sky130_fd_sc_hd__nor2_1 _17472_ (.A(_04032_),
+    .B(_04046_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_04047_));
+ sky130_fd_sc_hd__buf_2 _17473_ (.A(_04047_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_04048_));
- sky130_fd_sc_hd__mux2_1 _17483_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[52][4] ),
-    .A1(_04020_),
+ sky130_fd_sc_hd__mux2_1 _17474_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[50][0] ),
+    .A1(_04031_),
     .S(_04048_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_04049_));
- sky130_fd_sc_hd__clkbuf_1 _17484_ (.A(_04049_),
+ sky130_fd_sc_hd__clkbuf_1 _17475_ (.A(_04049_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_01630_));
- sky130_fd_sc_hd__mux2_1 _17485_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[52][5] ),
-    .A1(_04023_),
-    .S(_04048_),
+    .X(_01642_));
+ sky130_fd_sc_hd__clkbuf_1 _17476_ (.A(_03862_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_04050_));
- sky130_fd_sc_hd__clkbuf_1 _17486_ (.A(_04050_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01631_));
- sky130_fd_sc_hd__mux2_1 _17487_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[52][6] ),
-    .A1(_04025_),
+ sky130_fd_sc_hd__mux2_1 _17477_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[50][1] ),
+    .A1(_04050_),
     .S(_04048_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_04051_));
- sky130_fd_sc_hd__clkbuf_1 _17488_ (.A(_04051_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01632_));
- sky130_fd_sc_hd__mux2_1 _17489_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[52][7] ),
-    .A1(_04027_),
-    .S(_04048_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04052_));
- sky130_fd_sc_hd__clkbuf_1 _17490_ (.A(_04052_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01633_));
- sky130_fd_sc_hd__clkbuf_2 _17491_ (.A(_03839_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04053_));
- sky130_fd_sc_hd__or3_2 _17492_ (.A(_03842_),
-    .B(_04009_),
-    .C(_03934_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04054_));
- sky130_fd_sc_hd__nor2_1 _17493_ (.A(_04053_),
-    .B(_04054_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_04055_));
- sky130_fd_sc_hd__clkbuf_4 _17494_ (.A(_04055_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04056_));
- sky130_fd_sc_hd__mux2_1 _17495_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[51][0] ),
-    .A1(_04008_),
-    .S(_04056_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04057_));
- sky130_fd_sc_hd__clkbuf_1 _17496_ (.A(_04057_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01634_));
- sky130_fd_sc_hd__mux2_1 _17497_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[51][1] ),
-    .A1(_04014_),
-    .S(_04056_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04058_));
- sky130_fd_sc_hd__clkbuf_1 _17498_ (.A(_04058_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01635_));
- sky130_fd_sc_hd__mux2_1 _17499_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[51][2] ),
-    .A1(_04016_),
-    .S(_04056_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04059_));
- sky130_fd_sc_hd__clkbuf_1 _17500_ (.A(_04059_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01636_));
- sky130_fd_sc_hd__mux2_1 _17501_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[51][3] ),
-    .A1(_04018_),
-    .S(_04056_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04060_));
- sky130_fd_sc_hd__clkbuf_1 _17502_ (.A(_04060_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01637_));
- sky130_fd_sc_hd__clkbuf_2 _17503_ (.A(_04055_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04061_));
- sky130_fd_sc_hd__mux2_1 _17504_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[51][4] ),
-    .A1(_04020_),
-    .S(_04061_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04062_));
- sky130_fd_sc_hd__clkbuf_1 _17505_ (.A(_04062_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01638_));
- sky130_fd_sc_hd__mux2_1 _17506_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[51][5] ),
-    .A1(_04023_),
-    .S(_04061_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04063_));
- sky130_fd_sc_hd__clkbuf_1 _17507_ (.A(_04063_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01639_));
- sky130_fd_sc_hd__mux2_1 _17508_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[51][6] ),
-    .A1(_04025_),
-    .S(_04061_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04064_));
- sky130_fd_sc_hd__clkbuf_1 _17509_ (.A(_04064_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01640_));
- sky130_fd_sc_hd__mux2_1 _17510_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[51][7] ),
-    .A1(_04027_),
-    .S(_04061_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04065_));
- sky130_fd_sc_hd__clkbuf_1 _17511_ (.A(_04065_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01641_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _17512_ (.A(_03875_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04066_));
- sky130_fd_sc_hd__clkbuf_1 _17513_ (.A(_03842_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04067_));
- sky130_fd_sc_hd__or3_2 _17514_ (.A(_04067_),
-    .B(_03995_),
-    .C(_03879_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04068_));
- sky130_fd_sc_hd__nor2_1 _17515_ (.A(_04053_),
-    .B(_04068_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_04069_));
- sky130_fd_sc_hd__clkbuf_4 _17516_ (.A(_04069_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04070_));
- sky130_fd_sc_hd__mux2_1 _17517_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[50][0] ),
-    .A1(_04066_),
-    .S(_04070_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04071_));
- sky130_fd_sc_hd__clkbuf_1 _17518_ (.A(_04071_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01642_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _17519_ (.A(_03884_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04072_));
- sky130_fd_sc_hd__mux2_1 _17520_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[50][1] ),
-    .A1(_04072_),
-    .S(_04070_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04073_));
- sky130_fd_sc_hd__clkbuf_1 _17521_ (.A(_04073_),
+ sky130_fd_sc_hd__clkbuf_1 _17478_ (.A(_04051_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01643_));
- sky130_fd_sc_hd__clkbuf_2 _17522_ (.A(_03887_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _17479_ (.A(_03865_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04074_));
- sky130_fd_sc_hd__mux2_1 _17523_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[50][2] ),
-    .A1(_04074_),
-    .S(_04070_),
+    .X(_04052_));
+ sky130_fd_sc_hd__mux2_1 _17480_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[50][2] ),
+    .A1(_04052_),
+    .S(_04048_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04075_));
- sky130_fd_sc_hd__clkbuf_1 _17524_ (.A(_04075_),
+    .X(_04053_));
+ sky130_fd_sc_hd__clkbuf_1 _17481_ (.A(_04053_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01644_));
- sky130_fd_sc_hd__clkbuf_2 _17525_ (.A(_03890_),
+ sky130_fd_sc_hd__clkbuf_2 _17482_ (.A(_03868_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04076_));
- sky130_fd_sc_hd__mux2_1 _17526_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[50][3] ),
-    .A1(_04076_),
-    .S(_04070_),
+    .X(_04054_));
+ sky130_fd_sc_hd__mux2_1 _17483_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[50][3] ),
+    .A1(_04054_),
+    .S(_04048_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04077_));
- sky130_fd_sc_hd__clkbuf_1 _17527_ (.A(_04077_),
+    .X(_04055_));
+ sky130_fd_sc_hd__clkbuf_1 _17484_ (.A(_04055_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01645_));
- sky130_fd_sc_hd__buf_2 _17528_ (.A(_03893_),
+ sky130_fd_sc_hd__clkbuf_2 _17485_ (.A(_03871_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04078_));
- sky130_fd_sc_hd__clkbuf_4 _17529_ (.A(_04069_),
+    .X(_04056_));
+ sky130_fd_sc_hd__clkbuf_4 _17486_ (.A(_04047_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04079_));
- sky130_fd_sc_hd__mux2_1 _17530_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[50][4] ),
-    .A1(_04078_),
-    .S(_04079_),
+    .X(_04057_));
+ sky130_fd_sc_hd__mux2_1 _17487_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[50][4] ),
+    .A1(_04056_),
+    .S(_04057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04080_));
- sky130_fd_sc_hd__clkbuf_1 _17531_ (.A(_04080_),
+    .X(_04058_));
+ sky130_fd_sc_hd__clkbuf_1 _17488_ (.A(_04058_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01646_));
- sky130_fd_sc_hd__clkbuf_2 _17532_ (.A(_03897_),
+ sky130_fd_sc_hd__clkbuf_2 _17489_ (.A(_03875_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04081_));
- sky130_fd_sc_hd__mux2_1 _17533_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[50][5] ),
-    .A1(_04081_),
-    .S(_04079_),
+    .X(_04059_));
+ sky130_fd_sc_hd__mux2_1 _17490_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[50][5] ),
+    .A1(_04059_),
+    .S(_04057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04082_));
- sky130_fd_sc_hd__clkbuf_1 _17534_ (.A(_04082_),
+    .X(_04060_));
+ sky130_fd_sc_hd__clkbuf_1 _17491_ (.A(_04060_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01647_));
- sky130_fd_sc_hd__clkbuf_2 _17535_ (.A(_03900_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _17492_ (.A(_03878_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04083_));
- sky130_fd_sc_hd__mux2_1 _17536_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[50][6] ),
-    .A1(_04083_),
-    .S(_04079_),
+    .X(_04061_));
+ sky130_fd_sc_hd__mux2_1 _17493_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[50][6] ),
+    .A1(_04061_),
+    .S(_04057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04084_));
- sky130_fd_sc_hd__clkbuf_1 _17537_ (.A(_04084_),
+    .X(_04062_));
+ sky130_fd_sc_hd__clkbuf_1 _17494_ (.A(_04062_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01648_));
- sky130_fd_sc_hd__clkbuf_2 _17538_ (.A(_03966_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _17495_ (.A(_03944_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04085_));
- sky130_fd_sc_hd__mux2_1 _17539_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[50][7] ),
-    .A1(_04085_),
-    .S(_04079_),
+    .X(_04063_));
+ sky130_fd_sc_hd__mux2_1 _17496_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[50][7] ),
+    .A1(_04063_),
+    .S(_04057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04086_));
- sky130_fd_sc_hd__clkbuf_1 _17540_ (.A(_04086_),
+    .X(_04064_));
+ sky130_fd_sc_hd__clkbuf_1 _17497_ (.A(_04064_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01649_));
- sky130_fd_sc_hd__or3_2 _17541_ (.A(_03994_),
-    .B(_03995_),
-    .C(_03906_),
+ sky130_fd_sc_hd__or3_4 _17498_ (.A(_03973_),
+    .B(_03974_),
+    .C(_03884_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04087_));
- sky130_fd_sc_hd__nor2_1 _17542_ (.A(_04053_),
-    .B(_04087_),
+    .X(_04065_));
+ sky130_fd_sc_hd__nor2_1 _17499_ (.A(_04032_),
+    .B(_04065_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04088_));
- sky130_fd_sc_hd__clkbuf_4 _17543_ (.A(_04088_),
+    .Y(_04066_));
+ sky130_fd_sc_hd__clkbuf_4 _17500_ (.A(_04066_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04089_));
- sky130_fd_sc_hd__mux2_1 _17544_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[49][0] ),
-    .A1(_04066_),
-    .S(_04089_),
+    .X(_04067_));
+ sky130_fd_sc_hd__mux2_1 _17501_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[49][0] ),
+    .A1(_04031_),
+    .S(_04067_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04090_));
- sky130_fd_sc_hd__clkbuf_1 _17545_ (.A(_04090_),
+    .X(_04068_));
+ sky130_fd_sc_hd__clkbuf_1 _17502_ (.A(_04068_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01650_));
- sky130_fd_sc_hd__mux2_1 _17546_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[49][1] ),
-    .A1(_04072_),
-    .S(_04089_),
+ sky130_fd_sc_hd__mux2_1 _17503_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[49][1] ),
+    .A1(_04050_),
+    .S(_04067_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04091_));
- sky130_fd_sc_hd__clkbuf_1 _17547_ (.A(_04091_),
+    .X(_04069_));
+ sky130_fd_sc_hd__clkbuf_1 _17504_ (.A(_04069_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01651_));
- sky130_fd_sc_hd__mux2_1 _17548_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[49][2] ),
-    .A1(_04074_),
-    .S(_04089_),
+ sky130_fd_sc_hd__mux2_1 _17505_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[49][2] ),
+    .A1(_04052_),
+    .S(_04067_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04092_));
- sky130_fd_sc_hd__clkbuf_1 _17549_ (.A(_04092_),
+    .X(_04070_));
+ sky130_fd_sc_hd__clkbuf_1 _17506_ (.A(_04070_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01652_));
- sky130_fd_sc_hd__mux2_1 _17550_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[49][3] ),
-    .A1(_04076_),
-    .S(_04089_),
+ sky130_fd_sc_hd__mux2_1 _17507_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[49][3] ),
+    .A1(_04054_),
+    .S(_04067_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04093_));
- sky130_fd_sc_hd__clkbuf_1 _17551_ (.A(_04093_),
+    .X(_04071_));
+ sky130_fd_sc_hd__clkbuf_1 _17508_ (.A(_04071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01653_));
- sky130_fd_sc_hd__clkbuf_4 _17552_ (.A(_04088_),
+ sky130_fd_sc_hd__buf_4 _17509_ (.A(_04066_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04072_));
+ sky130_fd_sc_hd__mux2_1 _17510_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[49][4] ),
+    .A1(_04056_),
+    .S(_04072_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04073_));
+ sky130_fd_sc_hd__clkbuf_1 _17511_ (.A(_04073_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01654_));
+ sky130_fd_sc_hd__mux2_1 _17512_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[49][5] ),
+    .A1(_04059_),
+    .S(_04072_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04074_));
+ sky130_fd_sc_hd__clkbuf_1 _17513_ (.A(_04074_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01655_));
+ sky130_fd_sc_hd__mux2_1 _17514_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[49][6] ),
+    .A1(_04061_),
+    .S(_04072_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04075_));
+ sky130_fd_sc_hd__clkbuf_1 _17515_ (.A(_04075_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01656_));
+ sky130_fd_sc_hd__mux2_1 _17516_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[49][7] ),
+    .A1(_04063_),
+    .S(_04072_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04076_));
+ sky130_fd_sc_hd__clkbuf_1 _17517_ (.A(_04076_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01657_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _17518_ (.A(_03987_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04077_));
+ sky130_fd_sc_hd__or3_4 _17519_ (.A(_04045_),
+    .B(_04077_),
+    .C(_03898_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04078_));
+ sky130_fd_sc_hd__nor2_1 _17520_ (.A(_04032_),
+    .B(_04078_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_04079_));
+ sky130_fd_sc_hd__buf_2 _17521_ (.A(_04079_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04080_));
+ sky130_fd_sc_hd__mux2_1 _17522_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[48][0] ),
+    .A1(_04031_),
+    .S(_04080_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04081_));
+ sky130_fd_sc_hd__clkbuf_1 _17523_ (.A(_04081_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01658_));
+ sky130_fd_sc_hd__mux2_1 _17524_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[48][1] ),
+    .A1(_04050_),
+    .S(_04080_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04082_));
+ sky130_fd_sc_hd__clkbuf_1 _17525_ (.A(_04082_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01659_));
+ sky130_fd_sc_hd__mux2_1 _17526_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[48][2] ),
+    .A1(_04052_),
+    .S(_04080_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04083_));
+ sky130_fd_sc_hd__clkbuf_1 _17527_ (.A(_04083_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01660_));
+ sky130_fd_sc_hd__mux2_1 _17528_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[48][3] ),
+    .A1(_04054_),
+    .S(_04080_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04084_));
+ sky130_fd_sc_hd__clkbuf_1 _17529_ (.A(_04084_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01661_));
+ sky130_fd_sc_hd__clkbuf_4 _17530_ (.A(_04079_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04085_));
+ sky130_fd_sc_hd__mux2_1 _17531_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[48][4] ),
+    .A1(_04056_),
+    .S(_04085_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04086_));
+ sky130_fd_sc_hd__clkbuf_1 _17532_ (.A(_04086_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01662_));
+ sky130_fd_sc_hd__mux2_1 _17533_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[48][5] ),
+    .A1(_04059_),
+    .S(_04085_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04087_));
+ sky130_fd_sc_hd__clkbuf_1 _17534_ (.A(_04087_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01663_));
+ sky130_fd_sc_hd__mux2_1 _17535_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[48][6] ),
+    .A1(_04061_),
+    .S(_04085_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04088_));
+ sky130_fd_sc_hd__clkbuf_1 _17536_ (.A(_04088_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01664_));
+ sky130_fd_sc_hd__mux2_1 _17537_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[48][7] ),
+    .A1(_04063_),
+    .S(_04085_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04089_));
+ sky130_fd_sc_hd__clkbuf_1 _17538_ (.A(_04089_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01665_));
+ sky130_fd_sc_hd__clkbuf_1 _17539_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04090_));
+ sky130_fd_sc_hd__or3_4 _17540_ (.A(_04090_),
+    .B(_03812_),
+    .C(_03818_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04091_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _17541_ (.A(_04091_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04092_));
+ sky130_fd_sc_hd__or2_1 _17542_ (.A(_03824_),
+    .B(_04092_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04093_));
+ sky130_fd_sc_hd__clkbuf_2 _17543_ (.A(_04093_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_04094_));
- sky130_fd_sc_hd__mux2_1 _17553_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[49][4] ),
-    .A1(_04078_),
+ sky130_fd_sc_hd__mux2_1 _17544_ (.A0(_02619_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[47][0] ),
     .S(_04094_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_04095_));
- sky130_fd_sc_hd__clkbuf_1 _17554_ (.A(_04095_),
+ sky130_fd_sc_hd__clkbuf_1 _17545_ (.A(_04095_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_01654_));
- sky130_fd_sc_hd__mux2_1 _17555_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[49][5] ),
-    .A1(_04081_),
+    .X(_01666_));
+ sky130_fd_sc_hd__mux2_1 _17546_ (.A0(_02652_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[47][1] ),
     .S(_04094_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_04096_));
- sky130_fd_sc_hd__clkbuf_1 _17556_ (.A(_04096_),
+ sky130_fd_sc_hd__clkbuf_1 _17547_ (.A(_04096_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_01655_));
- sky130_fd_sc_hd__mux2_1 _17557_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[49][6] ),
-    .A1(_04083_),
+    .X(_01667_));
+ sky130_fd_sc_hd__mux2_1 _17548_ (.A0(_02654_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[47][2] ),
     .S(_04094_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_04097_));
- sky130_fd_sc_hd__clkbuf_1 _17558_ (.A(_04097_),
+ sky130_fd_sc_hd__clkbuf_1 _17549_ (.A(_04097_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_01656_));
- sky130_fd_sc_hd__mux2_1 _17559_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[49][7] ),
-    .A1(_04085_),
+    .X(_01668_));
+ sky130_fd_sc_hd__mux2_1 _17550_ (.A0(_02656_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[47][3] ),
     .S(_04094_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_04098_));
- sky130_fd_sc_hd__clkbuf_1 _17560_ (.A(_04098_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01657_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _17561_ (.A(_04009_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04099_));
- sky130_fd_sc_hd__or3_4 _17562_ (.A(_04067_),
-    .B(_04099_),
-    .C(_03920_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04100_));
- sky130_fd_sc_hd__nor2_1 _17563_ (.A(_04053_),
-    .B(_04100_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_04101_));
- sky130_fd_sc_hd__clkbuf_4 _17564_ (.A(_04101_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04102_));
- sky130_fd_sc_hd__mux2_1 _17565_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[48][0] ),
-    .A1(_04066_),
-    .S(_04102_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04103_));
- sky130_fd_sc_hd__clkbuf_1 _17566_ (.A(_04103_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01658_));
- sky130_fd_sc_hd__mux2_1 _17567_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[48][1] ),
-    .A1(_04072_),
-    .S(_04102_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04104_));
- sky130_fd_sc_hd__clkbuf_1 _17568_ (.A(_04104_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01659_));
- sky130_fd_sc_hd__mux2_1 _17569_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[48][2] ),
-    .A1(_04074_),
-    .S(_04102_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04105_));
- sky130_fd_sc_hd__clkbuf_1 _17570_ (.A(_04105_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01660_));
- sky130_fd_sc_hd__mux2_1 _17571_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[48][3] ),
-    .A1(_04076_),
-    .S(_04102_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04106_));
- sky130_fd_sc_hd__clkbuf_1 _17572_ (.A(_04106_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01661_));
- sky130_fd_sc_hd__clkbuf_4 _17573_ (.A(_04101_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04107_));
- sky130_fd_sc_hd__mux2_1 _17574_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[48][4] ),
-    .A1(_04078_),
-    .S(_04107_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04108_));
- sky130_fd_sc_hd__clkbuf_1 _17575_ (.A(_04108_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01662_));
- sky130_fd_sc_hd__mux2_1 _17576_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[48][5] ),
-    .A1(_04081_),
-    .S(_04107_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04109_));
- sky130_fd_sc_hd__clkbuf_1 _17577_ (.A(_04109_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01663_));
- sky130_fd_sc_hd__mux2_1 _17578_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[48][6] ),
-    .A1(_04083_),
-    .S(_04107_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04110_));
- sky130_fd_sc_hd__clkbuf_1 _17579_ (.A(_04110_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01664_));
- sky130_fd_sc_hd__mux2_1 _17580_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[48][7] ),
-    .A1(_04085_),
-    .S(_04107_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04111_));
- sky130_fd_sc_hd__clkbuf_1 _17581_ (.A(_04111_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01665_));
- sky130_fd_sc_hd__clkbuf_1 _17582_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[4] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04112_));
- sky130_fd_sc_hd__or3_4 _17583_ (.A(_04112_),
-    .B(_03830_),
-    .C(_03838_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04113_));
- sky130_fd_sc_hd__clkbuf_1 _17584_ (.A(_04113_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04114_));
- sky130_fd_sc_hd__or2_1 _17585_ (.A(_03844_),
-    .B(_04114_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04115_));
- sky130_fd_sc_hd__buf_2 _17586_ (.A(_04115_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04116_));
- sky130_fd_sc_hd__mux2_1 _17587_ (.A0(_02668_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[47][0] ),
-    .S(_04116_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04117_));
- sky130_fd_sc_hd__clkbuf_1 _17588_ (.A(_04117_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01666_));
- sky130_fd_sc_hd__mux2_1 _17589_ (.A0(_02670_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[47][1] ),
-    .S(_04116_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04118_));
- sky130_fd_sc_hd__clkbuf_1 _17590_ (.A(_04118_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01667_));
- sky130_fd_sc_hd__mux2_1 _17591_ (.A0(_02672_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[47][2] ),
-    .S(_04116_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04119_));
- sky130_fd_sc_hd__clkbuf_1 _17592_ (.A(_04119_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01668_));
- sky130_fd_sc_hd__mux2_1 _17593_ (.A0(_02674_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[47][3] ),
-    .S(_04116_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04120_));
- sky130_fd_sc_hd__clkbuf_1 _17594_ (.A(_04120_),
+ sky130_fd_sc_hd__clkbuf_1 _17551_ (.A(_04098_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01669_));
- sky130_fd_sc_hd__clkbuf_2 _17595_ (.A(_04115_),
+ sky130_fd_sc_hd__buf_2 _17552_ (.A(_04093_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04121_));
- sky130_fd_sc_hd__mux2_1 _17596_ (.A0(_02677_),
+    .X(_04099_));
+ sky130_fd_sc_hd__mux2_1 _17553_ (.A0(_02659_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[47][4] ),
-    .S(_04121_),
+    .S(_04099_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04122_));
- sky130_fd_sc_hd__clkbuf_1 _17597_ (.A(_04122_),
+    .X(_04100_));
+ sky130_fd_sc_hd__clkbuf_1 _17554_ (.A(_04100_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01670_));
- sky130_fd_sc_hd__mux2_1 _17598_ (.A0(_02679_),
+ sky130_fd_sc_hd__mux2_1 _17555_ (.A0(_02661_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[47][5] ),
-    .S(_04121_),
+    .S(_04099_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04123_));
- sky130_fd_sc_hd__clkbuf_1 _17599_ (.A(_04123_),
+    .X(_04101_));
+ sky130_fd_sc_hd__clkbuf_1 _17556_ (.A(_04101_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01671_));
- sky130_fd_sc_hd__mux2_1 _17600_ (.A0(_02681_),
+ sky130_fd_sc_hd__mux2_1 _17557_ (.A0(_02663_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[47][6] ),
-    .S(_04121_),
+    .S(_04099_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04124_));
- sky130_fd_sc_hd__clkbuf_1 _17601_ (.A(_04124_),
+    .X(_04102_));
+ sky130_fd_sc_hd__clkbuf_1 _17558_ (.A(_04102_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01672_));
- sky130_fd_sc_hd__mux2_1 _17602_ (.A0(_02685_),
+ sky130_fd_sc_hd__mux2_1 _17559_ (.A0(_02667_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[47][7] ),
-    .S(_04121_),
+    .S(_04099_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04125_));
- sky130_fd_sc_hd__clkbuf_1 _17603_ (.A(_04125_),
+    .X(_04103_));
+ sky130_fd_sc_hd__clkbuf_1 _17560_ (.A(_04103_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01673_));
- sky130_fd_sc_hd__or2_1 _17604_ (.A(_03880_),
-    .B(_04114_),
+ sky130_fd_sc_hd__or2_1 _17561_ (.A(_03858_),
+    .B(_04092_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04126_));
- sky130_fd_sc_hd__buf_2 _17605_ (.A(_04126_),
+    .X(_04104_));
+ sky130_fd_sc_hd__clkbuf_2 _17562_ (.A(_04104_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04127_));
- sky130_fd_sc_hd__mux2_1 _17606_ (.A0(_02668_),
+    .X(_04105_));
+ sky130_fd_sc_hd__mux2_1 _17563_ (.A0(_02619_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[46][0] ),
-    .S(_04127_),
+    .S(_04105_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04128_));
- sky130_fd_sc_hd__clkbuf_1 _17607_ (.A(_04128_),
+    .X(_04106_));
+ sky130_fd_sc_hd__clkbuf_1 _17564_ (.A(_04106_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01674_));
- sky130_fd_sc_hd__mux2_1 _17608_ (.A0(_02670_),
+ sky130_fd_sc_hd__mux2_1 _17565_ (.A0(_02652_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[46][1] ),
-    .S(_04127_),
+    .S(_04105_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04129_));
- sky130_fd_sc_hd__clkbuf_1 _17609_ (.A(_04129_),
+    .X(_04107_));
+ sky130_fd_sc_hd__clkbuf_1 _17566_ (.A(_04107_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01675_));
- sky130_fd_sc_hd__mux2_1 _17610_ (.A0(_02672_),
+ sky130_fd_sc_hd__mux2_1 _17567_ (.A0(_02654_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[46][2] ),
-    .S(_04127_),
+    .S(_04105_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04130_));
- sky130_fd_sc_hd__clkbuf_1 _17611_ (.A(_04130_),
+    .X(_04108_));
+ sky130_fd_sc_hd__clkbuf_1 _17568_ (.A(_04108_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01676_));
- sky130_fd_sc_hd__mux2_1 _17612_ (.A0(_02674_),
+ sky130_fd_sc_hd__mux2_1 _17569_ (.A0(_02656_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[46][3] ),
-    .S(_04127_),
+    .S(_04105_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04131_));
- sky130_fd_sc_hd__clkbuf_1 _17613_ (.A(_04131_),
+    .X(_04109_));
+ sky130_fd_sc_hd__clkbuf_1 _17570_ (.A(_04109_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01677_));
- sky130_fd_sc_hd__clkbuf_2 _17614_ (.A(_04126_),
+ sky130_fd_sc_hd__buf_2 _17571_ (.A(_04104_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04132_));
- sky130_fd_sc_hd__mux2_1 _17615_ (.A0(_02677_),
+    .X(_04110_));
+ sky130_fd_sc_hd__mux2_1 _17572_ (.A0(_02659_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[46][4] ),
-    .S(_04132_),
+    .S(_04110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04133_));
- sky130_fd_sc_hd__clkbuf_1 _17616_ (.A(_04133_),
+    .X(_04111_));
+ sky130_fd_sc_hd__clkbuf_1 _17573_ (.A(_04111_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01678_));
- sky130_fd_sc_hd__mux2_1 _17617_ (.A0(_02679_),
+ sky130_fd_sc_hd__mux2_1 _17574_ (.A0(_02661_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[46][5] ),
-    .S(_04132_),
+    .S(_04110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04134_));
- sky130_fd_sc_hd__clkbuf_1 _17618_ (.A(_04134_),
+    .X(_04112_));
+ sky130_fd_sc_hd__clkbuf_1 _17575_ (.A(_04112_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01679_));
- sky130_fd_sc_hd__mux2_1 _17619_ (.A0(_02681_),
+ sky130_fd_sc_hd__mux2_1 _17576_ (.A0(_02663_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[46][6] ),
-    .S(_04132_),
+    .S(_04110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04135_));
- sky130_fd_sc_hd__clkbuf_1 _17620_ (.A(_04135_),
+    .X(_04113_));
+ sky130_fd_sc_hd__clkbuf_1 _17577_ (.A(_04113_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01680_));
- sky130_fd_sc_hd__mux2_1 _17621_ (.A0(_02685_),
+ sky130_fd_sc_hd__mux2_1 _17578_ (.A0(_02667_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[46][7] ),
-    .S(_04132_),
+    .S(_04110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04136_));
- sky130_fd_sc_hd__clkbuf_1 _17622_ (.A(_04136_),
+    .X(_04114_));
+ sky130_fd_sc_hd__clkbuf_1 _17579_ (.A(_04114_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01681_));
- sky130_fd_sc_hd__or2_1 _17623_ (.A(_03907_),
-    .B(_04114_),
+ sky130_fd_sc_hd__clkbuf_4 _17580_ (.A(_02618_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04137_));
- sky130_fd_sc_hd__buf_2 _17624_ (.A(_04137_),
+    .X(_04115_));
+ sky130_fd_sc_hd__clkbuf_2 _17581_ (.A(_04115_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04138_));
- sky130_fd_sc_hd__mux2_1 _17625_ (.A0(_02668_),
+    .X(_04116_));
+ sky130_fd_sc_hd__or2_1 _17582_ (.A(_03885_),
+    .B(_04092_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04117_));
+ sky130_fd_sc_hd__clkbuf_4 _17583_ (.A(_04117_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04118_));
+ sky130_fd_sc_hd__mux2_1 _17584_ (.A0(_04116_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[45][0] ),
-    .S(_04138_),
+    .S(_04118_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04139_));
- sky130_fd_sc_hd__clkbuf_1 _17626_ (.A(_04139_),
+    .X(_04119_));
+ sky130_fd_sc_hd__clkbuf_1 _17585_ (.A(_04119_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01682_));
- sky130_fd_sc_hd__mux2_1 _17627_ (.A0(_02670_),
+ sky130_fd_sc_hd__mux2_1 _17586_ (.A0(_02652_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[45][1] ),
-    .S(_04138_),
+    .S(_04118_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04140_));
- sky130_fd_sc_hd__clkbuf_1 _17628_ (.A(_04140_),
+    .X(_04120_));
+ sky130_fd_sc_hd__clkbuf_1 _17587_ (.A(_04120_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01683_));
- sky130_fd_sc_hd__mux2_1 _17629_ (.A0(_02672_),
+ sky130_fd_sc_hd__mux2_1 _17588_ (.A0(_02654_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[45][2] ),
-    .S(_04138_),
+    .S(_04118_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04141_));
- sky130_fd_sc_hd__clkbuf_1 _17630_ (.A(_04141_),
+    .X(_04121_));
+ sky130_fd_sc_hd__clkbuf_1 _17589_ (.A(_04121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01684_));
- sky130_fd_sc_hd__mux2_1 _17631_ (.A0(_02674_),
+ sky130_fd_sc_hd__mux2_1 _17590_ (.A0(_02656_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[45][3] ),
-    .S(_04138_),
+    .S(_04118_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04142_));
- sky130_fd_sc_hd__clkbuf_1 _17632_ (.A(_04142_),
+    .X(_04122_));
+ sky130_fd_sc_hd__clkbuf_1 _17591_ (.A(_04122_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01685_));
- sky130_fd_sc_hd__buf_2 _17633_ (.A(_04137_),
+ sky130_fd_sc_hd__buf_2 _17592_ (.A(_04117_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04143_));
- sky130_fd_sc_hd__mux2_1 _17634_ (.A0(_02677_),
+    .X(_04123_));
+ sky130_fd_sc_hd__mux2_1 _17593_ (.A0(_02659_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[45][4] ),
-    .S(_04143_),
+    .S(_04123_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04144_));
- sky130_fd_sc_hd__clkbuf_1 _17635_ (.A(_04144_),
+    .X(_04124_));
+ sky130_fd_sc_hd__clkbuf_1 _17594_ (.A(_04124_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01686_));
- sky130_fd_sc_hd__mux2_1 _17636_ (.A0(_02679_),
+ sky130_fd_sc_hd__mux2_1 _17595_ (.A0(_02661_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[45][5] ),
-    .S(_04143_),
+    .S(_04123_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04145_));
- sky130_fd_sc_hd__clkbuf_1 _17637_ (.A(_04145_),
+    .X(_04125_));
+ sky130_fd_sc_hd__clkbuf_1 _17596_ (.A(_04125_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01687_));
- sky130_fd_sc_hd__mux2_1 _17638_ (.A0(_02681_),
+ sky130_fd_sc_hd__mux2_1 _17597_ (.A0(_02663_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[45][6] ),
-    .S(_04143_),
+    .S(_04123_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04146_));
- sky130_fd_sc_hd__clkbuf_1 _17639_ (.A(_04146_),
+    .X(_04126_));
+ sky130_fd_sc_hd__clkbuf_1 _17598_ (.A(_04126_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01688_));
- sky130_fd_sc_hd__mux2_1 _17640_ (.A0(_02685_),
+ sky130_fd_sc_hd__mux2_1 _17599_ (.A0(_02667_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[45][7] ),
-    .S(_04143_),
+    .S(_04123_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04147_));
- sky130_fd_sc_hd__clkbuf_1 _17641_ (.A(_04147_),
+    .X(_04127_));
+ sky130_fd_sc_hd__clkbuf_1 _17600_ (.A(_04127_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01689_));
- sky130_fd_sc_hd__clkbuf_1 _17642_ (.A(_02640_),
+ sky130_fd_sc_hd__clkbuf_1 _17601_ (.A(_04091_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04148_));
- sky130_fd_sc_hd__clkbuf_1 _17643_ (.A(_04113_),
+    .X(_04128_));
+ sky130_fd_sc_hd__or2_1 _17602_ (.A(_03899_),
+    .B(_04128_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04149_));
- sky130_fd_sc_hd__or2_1 _17644_ (.A(_03921_),
-    .B(_04149_),
+    .X(_04129_));
+ sky130_fd_sc_hd__clkbuf_4 _17603_ (.A(_04129_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04150_));
- sky130_fd_sc_hd__clkbuf_4 _17645_ (.A(_04150_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04151_));
- sky130_fd_sc_hd__mux2_1 _17646_ (.A0(_04148_),
+    .X(_04130_));
+ sky130_fd_sc_hd__mux2_1 _17604_ (.A0(_04116_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[44][0] ),
-    .S(_04151_),
+    .S(_04130_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04152_));
- sky130_fd_sc_hd__clkbuf_1 _17647_ (.A(_04152_),
+    .X(_04131_));
+ sky130_fd_sc_hd__clkbuf_1 _17605_ (.A(_04131_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01690_));
- sky130_fd_sc_hd__clkbuf_1 _17648_ (.A(_02643_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _17606_ (.A(_02623_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04153_));
- sky130_fd_sc_hd__mux2_1 _17649_ (.A0(_04153_),
+    .X(_04132_));
+ sky130_fd_sc_hd__mux2_1 _17607_ (.A0(_04132_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[44][1] ),
-    .S(_04151_),
+    .S(_04130_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04154_));
- sky130_fd_sc_hd__clkbuf_1 _17650_ (.A(_04154_),
+    .X(_04133_));
+ sky130_fd_sc_hd__clkbuf_1 _17608_ (.A(_04133_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01691_));
- sky130_fd_sc_hd__clkbuf_2 _17651_ (.A(_02647_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _17609_ (.A(_02626_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04155_));
- sky130_fd_sc_hd__mux2_1 _17652_ (.A0(_04155_),
+    .X(_04134_));
+ sky130_fd_sc_hd__mux2_1 _17610_ (.A0(_04134_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[44][2] ),
-    .S(_04151_),
+    .S(_04130_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04156_));
- sky130_fd_sc_hd__clkbuf_1 _17653_ (.A(_04156_),
+    .X(_04135_));
+ sky130_fd_sc_hd__clkbuf_1 _17611_ (.A(_04135_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01692_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _17654_ (.A(_02652_),
+ sky130_fd_sc_hd__clkbuf_2 _17612_ (.A(_02630_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04157_));
- sky130_fd_sc_hd__mux2_1 _17655_ (.A0(_04157_),
+    .X(_04136_));
+ sky130_fd_sc_hd__mux2_1 _17613_ (.A0(_04136_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[44][3] ),
-    .S(_04151_),
+    .S(_04130_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04158_));
- sky130_fd_sc_hd__clkbuf_1 _17656_ (.A(_04158_),
+    .X(_04137_));
+ sky130_fd_sc_hd__clkbuf_1 _17614_ (.A(_04137_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01693_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _17657_ (.A(_02655_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _17615_ (.A(_02635_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04159_));
- sky130_fd_sc_hd__clkbuf_4 _17658_ (.A(_04150_),
+    .X(_04138_));
+ sky130_fd_sc_hd__buf_2 _17616_ (.A(_04129_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04160_));
- sky130_fd_sc_hd__mux2_1 _17659_ (.A0(_04159_),
+    .X(_04139_));
+ sky130_fd_sc_hd__mux2_1 _17617_ (.A0(_04138_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[44][4] ),
-    .S(_04160_),
+    .S(_04139_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04161_));
- sky130_fd_sc_hd__clkbuf_1 _17660_ (.A(_04161_),
+    .X(_04140_));
+ sky130_fd_sc_hd__clkbuf_1 _17618_ (.A(_04140_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01694_));
- sky130_fd_sc_hd__clkbuf_2 _17661_ (.A(_02658_),
+ sky130_fd_sc_hd__buf_2 _17619_ (.A(_02638_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04162_));
- sky130_fd_sc_hd__mux2_1 _17662_ (.A0(_04162_),
+    .X(_04141_));
+ sky130_fd_sc_hd__mux2_1 _17620_ (.A0(_04141_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[44][5] ),
-    .S(_04160_),
+    .S(_04139_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04163_));
- sky130_fd_sc_hd__clkbuf_1 _17663_ (.A(_04163_),
+    .X(_04142_));
+ sky130_fd_sc_hd__clkbuf_1 _17621_ (.A(_04142_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01695_));
- sky130_fd_sc_hd__clkbuf_2 _17664_ (.A(_02661_),
+ sky130_fd_sc_hd__buf_2 _17622_ (.A(_02641_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04164_));
- sky130_fd_sc_hd__mux2_1 _17665_ (.A0(_04164_),
+    .X(_04143_));
+ sky130_fd_sc_hd__mux2_1 _17623_ (.A0(_04143_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[44][6] ),
-    .S(_04160_),
+    .S(_04139_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04165_));
- sky130_fd_sc_hd__clkbuf_1 _17666_ (.A(_04165_),
+    .X(_04144_));
+ sky130_fd_sc_hd__clkbuf_1 _17624_ (.A(_04144_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01696_));
- sky130_fd_sc_hd__clkbuf_2 _17667_ (.A(_02684_),
+ sky130_fd_sc_hd__buf_2 _17625_ (.A(_02666_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04166_));
- sky130_fd_sc_hd__mux2_1 _17668_ (.A0(_04166_),
+    .X(_04145_));
+ sky130_fd_sc_hd__mux2_1 _17626_ (.A0(_04145_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[44][7] ),
-    .S(_04160_),
+    .S(_04139_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04167_));
- sky130_fd_sc_hd__clkbuf_1 _17669_ (.A(_04167_),
+    .X(_04146_));
+ sky130_fd_sc_hd__clkbuf_1 _17627_ (.A(_04146_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01697_));
- sky130_fd_sc_hd__or2_2 _17670_ (.A(_03936_),
-    .B(_04149_),
+ sky130_fd_sc_hd__or2_1 _17628_ (.A(_03915_),
+    .B(_04128_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04168_));
- sky130_fd_sc_hd__clkbuf_4 _17671_ (.A(_04168_),
+    .X(_04147_));
+ sky130_fd_sc_hd__clkbuf_4 _17629_ (.A(_04147_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04169_));
- sky130_fd_sc_hd__mux2_1 _17672_ (.A0(_04148_),
+    .X(_04148_));
+ sky130_fd_sc_hd__mux2_1 _17630_ (.A0(_04116_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[43][0] ),
-    .S(_04169_),
+    .S(_04148_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04170_));
- sky130_fd_sc_hd__clkbuf_1 _17673_ (.A(_04170_),
+    .X(_04149_));
+ sky130_fd_sc_hd__clkbuf_1 _17631_ (.A(_04149_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01698_));
- sky130_fd_sc_hd__mux2_1 _17674_ (.A0(_04153_),
+ sky130_fd_sc_hd__mux2_1 _17632_ (.A0(_04132_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[43][1] ),
-    .S(_04169_),
+    .S(_04148_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04171_));
- sky130_fd_sc_hd__clkbuf_1 _17675_ (.A(_04171_),
+    .X(_04150_));
+ sky130_fd_sc_hd__clkbuf_1 _17633_ (.A(_04150_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01699_));
- sky130_fd_sc_hd__mux2_1 _17676_ (.A0(_04155_),
+ sky130_fd_sc_hd__mux2_1 _17634_ (.A0(_04134_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[43][2] ),
-    .S(_04169_),
+    .S(_04148_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04172_));
- sky130_fd_sc_hd__clkbuf_1 _17677_ (.A(_04172_),
+    .X(_04151_));
+ sky130_fd_sc_hd__clkbuf_1 _17635_ (.A(_04151_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01700_));
- sky130_fd_sc_hd__mux2_1 _17678_ (.A0(_04157_),
+ sky130_fd_sc_hd__mux2_1 _17636_ (.A0(_04136_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[43][3] ),
-    .S(_04169_),
+    .S(_04148_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04173_));
- sky130_fd_sc_hd__clkbuf_1 _17679_ (.A(_04173_),
+    .X(_04152_));
+ sky130_fd_sc_hd__clkbuf_1 _17637_ (.A(_04152_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01701_));
- sky130_fd_sc_hd__clkbuf_2 _17680_ (.A(_04168_),
+ sky130_fd_sc_hd__buf_2 _17638_ (.A(_04147_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04174_));
- sky130_fd_sc_hd__mux2_1 _17681_ (.A0(_04159_),
+    .X(_04153_));
+ sky130_fd_sc_hd__mux2_1 _17639_ (.A0(_04138_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[43][4] ),
-    .S(_04174_),
+    .S(_04153_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04175_));
- sky130_fd_sc_hd__clkbuf_1 _17682_ (.A(_04175_),
+    .X(_04154_));
+ sky130_fd_sc_hd__clkbuf_1 _17640_ (.A(_04154_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01702_));
- sky130_fd_sc_hd__mux2_1 _17683_ (.A0(_04162_),
+ sky130_fd_sc_hd__mux2_1 _17641_ (.A0(_04141_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[43][5] ),
-    .S(_04174_),
+    .S(_04153_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04176_));
- sky130_fd_sc_hd__clkbuf_1 _17684_ (.A(_04176_),
+    .X(_04155_));
+ sky130_fd_sc_hd__clkbuf_1 _17642_ (.A(_04155_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01703_));
- sky130_fd_sc_hd__mux2_1 _17685_ (.A0(_04164_),
+ sky130_fd_sc_hd__mux2_1 _17643_ (.A0(_04143_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[43][6] ),
-    .S(_04174_),
+    .S(_04153_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04177_));
- sky130_fd_sc_hd__clkbuf_1 _17686_ (.A(_04177_),
+    .X(_04156_));
+ sky130_fd_sc_hd__clkbuf_1 _17644_ (.A(_04156_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01704_));
- sky130_fd_sc_hd__mux2_1 _17687_ (.A0(_04166_),
+ sky130_fd_sc_hd__mux2_1 _17645_ (.A0(_04145_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[43][7] ),
-    .S(_04174_),
+    .S(_04153_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04178_));
- sky130_fd_sc_hd__clkbuf_1 _17688_ (.A(_04178_),
+    .X(_04157_));
+ sky130_fd_sc_hd__clkbuf_1 _17646_ (.A(_04157_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01705_));
- sky130_fd_sc_hd__or2_1 _17689_ (.A(_03949_),
-    .B(_04149_),
+ sky130_fd_sc_hd__or2_1 _17647_ (.A(_03927_),
+    .B(_04128_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04179_));
- sky130_fd_sc_hd__clkbuf_4 _17690_ (.A(_04179_),
+    .X(_04158_));
+ sky130_fd_sc_hd__clkbuf_4 _17648_ (.A(_04158_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04180_));
- sky130_fd_sc_hd__mux2_1 _17691_ (.A0(_04148_),
+    .X(_04159_));
+ sky130_fd_sc_hd__mux2_1 _17649_ (.A0(_04116_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[42][0] ),
-    .S(_04180_),
+    .S(_04159_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04181_));
- sky130_fd_sc_hd__clkbuf_1 _17692_ (.A(_04181_),
+    .X(_04160_));
+ sky130_fd_sc_hd__clkbuf_1 _17650_ (.A(_04160_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01706_));
- sky130_fd_sc_hd__mux2_1 _17693_ (.A0(_04153_),
+ sky130_fd_sc_hd__mux2_1 _17651_ (.A0(_04132_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[42][1] ),
-    .S(_04180_),
+    .S(_04159_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04182_));
- sky130_fd_sc_hd__clkbuf_1 _17694_ (.A(_04182_),
+    .X(_04161_));
+ sky130_fd_sc_hd__clkbuf_1 _17652_ (.A(_04161_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01707_));
- sky130_fd_sc_hd__mux2_1 _17695_ (.A0(_04155_),
+ sky130_fd_sc_hd__mux2_1 _17653_ (.A0(_04134_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[42][2] ),
-    .S(_04180_),
+    .S(_04159_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04183_));
- sky130_fd_sc_hd__clkbuf_1 _17696_ (.A(_04183_),
+    .X(_04162_));
+ sky130_fd_sc_hd__clkbuf_1 _17654_ (.A(_04162_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01708_));
- sky130_fd_sc_hd__mux2_1 _17697_ (.A0(_04157_),
+ sky130_fd_sc_hd__mux2_1 _17655_ (.A0(_04136_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[42][3] ),
-    .S(_04180_),
+    .S(_04159_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04184_));
- sky130_fd_sc_hd__clkbuf_1 _17698_ (.A(_04184_),
+    .X(_04163_));
+ sky130_fd_sc_hd__clkbuf_1 _17656_ (.A(_04163_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01709_));
- sky130_fd_sc_hd__buf_2 _17699_ (.A(_04179_),
+ sky130_fd_sc_hd__clkbuf_4 _17657_ (.A(_04158_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04164_));
+ sky130_fd_sc_hd__mux2_1 _17658_ (.A0(_04138_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[42][4] ),
+    .S(_04164_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04165_));
+ sky130_fd_sc_hd__clkbuf_1 _17659_ (.A(_04165_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01710_));
+ sky130_fd_sc_hd__mux2_1 _17660_ (.A0(_04141_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[42][5] ),
+    .S(_04164_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04166_));
+ sky130_fd_sc_hd__clkbuf_1 _17661_ (.A(_04166_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01711_));
+ sky130_fd_sc_hd__mux2_1 _17662_ (.A0(_04143_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[42][6] ),
+    .S(_04164_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04167_));
+ sky130_fd_sc_hd__clkbuf_1 _17663_ (.A(_04167_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01712_));
+ sky130_fd_sc_hd__mux2_1 _17664_ (.A0(_04145_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[42][7] ),
+    .S(_04164_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04168_));
+ sky130_fd_sc_hd__clkbuf_1 _17665_ (.A(_04168_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01713_));
+ sky130_fd_sc_hd__clkbuf_1 _17666_ (.A(_04115_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04169_));
+ sky130_fd_sc_hd__or2_1 _17667_ (.A(_03947_),
+    .B(_04128_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04170_));
+ sky130_fd_sc_hd__clkbuf_4 _17668_ (.A(_04170_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04171_));
+ sky130_fd_sc_hd__mux2_1 _17669_ (.A0(_04169_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[41][0] ),
+    .S(_04171_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04172_));
+ sky130_fd_sc_hd__clkbuf_1 _17670_ (.A(_04172_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01714_));
+ sky130_fd_sc_hd__mux2_1 _17671_ (.A0(_04132_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[41][1] ),
+    .S(_04171_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04173_));
+ sky130_fd_sc_hd__clkbuf_1 _17672_ (.A(_04173_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01715_));
+ sky130_fd_sc_hd__mux2_1 _17673_ (.A0(_04134_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[41][2] ),
+    .S(_04171_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04174_));
+ sky130_fd_sc_hd__clkbuf_1 _17674_ (.A(_04174_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01716_));
+ sky130_fd_sc_hd__mux2_1 _17675_ (.A0(_04136_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[41][3] ),
+    .S(_04171_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04175_));
+ sky130_fd_sc_hd__clkbuf_1 _17676_ (.A(_04175_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01717_));
+ sky130_fd_sc_hd__clkbuf_4 _17677_ (.A(_04170_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04176_));
+ sky130_fd_sc_hd__mux2_1 _17678_ (.A0(_04138_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[41][4] ),
+    .S(_04176_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04177_));
+ sky130_fd_sc_hd__clkbuf_1 _17679_ (.A(_04177_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01718_));
+ sky130_fd_sc_hd__mux2_1 _17680_ (.A0(_04141_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[41][5] ),
+    .S(_04176_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04178_));
+ sky130_fd_sc_hd__clkbuf_1 _17681_ (.A(_04178_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01719_));
+ sky130_fd_sc_hd__mux2_1 _17682_ (.A0(_04143_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[41][6] ),
+    .S(_04176_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04179_));
+ sky130_fd_sc_hd__clkbuf_1 _17683_ (.A(_04179_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01720_));
+ sky130_fd_sc_hd__mux2_1 _17684_ (.A0(_04145_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[41][7] ),
+    .S(_04176_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04180_));
+ sky130_fd_sc_hd__clkbuf_1 _17685_ (.A(_04180_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01721_));
+ sky130_fd_sc_hd__clkbuf_2 _17686_ (.A(\u_usb_host.u_core.fifo_rx_data_w[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04181_));
+ sky130_fd_sc_hd__clkbuf_2 _17687_ (.A(_04181_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04182_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _17688_ (.A(_04091_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04183_));
+ sky130_fd_sc_hd__nor2_1 _17689_ (.A(_03959_),
+    .B(_04183_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_04184_));
+ sky130_fd_sc_hd__buf_2 _17690_ (.A(_04184_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_04185_));
- sky130_fd_sc_hd__mux2_1 _17700_ (.A0(_04159_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[42][4] ),
+ sky130_fd_sc_hd__mux2_1 _17691_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[40][0] ),
+    .A1(_04182_),
     .S(_04185_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_04186_));
- sky130_fd_sc_hd__clkbuf_1 _17701_ (.A(_04186_),
+ sky130_fd_sc_hd__clkbuf_1 _17692_ (.A(_04186_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_01710_));
- sky130_fd_sc_hd__mux2_1 _17702_ (.A0(_04162_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[42][5] ),
+    .X(_01722_));
+ sky130_fd_sc_hd__mux2_1 _17693_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[40][1] ),
+    .A1(_04050_),
     .S(_04185_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_04187_));
- sky130_fd_sc_hd__clkbuf_1 _17703_ (.A(_04187_),
+ sky130_fd_sc_hd__clkbuf_1 _17694_ (.A(_04187_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_01711_));
- sky130_fd_sc_hd__mux2_1 _17704_ (.A0(_04164_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[42][6] ),
+    .X(_01723_));
+ sky130_fd_sc_hd__mux2_1 _17695_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[40][2] ),
+    .A1(_04052_),
     .S(_04185_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_04188_));
- sky130_fd_sc_hd__clkbuf_1 _17705_ (.A(_04188_),
+ sky130_fd_sc_hd__clkbuf_1 _17696_ (.A(_04188_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_01712_));
- sky130_fd_sc_hd__mux2_1 _17706_ (.A0(_04166_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[42][7] ),
+    .X(_01724_));
+ sky130_fd_sc_hd__mux2_1 _17697_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[40][3] ),
+    .A1(_04054_),
     .S(_04185_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_04189_));
- sky130_fd_sc_hd__clkbuf_1 _17707_ (.A(_04189_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01713_));
- sky130_fd_sc_hd__or2_1 _17708_ (.A(_03969_),
-    .B(_04149_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04190_));
- sky130_fd_sc_hd__clkbuf_4 _17709_ (.A(_04190_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04191_));
- sky130_fd_sc_hd__mux2_1 _17710_ (.A0(_04148_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[41][0] ),
-    .S(_04191_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04192_));
- sky130_fd_sc_hd__clkbuf_1 _17711_ (.A(_04192_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01714_));
- sky130_fd_sc_hd__mux2_1 _17712_ (.A0(_04153_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[41][1] ),
-    .S(_04191_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04193_));
- sky130_fd_sc_hd__clkbuf_1 _17713_ (.A(_04193_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01715_));
- sky130_fd_sc_hd__mux2_1 _17714_ (.A0(_04155_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[41][2] ),
-    .S(_04191_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04194_));
- sky130_fd_sc_hd__clkbuf_1 _17715_ (.A(_04194_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01716_));
- sky130_fd_sc_hd__mux2_1 _17716_ (.A0(_04157_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[41][3] ),
-    .S(_04191_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04195_));
- sky130_fd_sc_hd__clkbuf_1 _17717_ (.A(_04195_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01717_));
- sky130_fd_sc_hd__buf_2 _17718_ (.A(_04190_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04196_));
- sky130_fd_sc_hd__mux2_1 _17719_ (.A0(_04159_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[41][4] ),
-    .S(_04196_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04197_));
- sky130_fd_sc_hd__clkbuf_1 _17720_ (.A(_04197_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01718_));
- sky130_fd_sc_hd__mux2_1 _17721_ (.A0(_04162_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[41][5] ),
-    .S(_04196_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04198_));
- sky130_fd_sc_hd__clkbuf_1 _17722_ (.A(_04198_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01719_));
- sky130_fd_sc_hd__mux2_1 _17723_ (.A0(_04164_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[41][6] ),
-    .S(_04196_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04199_));
- sky130_fd_sc_hd__clkbuf_1 _17724_ (.A(_04199_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01720_));
- sky130_fd_sc_hd__mux2_1 _17725_ (.A0(_04166_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[41][7] ),
-    .S(_04196_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04200_));
- sky130_fd_sc_hd__clkbuf_1 _17726_ (.A(_04200_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01721_));
- sky130_fd_sc_hd__clkbuf_1 _17727_ (.A(_04113_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04201_));
- sky130_fd_sc_hd__nor2_1 _17728_ (.A(_03981_),
-    .B(_04201_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_04202_));
- sky130_fd_sc_hd__clkbuf_4 _17729_ (.A(_04202_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04203_));
- sky130_fd_sc_hd__mux2_1 _17730_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[40][0] ),
-    .A1(_04066_),
-    .S(_04203_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04204_));
- sky130_fd_sc_hd__clkbuf_1 _17731_ (.A(_04204_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01722_));
- sky130_fd_sc_hd__mux2_1 _17732_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[40][1] ),
-    .A1(_04072_),
-    .S(_04203_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04205_));
- sky130_fd_sc_hd__clkbuf_1 _17733_ (.A(_04205_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01723_));
- sky130_fd_sc_hd__mux2_1 _17734_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[40][2] ),
-    .A1(_04074_),
-    .S(_04203_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04206_));
- sky130_fd_sc_hd__clkbuf_1 _17735_ (.A(_04206_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01724_));
- sky130_fd_sc_hd__mux2_1 _17736_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[40][3] ),
-    .A1(_04076_),
-    .S(_04203_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04207_));
- sky130_fd_sc_hd__clkbuf_1 _17737_ (.A(_04207_),
+ sky130_fd_sc_hd__clkbuf_1 _17698_ (.A(_04189_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01725_));
- sky130_fd_sc_hd__clkbuf_4 _17738_ (.A(_04202_),
+ sky130_fd_sc_hd__clkbuf_4 _17699_ (.A(_04184_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04208_));
- sky130_fd_sc_hd__mux2_1 _17739_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[40][4] ),
-    .A1(_04078_),
-    .S(_04208_),
+    .X(_04190_));
+ sky130_fd_sc_hd__mux2_1 _17700_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[40][4] ),
+    .A1(_04056_),
+    .S(_04190_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04209_));
- sky130_fd_sc_hd__clkbuf_1 _17740_ (.A(_04209_),
+    .X(_04191_));
+ sky130_fd_sc_hd__clkbuf_1 _17701_ (.A(_04191_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01726_));
- sky130_fd_sc_hd__mux2_1 _17741_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[40][5] ),
-    .A1(_04081_),
-    .S(_04208_),
+ sky130_fd_sc_hd__mux2_1 _17702_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[40][5] ),
+    .A1(_04059_),
+    .S(_04190_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04210_));
- sky130_fd_sc_hd__clkbuf_1 _17742_ (.A(_04210_),
+    .X(_04192_));
+ sky130_fd_sc_hd__clkbuf_1 _17703_ (.A(_04192_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01727_));
- sky130_fd_sc_hd__mux2_1 _17743_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[40][6] ),
-    .A1(_04083_),
-    .S(_04208_),
+ sky130_fd_sc_hd__mux2_1 _17704_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[40][6] ),
+    .A1(_04061_),
+    .S(_04190_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04211_));
- sky130_fd_sc_hd__clkbuf_1 _17744_ (.A(_04211_),
+    .X(_04193_));
+ sky130_fd_sc_hd__clkbuf_1 _17705_ (.A(_04193_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01728_));
- sky130_fd_sc_hd__mux2_1 _17745_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[40][7] ),
-    .A1(_04085_),
-    .S(_04208_),
+ sky130_fd_sc_hd__mux2_1 _17706_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[40][7] ),
+    .A1(_04063_),
+    .S(_04190_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04212_));
- sky130_fd_sc_hd__clkbuf_1 _17746_ (.A(_04212_),
+    .X(_04194_));
+ sky130_fd_sc_hd__clkbuf_1 _17707_ (.A(_04194_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01729_));
- sky130_fd_sc_hd__clkbuf_2 _17747_ (.A(_02639_),
+ sky130_fd_sc_hd__clkbuf_1 _17708_ (.A(_04091_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04213_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _17748_ (.A(_04213_),
+    .X(_04195_));
+ sky130_fd_sc_hd__or2_1 _17709_ (.A(_03975_),
+    .B(_04195_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04214_));
- sky130_fd_sc_hd__clkbuf_1 _17749_ (.A(_04113_),
+    .X(_04196_));
+ sky130_fd_sc_hd__clkbuf_4 _17710_ (.A(_04196_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04215_));
- sky130_fd_sc_hd__or2_1 _17750_ (.A(_03996_),
-    .B(_04215_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04216_));
- sky130_fd_sc_hd__buf_2 _17751_ (.A(_04216_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04217_));
- sky130_fd_sc_hd__mux2_1 _17752_ (.A0(_04214_),
+    .X(_04197_));
+ sky130_fd_sc_hd__mux2_1 _17711_ (.A0(_04169_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[39][0] ),
-    .S(_04217_),
+    .S(_04197_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04218_));
- sky130_fd_sc_hd__clkbuf_1 _17753_ (.A(_04218_),
+    .X(_04198_));
+ sky130_fd_sc_hd__clkbuf_1 _17712_ (.A(_04198_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01730_));
- sky130_fd_sc_hd__clkbuf_2 _17754_ (.A(_02642_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _17713_ (.A(_02622_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04219_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _17755_ (.A(_04219_),
+    .X(_04199_));
+ sky130_fd_sc_hd__clkbuf_2 _17714_ (.A(_04199_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04220_));
- sky130_fd_sc_hd__mux2_1 _17756_ (.A0(_04220_),
+    .X(_04200_));
+ sky130_fd_sc_hd__mux2_1 _17715_ (.A0(_04200_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[39][1] ),
-    .S(_04217_),
+    .S(_04197_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04221_));
- sky130_fd_sc_hd__clkbuf_1 _17757_ (.A(_04221_),
+    .X(_04201_));
+ sky130_fd_sc_hd__clkbuf_1 _17716_ (.A(_04201_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01731_));
- sky130_fd_sc_hd__clkbuf_2 _17758_ (.A(_02646_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _17717_ (.A(_02625_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04222_));
- sky130_fd_sc_hd__clkbuf_1 _17759_ (.A(_04222_),
+    .X(_04202_));
+ sky130_fd_sc_hd__clkbuf_1 _17718_ (.A(_04202_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04223_));
- sky130_fd_sc_hd__mux2_1 _17760_ (.A0(_04223_),
+    .X(_04203_));
+ sky130_fd_sc_hd__mux2_1 _17719_ (.A0(_04203_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[39][2] ),
-    .S(_04217_),
+    .S(_04197_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04224_));
- sky130_fd_sc_hd__clkbuf_1 _17761_ (.A(_04224_),
+    .X(_04204_));
+ sky130_fd_sc_hd__clkbuf_1 _17720_ (.A(_04204_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01732_));
- sky130_fd_sc_hd__clkbuf_2 _17762_ (.A(_02651_),
+ sky130_fd_sc_hd__clkbuf_1 _17721_ (.A(_02629_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04225_));
- sky130_fd_sc_hd__clkbuf_1 _17763_ (.A(_04225_),
+    .X(_04205_));
+ sky130_fd_sc_hd__clkbuf_1 _17722_ (.A(_04205_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04226_));
- sky130_fd_sc_hd__mux2_1 _17764_ (.A0(_04226_),
+    .X(_04206_));
+ sky130_fd_sc_hd__mux2_1 _17723_ (.A0(_04206_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[39][3] ),
-    .S(_04217_),
+    .S(_04197_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04227_));
- sky130_fd_sc_hd__clkbuf_1 _17765_ (.A(_04227_),
+    .X(_04207_));
+ sky130_fd_sc_hd__clkbuf_1 _17724_ (.A(_04207_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01733_));
- sky130_fd_sc_hd__buf_2 _17766_ (.A(_02654_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _17725_ (.A(_02634_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04228_));
- sky130_fd_sc_hd__clkbuf_1 _17767_ (.A(_04228_),
+    .X(_04208_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _17726_ (.A(_04208_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04229_));
- sky130_fd_sc_hd__buf_2 _17768_ (.A(_04216_),
+    .X(_04209_));
+ sky130_fd_sc_hd__clkbuf_2 _17727_ (.A(_04196_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04230_));
- sky130_fd_sc_hd__mux2_1 _17769_ (.A0(_04229_),
+    .X(_04210_));
+ sky130_fd_sc_hd__mux2_1 _17728_ (.A0(_04209_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[39][4] ),
-    .S(_04230_),
+    .S(_04210_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04231_));
- sky130_fd_sc_hd__clkbuf_1 _17770_ (.A(_04231_),
+    .X(_04211_));
+ sky130_fd_sc_hd__clkbuf_1 _17729_ (.A(_04211_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01734_));
- sky130_fd_sc_hd__clkbuf_2 _17771_ (.A(_02657_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _17730_ (.A(_02637_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04232_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _17772_ (.A(_04232_),
+    .X(_04212_));
+ sky130_fd_sc_hd__clkbuf_1 _17731_ (.A(_04212_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04233_));
- sky130_fd_sc_hd__mux2_1 _17773_ (.A0(_04233_),
+    .X(_04213_));
+ sky130_fd_sc_hd__mux2_1 _17732_ (.A0(_04213_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[39][5] ),
-    .S(_04230_),
+    .S(_04210_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04234_));
- sky130_fd_sc_hd__clkbuf_1 _17774_ (.A(_04234_),
+    .X(_04214_));
+ sky130_fd_sc_hd__clkbuf_1 _17733_ (.A(_04214_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01735_));
- sky130_fd_sc_hd__clkbuf_2 _17775_ (.A(_02660_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _17734_ (.A(_02640_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04235_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _17776_ (.A(_04235_),
+    .X(_04215_));
+ sky130_fd_sc_hd__clkbuf_2 _17735_ (.A(_04215_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04236_));
- sky130_fd_sc_hd__mux2_1 _17777_ (.A0(_04236_),
+    .X(_04216_));
+ sky130_fd_sc_hd__mux2_1 _17736_ (.A0(_04216_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[39][6] ),
-    .S(_04230_),
+    .S(_04210_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04237_));
- sky130_fd_sc_hd__clkbuf_1 _17778_ (.A(_04237_),
+    .X(_04217_));
+ sky130_fd_sc_hd__clkbuf_1 _17737_ (.A(_04217_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01736_));
- sky130_fd_sc_hd__clkbuf_2 _17779_ (.A(_02684_),
+ sky130_fd_sc_hd__clkbuf_2 _17738_ (.A(_02666_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04238_));
- sky130_fd_sc_hd__mux2_1 _17780_ (.A0(_04238_),
+    .X(_04218_));
+ sky130_fd_sc_hd__mux2_1 _17739_ (.A0(_04218_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[39][7] ),
-    .S(_04230_),
+    .S(_04210_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04239_));
- sky130_fd_sc_hd__clkbuf_1 _17781_ (.A(_04239_),
+    .X(_04219_));
+ sky130_fd_sc_hd__clkbuf_1 _17740_ (.A(_04219_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01737_));
- sky130_fd_sc_hd__or2_1 _17782_ (.A(_04010_),
-    .B(_04215_),
+ sky130_fd_sc_hd__or2_1 _17741_ (.A(_03988_),
+    .B(_04195_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04240_));
- sky130_fd_sc_hd__buf_2 _17783_ (.A(_04240_),
+    .X(_04220_));
+ sky130_fd_sc_hd__clkbuf_4 _17742_ (.A(_04220_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04241_));
- sky130_fd_sc_hd__mux2_1 _17784_ (.A0(_04214_),
+    .X(_04221_));
+ sky130_fd_sc_hd__mux2_1 _17743_ (.A0(_04169_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[38][0] ),
-    .S(_04241_),
+    .S(_04221_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04242_));
- sky130_fd_sc_hd__clkbuf_1 _17785_ (.A(_04242_),
+    .X(_04222_));
+ sky130_fd_sc_hd__clkbuf_1 _17744_ (.A(_04222_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01738_));
- sky130_fd_sc_hd__mux2_1 _17786_ (.A0(_04220_),
+ sky130_fd_sc_hd__mux2_1 _17745_ (.A0(_04200_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[38][1] ),
-    .S(_04241_),
+    .S(_04221_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04243_));
- sky130_fd_sc_hd__clkbuf_1 _17787_ (.A(_04243_),
+    .X(_04223_));
+ sky130_fd_sc_hd__clkbuf_1 _17746_ (.A(_04223_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01739_));
- sky130_fd_sc_hd__mux2_1 _17788_ (.A0(_04223_),
+ sky130_fd_sc_hd__mux2_1 _17747_ (.A0(_04203_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[38][2] ),
-    .S(_04241_),
+    .S(_04221_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04244_));
- sky130_fd_sc_hd__clkbuf_1 _17789_ (.A(_04244_),
+    .X(_04224_));
+ sky130_fd_sc_hd__clkbuf_1 _17748_ (.A(_04224_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01740_));
- sky130_fd_sc_hd__mux2_1 _17790_ (.A0(_04226_),
+ sky130_fd_sc_hd__mux2_1 _17749_ (.A0(_04206_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[38][3] ),
-    .S(_04241_),
+    .S(_04221_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04245_));
- sky130_fd_sc_hd__clkbuf_1 _17791_ (.A(_04245_),
+    .X(_04225_));
+ sky130_fd_sc_hd__clkbuf_1 _17750_ (.A(_04225_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01741_));
- sky130_fd_sc_hd__clkbuf_4 _17792_ (.A(_04240_),
+ sky130_fd_sc_hd__buf_2 _17751_ (.A(_04220_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04246_));
- sky130_fd_sc_hd__mux2_1 _17793_ (.A0(_04229_),
+    .X(_04226_));
+ sky130_fd_sc_hd__mux2_1 _17752_ (.A0(_04209_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[38][4] ),
-    .S(_04246_),
+    .S(_04226_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04247_));
- sky130_fd_sc_hd__clkbuf_1 _17794_ (.A(_04247_),
+    .X(_04227_));
+ sky130_fd_sc_hd__clkbuf_1 _17753_ (.A(_04227_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01742_));
- sky130_fd_sc_hd__mux2_1 _17795_ (.A0(_04233_),
+ sky130_fd_sc_hd__mux2_1 _17754_ (.A0(_04213_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[38][5] ),
-    .S(_04246_),
+    .S(_04226_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04248_));
- sky130_fd_sc_hd__clkbuf_1 _17796_ (.A(_04248_),
+    .X(_04228_));
+ sky130_fd_sc_hd__clkbuf_1 _17755_ (.A(_04228_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01743_));
- sky130_fd_sc_hd__mux2_1 _17797_ (.A0(_04236_),
+ sky130_fd_sc_hd__mux2_1 _17756_ (.A0(_04216_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[38][6] ),
-    .S(_04246_),
+    .S(_04226_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04249_));
- sky130_fd_sc_hd__clkbuf_1 _17798_ (.A(_04249_),
+    .X(_04229_));
+ sky130_fd_sc_hd__clkbuf_1 _17757_ (.A(_04229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01744_));
- sky130_fd_sc_hd__mux2_1 _17799_ (.A0(_04238_),
+ sky130_fd_sc_hd__mux2_1 _17758_ (.A0(_04218_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[38][7] ),
-    .S(_04246_),
+    .S(_04226_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04250_));
- sky130_fd_sc_hd__clkbuf_1 _17800_ (.A(_04250_),
+    .X(_04230_));
+ sky130_fd_sc_hd__clkbuf_1 _17759_ (.A(_04230_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01745_));
- sky130_fd_sc_hd__or2_1 _17801_ (.A(_04029_),
-    .B(_04215_),
+ sky130_fd_sc_hd__or2_1 _17760_ (.A(_04007_),
+    .B(_04195_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04251_));
- sky130_fd_sc_hd__clkbuf_4 _17802_ (.A(_04251_),
+    .X(_04231_));
+ sky130_fd_sc_hd__clkbuf_4 _17761_ (.A(_04231_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04252_));
- sky130_fd_sc_hd__mux2_1 _17803_ (.A0(_04214_),
+    .X(_04232_));
+ sky130_fd_sc_hd__mux2_1 _17762_ (.A0(_04169_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[37][0] ),
-    .S(_04252_),
+    .S(_04232_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04253_));
- sky130_fd_sc_hd__clkbuf_1 _17804_ (.A(_04253_),
+    .X(_04233_));
+ sky130_fd_sc_hd__clkbuf_1 _17763_ (.A(_04233_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01746_));
- sky130_fd_sc_hd__mux2_1 _17805_ (.A0(_04220_),
+ sky130_fd_sc_hd__mux2_1 _17764_ (.A0(_04200_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[37][1] ),
-    .S(_04252_),
+    .S(_04232_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04254_));
- sky130_fd_sc_hd__clkbuf_1 _17806_ (.A(_04254_),
+    .X(_04234_));
+ sky130_fd_sc_hd__clkbuf_1 _17765_ (.A(_04234_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01747_));
- sky130_fd_sc_hd__mux2_1 _17807_ (.A0(_04223_),
+ sky130_fd_sc_hd__mux2_1 _17766_ (.A0(_04203_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[37][2] ),
-    .S(_04252_),
+    .S(_04232_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04255_));
- sky130_fd_sc_hd__clkbuf_1 _17808_ (.A(_04255_),
+    .X(_04235_));
+ sky130_fd_sc_hd__clkbuf_1 _17767_ (.A(_04235_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01748_));
- sky130_fd_sc_hd__mux2_1 _17809_ (.A0(_04226_),
+ sky130_fd_sc_hd__mux2_1 _17768_ (.A0(_04206_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[37][3] ),
-    .S(_04252_),
+    .S(_04232_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04256_));
- sky130_fd_sc_hd__clkbuf_1 _17810_ (.A(_04256_),
+    .X(_04236_));
+ sky130_fd_sc_hd__clkbuf_1 _17769_ (.A(_04236_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01749_));
- sky130_fd_sc_hd__clkbuf_4 _17811_ (.A(_04251_),
+ sky130_fd_sc_hd__buf_2 _17770_ (.A(_04231_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04257_));
- sky130_fd_sc_hd__mux2_1 _17812_ (.A0(_04229_),
+    .X(_04237_));
+ sky130_fd_sc_hd__mux2_1 _17771_ (.A0(_04209_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[37][4] ),
-    .S(_04257_),
+    .S(_04237_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04258_));
- sky130_fd_sc_hd__clkbuf_1 _17813_ (.A(_04258_),
+    .X(_04238_));
+ sky130_fd_sc_hd__clkbuf_1 _17772_ (.A(_04238_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01750_));
- sky130_fd_sc_hd__mux2_1 _17814_ (.A0(_04233_),
+ sky130_fd_sc_hd__mux2_1 _17773_ (.A0(_04213_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[37][5] ),
-    .S(_04257_),
+    .S(_04237_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04259_));
- sky130_fd_sc_hd__clkbuf_1 _17815_ (.A(_04259_),
+    .X(_04239_));
+ sky130_fd_sc_hd__clkbuf_1 _17774_ (.A(_04239_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01751_));
- sky130_fd_sc_hd__mux2_1 _17816_ (.A0(_04236_),
+ sky130_fd_sc_hd__mux2_1 _17775_ (.A0(_04216_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[37][6] ),
-    .S(_04257_),
+    .S(_04237_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04260_));
- sky130_fd_sc_hd__clkbuf_1 _17817_ (.A(_04260_),
+    .X(_04240_));
+ sky130_fd_sc_hd__clkbuf_1 _17776_ (.A(_04240_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01752_));
- sky130_fd_sc_hd__mux2_1 _17818_ (.A0(_04238_),
+ sky130_fd_sc_hd__mux2_1 _17777_ (.A0(_04218_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[37][7] ),
-    .S(_04257_),
+    .S(_04237_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04261_));
- sky130_fd_sc_hd__clkbuf_1 _17819_ (.A(_04261_),
+    .X(_04241_));
+ sky130_fd_sc_hd__clkbuf_1 _17778_ (.A(_04241_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01753_));
- sky130_fd_sc_hd__clkbuf_2 _17820_ (.A(\u_usb_host.u_core.fifo_rx_data_w[0] ),
+ sky130_fd_sc_hd__nor2_1 _17779_ (.A(_04019_),
+    .B(_04183_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04262_));
- sky130_fd_sc_hd__clkbuf_1 _17821_ (.A(_04262_),
+    .Y(_04242_));
+ sky130_fd_sc_hd__clkbuf_2 _17780_ (.A(_04242_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04263_));
- sky130_fd_sc_hd__nor2_1 _17822_ (.A(_04041_),
-    .B(_04201_),
+    .X(_04243_));
+ sky130_fd_sc_hd__mux2_1 _17781_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[36][0] ),
+    .A1(_04182_),
+    .S(_04243_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04264_));
- sky130_fd_sc_hd__buf_2 _17823_ (.A(_04264_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04265_));
- sky130_fd_sc_hd__mux2_1 _17824_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[36][0] ),
-    .A1(_04263_),
-    .S(_04265_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04266_));
- sky130_fd_sc_hd__clkbuf_1 _17825_ (.A(_04266_),
+    .X(_04244_));
+ sky130_fd_sc_hd__clkbuf_1 _17782_ (.A(_04244_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01754_));
- sky130_fd_sc_hd__clkbuf_2 _17826_ (.A(\u_usb_host.u_core.fifo_rx_data_w[1] ),
+ sky130_fd_sc_hd__buf_2 _17783_ (.A(\u_usb_host.u_core.fifo_rx_data_w[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04267_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _17827_ (.A(_04267_),
+    .X(_04245_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _17784_ (.A(_04245_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04268_));
- sky130_fd_sc_hd__mux2_1 _17828_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[36][1] ),
-    .A1(_04268_),
-    .S(_04265_),
+    .X(_04246_));
+ sky130_fd_sc_hd__mux2_1 _17785_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[36][1] ),
+    .A1(_04246_),
+    .S(_04243_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04269_));
- sky130_fd_sc_hd__clkbuf_1 _17829_ (.A(_04269_),
+    .X(_04247_));
+ sky130_fd_sc_hd__clkbuf_1 _17786_ (.A(_04247_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01755_));
- sky130_fd_sc_hd__clkbuf_2 _17830_ (.A(\u_usb_host.u_core.fifo_rx_data_w[2] ),
+ sky130_fd_sc_hd__buf_2 _17787_ (.A(\u_usb_host.u_core.fifo_rx_data_w[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04270_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _17831_ (.A(_04270_),
+    .X(_04248_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _17788_ (.A(_04248_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04271_));
- sky130_fd_sc_hd__mux2_1 _17832_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[36][2] ),
-    .A1(_04271_),
-    .S(_04265_),
+    .X(_04249_));
+ sky130_fd_sc_hd__mux2_1 _17789_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[36][2] ),
+    .A1(_04249_),
+    .S(_04243_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04272_));
- sky130_fd_sc_hd__clkbuf_1 _17833_ (.A(_04272_),
+    .X(_04250_));
+ sky130_fd_sc_hd__clkbuf_1 _17790_ (.A(_04250_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01756_));
- sky130_fd_sc_hd__clkbuf_2 _17834_ (.A(\u_usb_host.u_core.fifo_rx_data_w[3] ),
+ sky130_fd_sc_hd__clkbuf_4 _17791_ (.A(\u_usb_host.u_core.fifo_rx_data_w[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04273_));
- sky130_fd_sc_hd__clkbuf_1 _17835_ (.A(_04273_),
+    .X(_04251_));
+ sky130_fd_sc_hd__clkbuf_1 _17792_ (.A(_04251_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04274_));
- sky130_fd_sc_hd__mux2_1 _17836_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[36][3] ),
-    .A1(_04274_),
-    .S(_04265_),
+    .X(_04252_));
+ sky130_fd_sc_hd__mux2_1 _17793_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[36][3] ),
+    .A1(_04252_),
+    .S(_04243_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04275_));
- sky130_fd_sc_hd__clkbuf_1 _17837_ (.A(_04275_),
+    .X(_04253_));
+ sky130_fd_sc_hd__clkbuf_1 _17794_ (.A(_04253_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01757_));
- sky130_fd_sc_hd__buf_2 _17838_ (.A(\u_usb_host.u_core.fifo_rx_data_w[4] ),
+ sky130_fd_sc_hd__buf_2 _17795_ (.A(\u_usb_host.u_core.fifo_rx_data_w[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04276_));
- sky130_fd_sc_hd__clkbuf_1 _17839_ (.A(_04276_),
+    .X(_04254_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _17796_ (.A(_04254_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04277_));
- sky130_fd_sc_hd__buf_2 _17840_ (.A(_04264_),
+    .X(_04255_));
+ sky130_fd_sc_hd__buf_2 _17797_ (.A(_04242_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04278_));
- sky130_fd_sc_hd__mux2_1 _17841_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[36][4] ),
-    .A1(_04277_),
-    .S(_04278_),
+    .X(_04256_));
+ sky130_fd_sc_hd__mux2_1 _17798_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[36][4] ),
+    .A1(_04255_),
+    .S(_04256_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04279_));
- sky130_fd_sc_hd__clkbuf_1 _17842_ (.A(_04279_),
+    .X(_04257_));
+ sky130_fd_sc_hd__clkbuf_1 _17799_ (.A(_04257_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01758_));
- sky130_fd_sc_hd__clkbuf_4 _17843_ (.A(\u_usb_host.u_core.fifo_rx_data_w[5] ),
+ sky130_fd_sc_hd__clkbuf_2 _17800_ (.A(\u_usb_host.u_core.fifo_rx_data_w[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04280_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _17844_ (.A(_04280_),
+    .X(_04258_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _17801_ (.A(_04258_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04281_));
- sky130_fd_sc_hd__mux2_1 _17845_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[36][5] ),
-    .A1(_04281_),
-    .S(_04278_),
+    .X(_04259_));
+ sky130_fd_sc_hd__mux2_1 _17802_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[36][5] ),
+    .A1(_04259_),
+    .S(_04256_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04282_));
- sky130_fd_sc_hd__clkbuf_1 _17846_ (.A(_04282_),
+    .X(_04260_));
+ sky130_fd_sc_hd__clkbuf_1 _17803_ (.A(_04260_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01759_));
- sky130_fd_sc_hd__clkbuf_4 _17847_ (.A(\u_usb_host.u_core.fifo_rx_data_w[6] ),
+ sky130_fd_sc_hd__clkbuf_2 _17804_ (.A(\u_usb_host.u_core.fifo_rx_data_w[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04283_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _17848_ (.A(_04283_),
+    .X(_04261_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _17805_ (.A(_04261_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04284_));
- sky130_fd_sc_hd__mux2_1 _17849_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[36][6] ),
-    .A1(_04284_),
-    .S(_04278_),
+    .X(_04262_));
+ sky130_fd_sc_hd__mux2_1 _17806_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[36][6] ),
+    .A1(_04262_),
+    .S(_04256_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04285_));
- sky130_fd_sc_hd__clkbuf_1 _17850_ (.A(_04285_),
+    .X(_04263_));
+ sky130_fd_sc_hd__clkbuf_1 _17807_ (.A(_04263_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01760_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _17851_ (.A(_03966_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _17808_ (.A(_03944_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04286_));
- sky130_fd_sc_hd__mux2_1 _17852_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[36][7] ),
-    .A1(_04286_),
-    .S(_04278_),
+    .X(_04264_));
+ sky130_fd_sc_hd__mux2_1 _17809_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[36][7] ),
+    .A1(_04264_),
+    .S(_04256_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04287_));
- sky130_fd_sc_hd__clkbuf_1 _17853_ (.A(_04287_),
+    .X(_04265_));
+ sky130_fd_sc_hd__clkbuf_1 _17810_ (.A(_04265_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01761_));
- sky130_fd_sc_hd__or2_1 _17854_ (.A(_04054_),
-    .B(_04215_),
+ sky130_fd_sc_hd__clkbuf_2 _17811_ (.A(_02618_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04288_));
- sky130_fd_sc_hd__buf_2 _17855_ (.A(_04288_),
+    .X(_04266_));
+ sky130_fd_sc_hd__clkbuf_2 _17812_ (.A(_04266_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04289_));
- sky130_fd_sc_hd__mux2_1 _17856_ (.A0(_04214_),
+    .X(_04267_));
+ sky130_fd_sc_hd__or2_1 _17813_ (.A(_04033_),
+    .B(_04195_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04268_));
+ sky130_fd_sc_hd__buf_2 _17814_ (.A(_04268_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04269_));
+ sky130_fd_sc_hd__mux2_1 _17815_ (.A0(_04267_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[35][0] ),
-    .S(_04289_),
+    .S(_04269_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04290_));
- sky130_fd_sc_hd__clkbuf_1 _17857_ (.A(_04290_),
+    .X(_04270_));
+ sky130_fd_sc_hd__clkbuf_1 _17816_ (.A(_04270_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01762_));
- sky130_fd_sc_hd__mux2_1 _17858_ (.A0(_04220_),
+ sky130_fd_sc_hd__mux2_1 _17817_ (.A0(_04200_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[35][1] ),
-    .S(_04289_),
+    .S(_04269_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04291_));
- sky130_fd_sc_hd__clkbuf_1 _17859_ (.A(_04291_),
+    .X(_04271_));
+ sky130_fd_sc_hd__clkbuf_1 _17818_ (.A(_04271_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01763_));
- sky130_fd_sc_hd__mux2_1 _17860_ (.A0(_04223_),
+ sky130_fd_sc_hd__mux2_1 _17819_ (.A0(_04203_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[35][2] ),
-    .S(_04289_),
+    .S(_04269_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04292_));
- sky130_fd_sc_hd__clkbuf_1 _17861_ (.A(_04292_),
+    .X(_04272_));
+ sky130_fd_sc_hd__clkbuf_1 _17820_ (.A(_04272_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01764_));
- sky130_fd_sc_hd__mux2_1 _17862_ (.A0(_04226_),
+ sky130_fd_sc_hd__mux2_1 _17821_ (.A0(_04206_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[35][3] ),
-    .S(_04289_),
+    .S(_04269_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04293_));
- sky130_fd_sc_hd__clkbuf_1 _17863_ (.A(_04293_),
+    .X(_04273_));
+ sky130_fd_sc_hd__clkbuf_1 _17822_ (.A(_04273_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01765_));
- sky130_fd_sc_hd__clkbuf_4 _17864_ (.A(_04288_),
+ sky130_fd_sc_hd__clkbuf_2 _17823_ (.A(_04268_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04294_));
- sky130_fd_sc_hd__mux2_1 _17865_ (.A0(_04229_),
+    .X(_04274_));
+ sky130_fd_sc_hd__mux2_1 _17824_ (.A0(_04209_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[35][4] ),
-    .S(_04294_),
+    .S(_04274_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04295_));
- sky130_fd_sc_hd__clkbuf_1 _17866_ (.A(_04295_),
+    .X(_04275_));
+ sky130_fd_sc_hd__clkbuf_1 _17825_ (.A(_04275_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01766_));
- sky130_fd_sc_hd__mux2_1 _17867_ (.A0(_04233_),
+ sky130_fd_sc_hd__mux2_1 _17826_ (.A0(_04213_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[35][5] ),
-    .S(_04294_),
+    .S(_04274_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04296_));
- sky130_fd_sc_hd__clkbuf_1 _17868_ (.A(_04296_),
+    .X(_04276_));
+ sky130_fd_sc_hd__clkbuf_1 _17827_ (.A(_04276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01767_));
- sky130_fd_sc_hd__mux2_1 _17869_ (.A0(_04236_),
+ sky130_fd_sc_hd__mux2_1 _17828_ (.A0(_04216_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[35][6] ),
-    .S(_04294_),
+    .S(_04274_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04297_));
- sky130_fd_sc_hd__clkbuf_1 _17870_ (.A(_04297_),
+    .X(_04277_));
+ sky130_fd_sc_hd__clkbuf_1 _17829_ (.A(_04277_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01768_));
- sky130_fd_sc_hd__mux2_1 _17871_ (.A0(_04238_),
+ sky130_fd_sc_hd__mux2_1 _17830_ (.A0(_04218_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[35][7] ),
-    .S(_04294_),
+    .S(_04274_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04298_));
- sky130_fd_sc_hd__clkbuf_1 _17872_ (.A(_04298_),
+    .X(_04278_));
+ sky130_fd_sc_hd__clkbuf_1 _17831_ (.A(_04278_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01769_));
- sky130_fd_sc_hd__nor2_1 _17873_ (.A(_04068_),
-    .B(_04201_),
+ sky130_fd_sc_hd__nor2_1 _17832_ (.A(_04046_),
+    .B(_04183_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04299_));
- sky130_fd_sc_hd__buf_2 _17874_ (.A(_04299_),
+    .Y(_04279_));
+ sky130_fd_sc_hd__buf_2 _17833_ (.A(_04279_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04300_));
- sky130_fd_sc_hd__mux2_1 _17875_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[34][0] ),
-    .A1(_04263_),
-    .S(_04300_),
+    .X(_04280_));
+ sky130_fd_sc_hd__mux2_1 _17834_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[34][0] ),
+    .A1(_04182_),
+    .S(_04280_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04301_));
- sky130_fd_sc_hd__clkbuf_1 _17876_ (.A(_04301_),
+    .X(_04281_));
+ sky130_fd_sc_hd__clkbuf_1 _17835_ (.A(_04281_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01770_));
- sky130_fd_sc_hd__mux2_1 _17877_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[34][1] ),
-    .A1(_04268_),
-    .S(_04300_),
+ sky130_fd_sc_hd__mux2_1 _17836_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[34][1] ),
+    .A1(_04246_),
+    .S(_04280_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04302_));
- sky130_fd_sc_hd__clkbuf_1 _17878_ (.A(_04302_),
+    .X(_04282_));
+ sky130_fd_sc_hd__clkbuf_1 _17837_ (.A(_04282_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01771_));
- sky130_fd_sc_hd__mux2_1 _17879_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[34][2] ),
-    .A1(_04271_),
-    .S(_04300_),
+ sky130_fd_sc_hd__mux2_1 _17838_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[34][2] ),
+    .A1(_04249_),
+    .S(_04280_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04303_));
- sky130_fd_sc_hd__clkbuf_1 _17880_ (.A(_04303_),
+    .X(_04283_));
+ sky130_fd_sc_hd__clkbuf_1 _17839_ (.A(_04283_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01772_));
- sky130_fd_sc_hd__mux2_1 _17881_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[34][3] ),
-    .A1(_04274_),
-    .S(_04300_),
+ sky130_fd_sc_hd__mux2_1 _17840_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[34][3] ),
+    .A1(_04252_),
+    .S(_04280_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04304_));
- sky130_fd_sc_hd__clkbuf_1 _17882_ (.A(_04304_),
+    .X(_04284_));
+ sky130_fd_sc_hd__clkbuf_1 _17841_ (.A(_04284_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01773_));
- sky130_fd_sc_hd__buf_2 _17883_ (.A(_04299_),
+ sky130_fd_sc_hd__buf_2 _17842_ (.A(_04279_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04305_));
- sky130_fd_sc_hd__mux2_1 _17884_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[34][4] ),
-    .A1(_04277_),
-    .S(_04305_),
+    .X(_04285_));
+ sky130_fd_sc_hd__mux2_1 _17843_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[34][4] ),
+    .A1(_04255_),
+    .S(_04285_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04306_));
- sky130_fd_sc_hd__clkbuf_1 _17885_ (.A(_04306_),
+    .X(_04286_));
+ sky130_fd_sc_hd__clkbuf_1 _17844_ (.A(_04286_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01774_));
- sky130_fd_sc_hd__mux2_1 _17886_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[34][5] ),
-    .A1(_04281_),
-    .S(_04305_),
+ sky130_fd_sc_hd__mux2_1 _17845_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[34][5] ),
+    .A1(_04259_),
+    .S(_04285_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04307_));
- sky130_fd_sc_hd__clkbuf_1 _17887_ (.A(_04307_),
+    .X(_04287_));
+ sky130_fd_sc_hd__clkbuf_1 _17846_ (.A(_04287_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01775_));
- sky130_fd_sc_hd__mux2_1 _17888_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[34][6] ),
-    .A1(_04284_),
-    .S(_04305_),
+ sky130_fd_sc_hd__mux2_1 _17847_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[34][6] ),
+    .A1(_04262_),
+    .S(_04285_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04308_));
- sky130_fd_sc_hd__clkbuf_1 _17889_ (.A(_04308_),
+    .X(_04288_));
+ sky130_fd_sc_hd__clkbuf_1 _17848_ (.A(_04288_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01776_));
- sky130_fd_sc_hd__mux2_1 _17890_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[34][7] ),
-    .A1(_04286_),
-    .S(_04305_),
+ sky130_fd_sc_hd__mux2_1 _17849_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[34][7] ),
+    .A1(_04264_),
+    .S(_04285_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04309_));
- sky130_fd_sc_hd__clkbuf_1 _17891_ (.A(_04309_),
+    .X(_04289_));
+ sky130_fd_sc_hd__clkbuf_1 _17850_ (.A(_04289_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01777_));
- sky130_fd_sc_hd__nor2_1 _17892_ (.A(_04087_),
-    .B(_04201_),
+ sky130_fd_sc_hd__nor2_1 _17851_ (.A(_04065_),
+    .B(_04183_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04310_));
- sky130_fd_sc_hd__buf_2 _17893_ (.A(_04310_),
+    .Y(_04290_));
+ sky130_fd_sc_hd__buf_2 _17852_ (.A(_04290_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04311_));
- sky130_fd_sc_hd__mux2_1 _17894_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[33][0] ),
-    .A1(_04263_),
-    .S(_04311_),
+    .X(_04291_));
+ sky130_fd_sc_hd__mux2_1 _17853_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[33][0] ),
+    .A1(_04182_),
+    .S(_04291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04312_));
- sky130_fd_sc_hd__clkbuf_1 _17895_ (.A(_04312_),
+    .X(_04292_));
+ sky130_fd_sc_hd__clkbuf_1 _17854_ (.A(_04292_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01778_));
- sky130_fd_sc_hd__mux2_1 _17896_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[33][1] ),
-    .A1(_04268_),
-    .S(_04311_),
+ sky130_fd_sc_hd__mux2_1 _17855_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[33][1] ),
+    .A1(_04246_),
+    .S(_04291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04313_));
- sky130_fd_sc_hd__clkbuf_1 _17897_ (.A(_04313_),
+    .X(_04293_));
+ sky130_fd_sc_hd__clkbuf_1 _17856_ (.A(_04293_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01779_));
- sky130_fd_sc_hd__mux2_1 _17898_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[33][2] ),
-    .A1(_04271_),
-    .S(_04311_),
+ sky130_fd_sc_hd__mux2_1 _17857_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[33][2] ),
+    .A1(_04249_),
+    .S(_04291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04314_));
- sky130_fd_sc_hd__clkbuf_1 _17899_ (.A(_04314_),
+    .X(_04294_));
+ sky130_fd_sc_hd__clkbuf_1 _17858_ (.A(_04294_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01780_));
- sky130_fd_sc_hd__mux2_1 _17900_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[33][3] ),
-    .A1(_04274_),
-    .S(_04311_),
+ sky130_fd_sc_hd__mux2_1 _17859_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[33][3] ),
+    .A1(_04252_),
+    .S(_04291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04315_));
- sky130_fd_sc_hd__clkbuf_1 _17901_ (.A(_04315_),
+    .X(_04295_));
+ sky130_fd_sc_hd__clkbuf_1 _17860_ (.A(_04295_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01781_));
- sky130_fd_sc_hd__buf_2 _17902_ (.A(_04310_),
+ sky130_fd_sc_hd__buf_2 _17861_ (.A(_04290_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04316_));
- sky130_fd_sc_hd__mux2_1 _17903_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[33][4] ),
-    .A1(_04277_),
-    .S(_04316_),
+    .X(_04296_));
+ sky130_fd_sc_hd__mux2_1 _17862_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[33][4] ),
+    .A1(_04255_),
+    .S(_04296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04317_));
- sky130_fd_sc_hd__clkbuf_1 _17904_ (.A(_04317_),
+    .X(_04297_));
+ sky130_fd_sc_hd__clkbuf_1 _17863_ (.A(_04297_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01782_));
- sky130_fd_sc_hd__mux2_1 _17905_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[33][5] ),
-    .A1(_04281_),
-    .S(_04316_),
+ sky130_fd_sc_hd__mux2_1 _17864_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[33][5] ),
+    .A1(_04259_),
+    .S(_04296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04318_));
- sky130_fd_sc_hd__clkbuf_1 _17906_ (.A(_04318_),
+    .X(_04298_));
+ sky130_fd_sc_hd__clkbuf_1 _17865_ (.A(_04298_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01783_));
- sky130_fd_sc_hd__mux2_1 _17907_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[33][6] ),
-    .A1(_04284_),
-    .S(_04316_),
+ sky130_fd_sc_hd__mux2_1 _17866_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[33][6] ),
+    .A1(_04262_),
+    .S(_04296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04319_));
- sky130_fd_sc_hd__clkbuf_1 _17908_ (.A(_04319_),
+    .X(_04299_));
+ sky130_fd_sc_hd__clkbuf_1 _17867_ (.A(_04299_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01784_));
- sky130_fd_sc_hd__mux2_1 _17909_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[33][7] ),
-    .A1(_04286_),
-    .S(_04316_),
+ sky130_fd_sc_hd__mux2_1 _17868_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[33][7] ),
+    .A1(_04264_),
+    .S(_04296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04320_));
- sky130_fd_sc_hd__clkbuf_1 _17910_ (.A(_04320_),
+    .X(_04300_));
+ sky130_fd_sc_hd__clkbuf_1 _17869_ (.A(_04300_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01785_));
- sky130_fd_sc_hd__nor2_2 _17911_ (.A(_04100_),
-    .B(_04114_),
+ sky130_fd_sc_hd__buf_2 _17870_ (.A(_04181_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04321_));
- sky130_fd_sc_hd__buf_2 _17912_ (.A(_04321_),
+    .X(_04301_));
+ sky130_fd_sc_hd__nor2_4 _17871_ (.A(_04078_),
+    .B(_04092_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04322_));
- sky130_fd_sc_hd__mux2_1 _17913_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[32][0] ),
-    .A1(_04263_),
-    .S(_04322_),
+    .Y(_04302_));
+ sky130_fd_sc_hd__clkbuf_2 _17872_ (.A(_04302_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04323_));
- sky130_fd_sc_hd__clkbuf_1 _17914_ (.A(_04323_),
+    .X(_04303_));
+ sky130_fd_sc_hd__mux2_1 _17873_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[32][0] ),
+    .A1(_04301_),
+    .S(_04303_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04304_));
+ sky130_fd_sc_hd__clkbuf_1 _17874_ (.A(_04304_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01786_));
- sky130_fd_sc_hd__mux2_1 _17915_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[32][1] ),
-    .A1(_04268_),
-    .S(_04322_),
+ sky130_fd_sc_hd__mux2_1 _17875_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[32][1] ),
+    .A1(_04246_),
+    .S(_04303_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04324_));
- sky130_fd_sc_hd__clkbuf_1 _17916_ (.A(_04324_),
+    .X(_04305_));
+ sky130_fd_sc_hd__clkbuf_1 _17876_ (.A(_04305_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01787_));
- sky130_fd_sc_hd__mux2_1 _17917_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[32][2] ),
-    .A1(_04271_),
-    .S(_04322_),
+ sky130_fd_sc_hd__mux2_1 _17877_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[32][2] ),
+    .A1(_04249_),
+    .S(_04303_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04325_));
- sky130_fd_sc_hd__clkbuf_1 _17918_ (.A(_04325_),
+    .X(_04306_));
+ sky130_fd_sc_hd__clkbuf_1 _17878_ (.A(_04306_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01788_));
- sky130_fd_sc_hd__mux2_1 _17919_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[32][3] ),
-    .A1(_04274_),
-    .S(_04322_),
+ sky130_fd_sc_hd__mux2_1 _17879_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[32][3] ),
+    .A1(_04252_),
+    .S(_04303_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04326_));
- sky130_fd_sc_hd__clkbuf_1 _17920_ (.A(_04326_),
+    .X(_04307_));
+ sky130_fd_sc_hd__clkbuf_1 _17880_ (.A(_04307_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01789_));
- sky130_fd_sc_hd__buf_2 _17921_ (.A(_04321_),
+ sky130_fd_sc_hd__buf_2 _17881_ (.A(_04302_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04327_));
- sky130_fd_sc_hd__mux2_1 _17922_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[32][4] ),
-    .A1(_04277_),
-    .S(_04327_),
+    .X(_04308_));
+ sky130_fd_sc_hd__mux2_1 _17882_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[32][4] ),
+    .A1(_04255_),
+    .S(_04308_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04328_));
- sky130_fd_sc_hd__clkbuf_1 _17923_ (.A(_04328_),
+    .X(_04309_));
+ sky130_fd_sc_hd__clkbuf_1 _17883_ (.A(_04309_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01790_));
- sky130_fd_sc_hd__mux2_1 _17924_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[32][5] ),
-    .A1(_04281_),
-    .S(_04327_),
+ sky130_fd_sc_hd__mux2_1 _17884_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[32][5] ),
+    .A1(_04259_),
+    .S(_04308_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04329_));
- sky130_fd_sc_hd__clkbuf_1 _17925_ (.A(_04329_),
+    .X(_04310_));
+ sky130_fd_sc_hd__clkbuf_1 _17885_ (.A(_04310_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01791_));
- sky130_fd_sc_hd__mux2_1 _17926_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[32][6] ),
-    .A1(_04284_),
-    .S(_04327_),
+ sky130_fd_sc_hd__mux2_1 _17886_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[32][6] ),
+    .A1(_04262_),
+    .S(_04308_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04330_));
- sky130_fd_sc_hd__clkbuf_1 _17927_ (.A(_04330_),
+    .X(_04311_));
+ sky130_fd_sc_hd__clkbuf_1 _17887_ (.A(_04311_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01792_));
- sky130_fd_sc_hd__mux2_1 _17928_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[32][7] ),
-    .A1(_04286_),
-    .S(_04327_),
+ sky130_fd_sc_hd__mux2_1 _17888_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[32][7] ),
+    .A1(_04264_),
+    .S(_04308_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04331_));
- sky130_fd_sc_hd__clkbuf_1 _17929_ (.A(_04331_),
+    .X(_04312_));
+ sky130_fd_sc_hd__clkbuf_1 _17889_ (.A(_04312_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01793_));
- sky130_fd_sc_hd__clkbuf_2 _17930_ (.A(_04262_),
+ sky130_fd_sc_hd__clkbuf_1 _17890_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04332_));
- sky130_fd_sc_hd__clkbuf_1 _17931_ (.A(\u_usb_host.u_core.u_fifo_rx.wr_ptr[5] ),
+    .X(_04313_));
+ sky130_fd_sc_hd__or3_4 _17891_ (.A(_03811_),
+    .B(_04313_),
+    .C(_03818_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04333_));
- sky130_fd_sc_hd__or3_4 _17932_ (.A(_03829_),
-    .B(_04333_),
-    .C(_03838_),
+    .X(_04314_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _17892_ (.A(_04314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04334_));
- sky130_fd_sc_hd__clkbuf_1 _17933_ (.A(_04334_),
+    .X(_04315_));
+ sky130_fd_sc_hd__nor2_1 _17893_ (.A(_03824_),
+    .B(_04315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04335_));
- sky130_fd_sc_hd__nor2_1 _17934_ (.A(_03844_),
-    .B(_04335_),
+    .Y(_04316_));
+ sky130_fd_sc_hd__buf_2 _17894_ (.A(_04316_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04336_));
- sky130_fd_sc_hd__buf_2 _17935_ (.A(_04336_),
+    .X(_04317_));
+ sky130_fd_sc_hd__mux2_1 _17895_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[31][0] ),
+    .A1(_04301_),
+    .S(_04317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04337_));
- sky130_fd_sc_hd__mux2_1 _17936_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[31][0] ),
-    .A1(_04332_),
-    .S(_04337_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04338_));
- sky130_fd_sc_hd__clkbuf_1 _17937_ (.A(_04338_),
+    .X(_04318_));
+ sky130_fd_sc_hd__clkbuf_1 _17896_ (.A(_04318_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01794_));
- sky130_fd_sc_hd__clkbuf_2 _17938_ (.A(_04267_),
+ sky130_fd_sc_hd__buf_2 _17897_ (.A(_04245_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04339_));
- sky130_fd_sc_hd__mux2_1 _17939_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[31][1] ),
-    .A1(_04339_),
-    .S(_04337_),
+    .X(_04319_));
+ sky130_fd_sc_hd__mux2_1 _17898_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[31][1] ),
+    .A1(_04319_),
+    .S(_04317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04340_));
- sky130_fd_sc_hd__clkbuf_1 _17940_ (.A(_04340_),
+    .X(_04320_));
+ sky130_fd_sc_hd__clkbuf_1 _17899_ (.A(_04320_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01795_));
- sky130_fd_sc_hd__clkbuf_2 _17941_ (.A(_04270_),
+ sky130_fd_sc_hd__buf_2 _17900_ (.A(_04248_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04341_));
- sky130_fd_sc_hd__mux2_1 _17942_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[31][2] ),
-    .A1(_04341_),
-    .S(_04337_),
+    .X(_04321_));
+ sky130_fd_sc_hd__mux2_1 _17901_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[31][2] ),
+    .A1(_04321_),
+    .S(_04317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04342_));
- sky130_fd_sc_hd__clkbuf_1 _17943_ (.A(_04342_),
+    .X(_04322_));
+ sky130_fd_sc_hd__clkbuf_1 _17902_ (.A(_04322_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01796_));
- sky130_fd_sc_hd__clkbuf_1 _17944_ (.A(_04273_),
+ sky130_fd_sc_hd__clkbuf_2 _17903_ (.A(_04251_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04343_));
- sky130_fd_sc_hd__mux2_1 _17945_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[31][3] ),
-    .A1(_04343_),
-    .S(_04337_),
+    .X(_04323_));
+ sky130_fd_sc_hd__mux2_1 _17904_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[31][3] ),
+    .A1(_04323_),
+    .S(_04317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04344_));
- sky130_fd_sc_hd__clkbuf_1 _17946_ (.A(_04344_),
+    .X(_04324_));
+ sky130_fd_sc_hd__clkbuf_1 _17905_ (.A(_04324_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01797_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _17947_ (.A(_04276_),
+ sky130_fd_sc_hd__buf_2 _17906_ (.A(_04254_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04345_));
- sky130_fd_sc_hd__clkbuf_4 _17948_ (.A(_04336_),
+    .X(_04325_));
+ sky130_fd_sc_hd__clkbuf_4 _17907_ (.A(_04316_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04346_));
- sky130_fd_sc_hd__mux2_1 _17949_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[31][4] ),
-    .A1(_04345_),
-    .S(_04346_),
+    .X(_04326_));
+ sky130_fd_sc_hd__mux2_1 _17908_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[31][4] ),
+    .A1(_04325_),
+    .S(_04326_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04347_));
- sky130_fd_sc_hd__clkbuf_1 _17950_ (.A(_04347_),
+    .X(_04327_));
+ sky130_fd_sc_hd__clkbuf_1 _17909_ (.A(_04327_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01798_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _17951_ (.A(_04280_),
+ sky130_fd_sc_hd__clkbuf_2 _17910_ (.A(_04258_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04348_));
- sky130_fd_sc_hd__mux2_1 _17952_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[31][5] ),
-    .A1(_04348_),
-    .S(_04346_),
+    .X(_04328_));
+ sky130_fd_sc_hd__mux2_1 _17911_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[31][5] ),
+    .A1(_04328_),
+    .S(_04326_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04349_));
- sky130_fd_sc_hd__clkbuf_1 _17953_ (.A(_04349_),
+    .X(_04329_));
+ sky130_fd_sc_hd__clkbuf_1 _17912_ (.A(_04329_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01799_));
- sky130_fd_sc_hd__clkbuf_2 _17954_ (.A(_04283_),
+ sky130_fd_sc_hd__clkbuf_2 _17913_ (.A(_04261_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04350_));
- sky130_fd_sc_hd__mux2_1 _17955_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[31][6] ),
-    .A1(_04350_),
-    .S(_04346_),
+    .X(_04330_));
+ sky130_fd_sc_hd__mux2_1 _17914_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[31][6] ),
+    .A1(_04330_),
+    .S(_04326_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04351_));
- sky130_fd_sc_hd__clkbuf_1 _17956_ (.A(_04351_),
+    .X(_04331_));
+ sky130_fd_sc_hd__clkbuf_1 _17915_ (.A(_04331_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01800_));
- sky130_fd_sc_hd__clkbuf_4 _17957_ (.A(\u_usb_host.u_core.fifo_rx_data_w[7] ),
+ sky130_fd_sc_hd__clkbuf_2 _17916_ (.A(\u_usb_host.u_core.fifo_rx_data_w[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04352_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _17958_ (.A(_04352_),
+    .X(_04332_));
+ sky130_fd_sc_hd__clkbuf_2 _17917_ (.A(_04332_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04353_));
- sky130_fd_sc_hd__mux2_1 _17959_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[31][7] ),
-    .A1(_04353_),
-    .S(_04346_),
+    .X(_04333_));
+ sky130_fd_sc_hd__mux2_1 _17918_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[31][7] ),
+    .A1(_04333_),
+    .S(_04326_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04354_));
- sky130_fd_sc_hd__clkbuf_1 _17960_ (.A(_04354_),
+    .X(_04334_));
+ sky130_fd_sc_hd__clkbuf_1 _17919_ (.A(_04334_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01801_));
- sky130_fd_sc_hd__nor2_1 _17961_ (.A(_03880_),
-    .B(_04335_),
+ sky130_fd_sc_hd__nor2_1 _17920_ (.A(_03858_),
+    .B(_04315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04355_));
- sky130_fd_sc_hd__buf_2 _17962_ (.A(_04355_),
+    .Y(_04335_));
+ sky130_fd_sc_hd__clkbuf_4 _17921_ (.A(_04335_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04356_));
- sky130_fd_sc_hd__mux2_1 _17963_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[30][0] ),
-    .A1(_04332_),
-    .S(_04356_),
+    .X(_04336_));
+ sky130_fd_sc_hd__mux2_1 _17922_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[30][0] ),
+    .A1(_04301_),
+    .S(_04336_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04357_));
- sky130_fd_sc_hd__clkbuf_1 _17964_ (.A(_04357_),
+    .X(_04337_));
+ sky130_fd_sc_hd__clkbuf_1 _17923_ (.A(_04337_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01802_));
- sky130_fd_sc_hd__mux2_1 _17965_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[30][1] ),
-    .A1(_04339_),
-    .S(_04356_),
+ sky130_fd_sc_hd__mux2_1 _17924_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[30][1] ),
+    .A1(_04319_),
+    .S(_04336_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04358_));
- sky130_fd_sc_hd__clkbuf_1 _17966_ (.A(_04358_),
+    .X(_04338_));
+ sky130_fd_sc_hd__clkbuf_1 _17925_ (.A(_04338_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01803_));
- sky130_fd_sc_hd__mux2_1 _17967_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[30][2] ),
-    .A1(_04341_),
-    .S(_04356_),
+ sky130_fd_sc_hd__mux2_1 _17926_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[30][2] ),
+    .A1(_04321_),
+    .S(_04336_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04359_));
- sky130_fd_sc_hd__clkbuf_1 _17968_ (.A(_04359_),
+    .X(_04339_));
+ sky130_fd_sc_hd__clkbuf_1 _17927_ (.A(_04339_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01804_));
- sky130_fd_sc_hd__mux2_1 _17969_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[30][3] ),
-    .A1(_04343_),
-    .S(_04356_),
+ sky130_fd_sc_hd__mux2_1 _17928_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[30][3] ),
+    .A1(_04323_),
+    .S(_04336_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04360_));
- sky130_fd_sc_hd__clkbuf_1 _17970_ (.A(_04360_),
+    .X(_04340_));
+ sky130_fd_sc_hd__clkbuf_1 _17929_ (.A(_04340_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01805_));
- sky130_fd_sc_hd__clkbuf_4 _17971_ (.A(_04355_),
+ sky130_fd_sc_hd__buf_2 _17930_ (.A(_04335_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04361_));
- sky130_fd_sc_hd__mux2_1 _17972_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[30][4] ),
-    .A1(_04345_),
-    .S(_04361_),
+    .X(_04341_));
+ sky130_fd_sc_hd__mux2_1 _17931_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[30][4] ),
+    .A1(_04325_),
+    .S(_04341_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04362_));
- sky130_fd_sc_hd__clkbuf_1 _17973_ (.A(_04362_),
+    .X(_04342_));
+ sky130_fd_sc_hd__clkbuf_1 _17932_ (.A(_04342_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01806_));
- sky130_fd_sc_hd__mux2_1 _17974_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[30][5] ),
-    .A1(_04348_),
-    .S(_04361_),
+ sky130_fd_sc_hd__mux2_1 _17933_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[30][5] ),
+    .A1(_04328_),
+    .S(_04341_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04363_));
- sky130_fd_sc_hd__clkbuf_1 _17975_ (.A(_04363_),
+    .X(_04343_));
+ sky130_fd_sc_hd__clkbuf_1 _17934_ (.A(_04343_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01807_));
- sky130_fd_sc_hd__mux2_1 _17976_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[30][6] ),
-    .A1(_04350_),
-    .S(_04361_),
+ sky130_fd_sc_hd__mux2_1 _17935_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[30][6] ),
+    .A1(_04330_),
+    .S(_04341_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04364_));
- sky130_fd_sc_hd__clkbuf_1 _17977_ (.A(_04364_),
+    .X(_04344_));
+ sky130_fd_sc_hd__clkbuf_1 _17936_ (.A(_04344_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01808_));
- sky130_fd_sc_hd__mux2_1 _17978_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[30][7] ),
-    .A1(_04353_),
-    .S(_04361_),
+ sky130_fd_sc_hd__mux2_1 _17937_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[30][7] ),
+    .A1(_04333_),
+    .S(_04341_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04365_));
- sky130_fd_sc_hd__clkbuf_1 _17979_ (.A(_04365_),
+    .X(_04345_));
+ sky130_fd_sc_hd__clkbuf_1 _17938_ (.A(_04345_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01809_));
- sky130_fd_sc_hd__nor2_1 _17980_ (.A(_03907_),
-    .B(_04335_),
+ sky130_fd_sc_hd__nor2_1 _17939_ (.A(_03885_),
+    .B(_04315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04366_));
- sky130_fd_sc_hd__buf_2 _17981_ (.A(_04366_),
+    .Y(_04346_));
+ sky130_fd_sc_hd__buf_2 _17940_ (.A(_04346_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04367_));
- sky130_fd_sc_hd__mux2_1 _17982_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[29][0] ),
-    .A1(_04332_),
-    .S(_04367_),
+    .X(_04347_));
+ sky130_fd_sc_hd__mux2_1 _17941_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[29][0] ),
+    .A1(_04301_),
+    .S(_04347_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04368_));
- sky130_fd_sc_hd__clkbuf_1 _17983_ (.A(_04368_),
+    .X(_04348_));
+ sky130_fd_sc_hd__clkbuf_1 _17942_ (.A(_04348_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01810_));
- sky130_fd_sc_hd__mux2_1 _17984_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[29][1] ),
-    .A1(_04339_),
-    .S(_04367_),
+ sky130_fd_sc_hd__mux2_1 _17943_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[29][1] ),
+    .A1(_04319_),
+    .S(_04347_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04369_));
- sky130_fd_sc_hd__clkbuf_1 _17985_ (.A(_04369_),
+    .X(_04349_));
+ sky130_fd_sc_hd__clkbuf_1 _17944_ (.A(_04349_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01811_));
- sky130_fd_sc_hd__mux2_1 _17986_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[29][2] ),
-    .A1(_04341_),
-    .S(_04367_),
+ sky130_fd_sc_hd__mux2_1 _17945_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[29][2] ),
+    .A1(_04321_),
+    .S(_04347_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04370_));
- sky130_fd_sc_hd__clkbuf_1 _17987_ (.A(_04370_),
+    .X(_04350_));
+ sky130_fd_sc_hd__clkbuf_1 _17946_ (.A(_04350_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01812_));
- sky130_fd_sc_hd__mux2_1 _17988_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[29][3] ),
-    .A1(_04343_),
-    .S(_04367_),
+ sky130_fd_sc_hd__mux2_1 _17947_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[29][3] ),
+    .A1(_04323_),
+    .S(_04347_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04371_));
- sky130_fd_sc_hd__clkbuf_1 _17989_ (.A(_04371_),
+    .X(_04351_));
+ sky130_fd_sc_hd__clkbuf_1 _17948_ (.A(_04351_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01813_));
- sky130_fd_sc_hd__clkbuf_4 _17990_ (.A(_04366_),
+ sky130_fd_sc_hd__clkbuf_2 _17949_ (.A(_04346_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04372_));
- sky130_fd_sc_hd__mux2_1 _17991_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[29][4] ),
-    .A1(_04345_),
-    .S(_04372_),
+    .X(_04352_));
+ sky130_fd_sc_hd__mux2_1 _17950_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[29][4] ),
+    .A1(_04325_),
+    .S(_04352_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04373_));
- sky130_fd_sc_hd__clkbuf_1 _17992_ (.A(_04373_),
+    .X(_04353_));
+ sky130_fd_sc_hd__clkbuf_1 _17951_ (.A(_04353_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01814_));
- sky130_fd_sc_hd__mux2_1 _17993_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[29][5] ),
-    .A1(_04348_),
-    .S(_04372_),
+ sky130_fd_sc_hd__mux2_1 _17952_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[29][5] ),
+    .A1(_04328_),
+    .S(_04352_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04374_));
- sky130_fd_sc_hd__clkbuf_1 _17994_ (.A(_04374_),
+    .X(_04354_));
+ sky130_fd_sc_hd__clkbuf_1 _17953_ (.A(_04354_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01815_));
- sky130_fd_sc_hd__mux2_1 _17995_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[29][6] ),
-    .A1(_04350_),
-    .S(_04372_),
+ sky130_fd_sc_hd__mux2_1 _17954_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[29][6] ),
+    .A1(_04330_),
+    .S(_04352_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04375_));
- sky130_fd_sc_hd__clkbuf_1 _17996_ (.A(_04375_),
+    .X(_04355_));
+ sky130_fd_sc_hd__clkbuf_1 _17955_ (.A(_04355_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01816_));
- sky130_fd_sc_hd__mux2_1 _17997_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[29][7] ),
-    .A1(_04353_),
-    .S(_04372_),
+ sky130_fd_sc_hd__mux2_1 _17956_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[29][7] ),
+    .A1(_04333_),
+    .S(_04352_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04376_));
- sky130_fd_sc_hd__clkbuf_1 _17998_ (.A(_04376_),
+    .X(_04356_));
+ sky130_fd_sc_hd__clkbuf_1 _17957_ (.A(_04356_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01817_));
- sky130_fd_sc_hd__nor2_1 _17999_ (.A(_03921_),
-    .B(_04335_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _17958_ (.A(_04181_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04377_));
- sky130_fd_sc_hd__clkbuf_2 _18000_ (.A(_04377_),
+    .X(_04357_));
+ sky130_fd_sc_hd__nor2_1 _17959_ (.A(_03899_),
+    .B(_04315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04378_));
- sky130_fd_sc_hd__mux2_1 _18001_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[28][0] ),
-    .A1(_04332_),
-    .S(_04378_),
+    .Y(_04358_));
+ sky130_fd_sc_hd__clkbuf_4 _17960_ (.A(_04358_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04379_));
- sky130_fd_sc_hd__clkbuf_1 _18002_ (.A(_04379_),
+    .X(_04359_));
+ sky130_fd_sc_hd__mux2_1 _17961_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[28][0] ),
+    .A1(_04357_),
+    .S(_04359_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04360_));
+ sky130_fd_sc_hd__clkbuf_1 _17962_ (.A(_04360_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01818_));
- sky130_fd_sc_hd__mux2_1 _18003_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[28][1] ),
-    .A1(_04339_),
-    .S(_04378_),
+ sky130_fd_sc_hd__mux2_1 _17963_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[28][1] ),
+    .A1(_04319_),
+    .S(_04359_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04380_));
- sky130_fd_sc_hd__clkbuf_1 _18004_ (.A(_04380_),
+    .X(_04361_));
+ sky130_fd_sc_hd__clkbuf_1 _17964_ (.A(_04361_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01819_));
- sky130_fd_sc_hd__mux2_1 _18005_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[28][2] ),
-    .A1(_04341_),
-    .S(_04378_),
+ sky130_fd_sc_hd__mux2_1 _17965_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[28][2] ),
+    .A1(_04321_),
+    .S(_04359_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04381_));
- sky130_fd_sc_hd__clkbuf_1 _18006_ (.A(_04381_),
+    .X(_04362_));
+ sky130_fd_sc_hd__clkbuf_1 _17966_ (.A(_04362_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01820_));
- sky130_fd_sc_hd__mux2_1 _18007_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[28][3] ),
-    .A1(_04343_),
-    .S(_04378_),
+ sky130_fd_sc_hd__mux2_1 _17967_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[28][3] ),
+    .A1(_04323_),
+    .S(_04359_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04382_));
- sky130_fd_sc_hd__clkbuf_1 _18008_ (.A(_04382_),
+    .X(_04363_));
+ sky130_fd_sc_hd__clkbuf_1 _17968_ (.A(_04363_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01821_));
- sky130_fd_sc_hd__clkbuf_4 _18009_ (.A(_04377_),
+ sky130_fd_sc_hd__buf_2 _17969_ (.A(_04358_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04383_));
- sky130_fd_sc_hd__mux2_1 _18010_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[28][4] ),
-    .A1(_04345_),
-    .S(_04383_),
+    .X(_04364_));
+ sky130_fd_sc_hd__mux2_1 _17970_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[28][4] ),
+    .A1(_04325_),
+    .S(_04364_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04384_));
- sky130_fd_sc_hd__clkbuf_1 _18011_ (.A(_04384_),
+    .X(_04365_));
+ sky130_fd_sc_hd__clkbuf_1 _17971_ (.A(_04365_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01822_));
- sky130_fd_sc_hd__mux2_1 _18012_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[28][5] ),
-    .A1(_04348_),
-    .S(_04383_),
+ sky130_fd_sc_hd__mux2_1 _17972_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[28][5] ),
+    .A1(_04328_),
+    .S(_04364_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04385_));
- sky130_fd_sc_hd__clkbuf_1 _18013_ (.A(_04385_),
+    .X(_04366_));
+ sky130_fd_sc_hd__clkbuf_1 _17973_ (.A(_04366_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01823_));
- sky130_fd_sc_hd__mux2_1 _18014_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[28][6] ),
-    .A1(_04350_),
-    .S(_04383_),
+ sky130_fd_sc_hd__mux2_1 _17974_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[28][6] ),
+    .A1(_04330_),
+    .S(_04364_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04386_));
- sky130_fd_sc_hd__clkbuf_1 _18015_ (.A(_04386_),
+    .X(_04367_));
+ sky130_fd_sc_hd__clkbuf_1 _17975_ (.A(_04367_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01824_));
- sky130_fd_sc_hd__mux2_1 _18016_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[28][7] ),
-    .A1(_04353_),
-    .S(_04383_),
+ sky130_fd_sc_hd__mux2_1 _17976_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[28][7] ),
+    .A1(_04333_),
+    .S(_04364_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04387_));
- sky130_fd_sc_hd__clkbuf_1 _18017_ (.A(_04387_),
+    .X(_04368_));
+ sky130_fd_sc_hd__clkbuf_1 _17977_ (.A(_04368_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01825_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _18018_ (.A(_04262_),
+ sky130_fd_sc_hd__clkbuf_4 _17978_ (.A(_04314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04388_));
- sky130_fd_sc_hd__clkbuf_1 _18019_ (.A(_04334_),
+    .X(_04369_));
+ sky130_fd_sc_hd__nor2_4 _17979_ (.A(_03915_),
+    .B(_04369_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04389_));
- sky130_fd_sc_hd__nor2_1 _18020_ (.A(_03936_),
-    .B(_04389_),
+    .Y(_04370_));
+ sky130_fd_sc_hd__clkbuf_2 _17980_ (.A(_04370_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04390_));
- sky130_fd_sc_hd__buf_2 _18021_ (.A(_04390_),
+    .X(_04371_));
+ sky130_fd_sc_hd__mux2_1 _17981_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[27][0] ),
+    .A1(_04357_),
+    .S(_04371_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04391_));
- sky130_fd_sc_hd__mux2_1 _18022_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[27][0] ),
-    .A1(_04388_),
-    .S(_04391_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04392_));
- sky130_fd_sc_hd__clkbuf_1 _18023_ (.A(_04392_),
+    .X(_04372_));
+ sky130_fd_sc_hd__clkbuf_1 _17982_ (.A(_04372_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01826_));
- sky130_fd_sc_hd__clkbuf_1 _18024_ (.A(_04267_),
+ sky130_fd_sc_hd__clkbuf_1 _17983_ (.A(_04245_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04393_));
- sky130_fd_sc_hd__mux2_1 _18025_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[27][1] ),
-    .A1(_04393_),
-    .S(_04391_),
+    .X(_04373_));
+ sky130_fd_sc_hd__mux2_1 _17984_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[27][1] ),
+    .A1(_04373_),
+    .S(_04371_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04394_));
- sky130_fd_sc_hd__clkbuf_1 _18026_ (.A(_04394_),
+    .X(_04374_));
+ sky130_fd_sc_hd__clkbuf_1 _17985_ (.A(_04374_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01827_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _18027_ (.A(_04270_),
+ sky130_fd_sc_hd__clkbuf_1 _17986_ (.A(_04248_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04395_));
- sky130_fd_sc_hd__mux2_1 _18028_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[27][2] ),
-    .A1(_04395_),
-    .S(_04391_),
+    .X(_04375_));
+ sky130_fd_sc_hd__mux2_1 _17987_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[27][2] ),
+    .A1(_04375_),
+    .S(_04371_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04396_));
- sky130_fd_sc_hd__clkbuf_1 _18029_ (.A(_04396_),
+    .X(_04376_));
+ sky130_fd_sc_hd__clkbuf_1 _17988_ (.A(_04376_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01828_));
- sky130_fd_sc_hd__clkbuf_1 _18030_ (.A(_04273_),
+ sky130_fd_sc_hd__clkbuf_1 _17989_ (.A(_04251_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04397_));
- sky130_fd_sc_hd__mux2_1 _18031_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[27][3] ),
-    .A1(_04397_),
-    .S(_04391_),
+    .X(_04377_));
+ sky130_fd_sc_hd__mux2_1 _17990_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[27][3] ),
+    .A1(_04377_),
+    .S(_04371_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04398_));
- sky130_fd_sc_hd__clkbuf_1 _18032_ (.A(_04398_),
+    .X(_04378_));
+ sky130_fd_sc_hd__clkbuf_1 _17991_ (.A(_04378_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01829_));
- sky130_fd_sc_hd__clkbuf_1 _18033_ (.A(_04276_),
+ sky130_fd_sc_hd__clkbuf_1 _17992_ (.A(_04254_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04399_));
- sky130_fd_sc_hd__clkbuf_2 _18034_ (.A(_04390_),
+    .X(_04379_));
+ sky130_fd_sc_hd__buf_2 _17993_ (.A(_04370_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04380_));
+ sky130_fd_sc_hd__mux2_1 _17994_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[27][4] ),
+    .A1(_04379_),
+    .S(_04380_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04381_));
+ sky130_fd_sc_hd__clkbuf_1 _17995_ (.A(_04381_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01830_));
+ sky130_fd_sc_hd__clkbuf_1 _17996_ (.A(_04258_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04382_));
+ sky130_fd_sc_hd__mux2_1 _17997_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[27][5] ),
+    .A1(_04382_),
+    .S(_04380_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04383_));
+ sky130_fd_sc_hd__clkbuf_1 _17998_ (.A(_04383_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01831_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _17999_ (.A(_04261_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04384_));
+ sky130_fd_sc_hd__mux2_1 _18000_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[27][6] ),
+    .A1(_04384_),
+    .S(_04380_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04385_));
+ sky130_fd_sc_hd__clkbuf_1 _18001_ (.A(_04385_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01832_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _18002_ (.A(_04332_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04386_));
+ sky130_fd_sc_hd__mux2_1 _18003_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[27][7] ),
+    .A1(_04386_),
+    .S(_04380_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04387_));
+ sky130_fd_sc_hd__clkbuf_1 _18004_ (.A(_04387_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01833_));
+ sky130_fd_sc_hd__nor2_4 _18005_ (.A(_03927_),
+    .B(_04369_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_04388_));
+ sky130_fd_sc_hd__clkbuf_2 _18006_ (.A(_04388_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04389_));
+ sky130_fd_sc_hd__mux2_1 _18007_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[26][0] ),
+    .A1(_04357_),
+    .S(_04389_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04390_));
+ sky130_fd_sc_hd__clkbuf_1 _18008_ (.A(_04390_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01834_));
+ sky130_fd_sc_hd__mux2_1 _18009_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[26][1] ),
+    .A1(_04373_),
+    .S(_04389_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04391_));
+ sky130_fd_sc_hd__clkbuf_1 _18010_ (.A(_04391_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01835_));
+ sky130_fd_sc_hd__mux2_1 _18011_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[26][2] ),
+    .A1(_04375_),
+    .S(_04389_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04392_));
+ sky130_fd_sc_hd__clkbuf_1 _18012_ (.A(_04392_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01836_));
+ sky130_fd_sc_hd__mux2_1 _18013_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[26][3] ),
+    .A1(_04377_),
+    .S(_04389_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04393_));
+ sky130_fd_sc_hd__clkbuf_1 _18014_ (.A(_04393_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01837_));
+ sky130_fd_sc_hd__buf_2 _18015_ (.A(_04388_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04394_));
+ sky130_fd_sc_hd__mux2_1 _18016_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[26][4] ),
+    .A1(_04379_),
+    .S(_04394_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04395_));
+ sky130_fd_sc_hd__clkbuf_1 _18017_ (.A(_04395_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01838_));
+ sky130_fd_sc_hd__mux2_1 _18018_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[26][5] ),
+    .A1(_04382_),
+    .S(_04394_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04396_));
+ sky130_fd_sc_hd__clkbuf_1 _18019_ (.A(_04396_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01839_));
+ sky130_fd_sc_hd__mux2_1 _18020_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[26][6] ),
+    .A1(_04384_),
+    .S(_04394_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04397_));
+ sky130_fd_sc_hd__clkbuf_1 _18021_ (.A(_04397_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01840_));
+ sky130_fd_sc_hd__mux2_1 _18022_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[26][7] ),
+    .A1(_04386_),
+    .S(_04394_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04398_));
+ sky130_fd_sc_hd__clkbuf_1 _18023_ (.A(_04398_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01841_));
+ sky130_fd_sc_hd__nor2_4 _18024_ (.A(_03947_),
+    .B(_04369_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_04399_));
+ sky130_fd_sc_hd__clkbuf_2 _18025_ (.A(_04399_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_04400_));
- sky130_fd_sc_hd__mux2_1 _18035_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[27][4] ),
-    .A1(_04399_),
+ sky130_fd_sc_hd__mux2_1 _18026_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[25][0] ),
+    .A1(_04357_),
     .S(_04400_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_04401_));
- sky130_fd_sc_hd__clkbuf_1 _18036_ (.A(_04401_),
+ sky130_fd_sc_hd__clkbuf_1 _18027_ (.A(_04401_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_01830_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _18037_ (.A(_04280_),
+    .X(_01842_));
+ sky130_fd_sc_hd__mux2_1 _18028_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[25][1] ),
+    .A1(_04373_),
+    .S(_04400_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_04402_));
- sky130_fd_sc_hd__mux2_1 _18038_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[27][5] ),
-    .A1(_04402_),
+ sky130_fd_sc_hd__clkbuf_1 _18029_ (.A(_04402_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01843_));
+ sky130_fd_sc_hd__mux2_1 _18030_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[25][2] ),
+    .A1(_04375_),
     .S(_04400_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_04403_));
- sky130_fd_sc_hd__clkbuf_1 _18039_ (.A(_04403_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01831_));
- sky130_fd_sc_hd__clkbuf_2 _18040_ (.A(_04283_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04404_));
- sky130_fd_sc_hd__mux2_1 _18041_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[27][6] ),
-    .A1(_04404_),
-    .S(_04400_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04405_));
- sky130_fd_sc_hd__clkbuf_1 _18042_ (.A(_04405_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01832_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _18043_ (.A(_04352_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04406_));
- sky130_fd_sc_hd__mux2_1 _18044_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[27][7] ),
-    .A1(_04406_),
-    .S(_04400_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04407_));
- sky130_fd_sc_hd__clkbuf_1 _18045_ (.A(_04407_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01833_));
- sky130_fd_sc_hd__nor2_1 _18046_ (.A(_03949_),
-    .B(_04389_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_04408_));
- sky130_fd_sc_hd__buf_2 _18047_ (.A(_04408_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04409_));
- sky130_fd_sc_hd__mux2_1 _18048_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[26][0] ),
-    .A1(_04388_),
-    .S(_04409_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04410_));
- sky130_fd_sc_hd__clkbuf_1 _18049_ (.A(_04410_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01834_));
- sky130_fd_sc_hd__mux2_1 _18050_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[26][1] ),
-    .A1(_04393_),
-    .S(_04409_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04411_));
- sky130_fd_sc_hd__clkbuf_1 _18051_ (.A(_04411_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01835_));
- sky130_fd_sc_hd__mux2_1 _18052_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[26][2] ),
-    .A1(_04395_),
-    .S(_04409_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04412_));
- sky130_fd_sc_hd__clkbuf_1 _18053_ (.A(_04412_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01836_));
- sky130_fd_sc_hd__mux2_1 _18054_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[26][3] ),
-    .A1(_04397_),
-    .S(_04409_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04413_));
- sky130_fd_sc_hd__clkbuf_1 _18055_ (.A(_04413_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01837_));
- sky130_fd_sc_hd__clkbuf_2 _18056_ (.A(_04408_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04414_));
- sky130_fd_sc_hd__mux2_1 _18057_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[26][4] ),
-    .A1(_04399_),
-    .S(_04414_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04415_));
- sky130_fd_sc_hd__clkbuf_1 _18058_ (.A(_04415_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01838_));
- sky130_fd_sc_hd__mux2_1 _18059_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[26][5] ),
-    .A1(_04402_),
-    .S(_04414_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04416_));
- sky130_fd_sc_hd__clkbuf_1 _18060_ (.A(_04416_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01839_));
- sky130_fd_sc_hd__mux2_1 _18061_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[26][6] ),
-    .A1(_04404_),
-    .S(_04414_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04417_));
- sky130_fd_sc_hd__clkbuf_1 _18062_ (.A(_04417_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01840_));
- sky130_fd_sc_hd__mux2_1 _18063_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[26][7] ),
-    .A1(_04406_),
-    .S(_04414_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04418_));
- sky130_fd_sc_hd__clkbuf_1 _18064_ (.A(_04418_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01841_));
- sky130_fd_sc_hd__nor2_1 _18065_ (.A(_03969_),
-    .B(_04389_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_04419_));
- sky130_fd_sc_hd__buf_2 _18066_ (.A(_04419_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04420_));
- sky130_fd_sc_hd__mux2_1 _18067_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[25][0] ),
-    .A1(_04388_),
-    .S(_04420_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04421_));
- sky130_fd_sc_hd__clkbuf_1 _18068_ (.A(_04421_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01842_));
- sky130_fd_sc_hd__mux2_1 _18069_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[25][1] ),
-    .A1(_04393_),
-    .S(_04420_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04422_));
- sky130_fd_sc_hd__clkbuf_1 _18070_ (.A(_04422_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01843_));
- sky130_fd_sc_hd__mux2_1 _18071_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[25][2] ),
-    .A1(_04395_),
-    .S(_04420_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04423_));
- sky130_fd_sc_hd__clkbuf_1 _18072_ (.A(_04423_),
+ sky130_fd_sc_hd__clkbuf_1 _18031_ (.A(_04403_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01844_));
- sky130_fd_sc_hd__mux2_1 _18073_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[25][3] ),
-    .A1(_04397_),
-    .S(_04420_),
+ sky130_fd_sc_hd__mux2_1 _18032_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[25][3] ),
+    .A1(_04377_),
+    .S(_04400_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04424_));
- sky130_fd_sc_hd__clkbuf_1 _18074_ (.A(_04424_),
+    .X(_04404_));
+ sky130_fd_sc_hd__clkbuf_1 _18033_ (.A(_04404_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01845_));
- sky130_fd_sc_hd__clkbuf_2 _18075_ (.A(_04419_),
+ sky130_fd_sc_hd__clkbuf_2 _18034_ (.A(_04399_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04425_));
- sky130_fd_sc_hd__mux2_1 _18076_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[25][4] ),
-    .A1(_04399_),
-    .S(_04425_),
+    .X(_04405_));
+ sky130_fd_sc_hd__mux2_1 _18035_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[25][4] ),
+    .A1(_04379_),
+    .S(_04405_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04426_));
- sky130_fd_sc_hd__clkbuf_1 _18077_ (.A(_04426_),
+    .X(_04406_));
+ sky130_fd_sc_hd__clkbuf_1 _18036_ (.A(_04406_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01846_));
- sky130_fd_sc_hd__mux2_1 _18078_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[25][5] ),
-    .A1(_04402_),
-    .S(_04425_),
+ sky130_fd_sc_hd__mux2_1 _18037_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[25][5] ),
+    .A1(_04382_),
+    .S(_04405_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04427_));
- sky130_fd_sc_hd__clkbuf_1 _18079_ (.A(_04427_),
+    .X(_04407_));
+ sky130_fd_sc_hd__clkbuf_1 _18038_ (.A(_04407_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01847_));
- sky130_fd_sc_hd__mux2_1 _18080_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[25][6] ),
-    .A1(_04404_),
-    .S(_04425_),
+ sky130_fd_sc_hd__mux2_1 _18039_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[25][6] ),
+    .A1(_04384_),
+    .S(_04405_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04428_));
- sky130_fd_sc_hd__clkbuf_1 _18081_ (.A(_04428_),
+    .X(_04408_));
+ sky130_fd_sc_hd__clkbuf_1 _18040_ (.A(_04408_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01848_));
- sky130_fd_sc_hd__mux2_1 _18082_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[25][7] ),
-    .A1(_04406_),
-    .S(_04425_),
+ sky130_fd_sc_hd__mux2_1 _18041_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[25][7] ),
+    .A1(_04386_),
+    .S(_04405_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04429_));
- sky130_fd_sc_hd__clkbuf_1 _18083_ (.A(_04429_),
+    .X(_04409_));
+ sky130_fd_sc_hd__clkbuf_1 _18042_ (.A(_04409_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01849_));
- sky130_fd_sc_hd__clkbuf_1 _18084_ (.A(_04213_),
+ sky130_fd_sc_hd__clkbuf_2 _18043_ (.A(_04314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04430_));
- sky130_fd_sc_hd__clkbuf_1 _18085_ (.A(_04334_),
+    .X(_04410_));
+ sky130_fd_sc_hd__or2_1 _18044_ (.A(_03959_),
+    .B(_04410_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04431_));
- sky130_fd_sc_hd__or2_1 _18086_ (.A(_03981_),
-    .B(_04431_),
+    .X(_04411_));
+ sky130_fd_sc_hd__buf_2 _18045_ (.A(_04411_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04432_));
- sky130_fd_sc_hd__buf_2 _18087_ (.A(_04432_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04433_));
- sky130_fd_sc_hd__mux2_1 _18088_ (.A0(_04430_),
+    .X(_04412_));
+ sky130_fd_sc_hd__mux2_1 _18046_ (.A0(_04267_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[24][0] ),
-    .S(_04433_),
+    .S(_04412_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04434_));
- sky130_fd_sc_hd__clkbuf_1 _18089_ (.A(_04434_),
+    .X(_04413_));
+ sky130_fd_sc_hd__clkbuf_1 _18047_ (.A(_04413_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01850_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _18090_ (.A(_04219_),
+ sky130_fd_sc_hd__clkbuf_2 _18048_ (.A(_04199_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04435_));
- sky130_fd_sc_hd__mux2_1 _18091_ (.A0(_04435_),
+    .X(_04414_));
+ sky130_fd_sc_hd__mux2_1 _18049_ (.A0(_04414_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[24][1] ),
-    .S(_04433_),
+    .S(_04412_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04436_));
- sky130_fd_sc_hd__clkbuf_1 _18092_ (.A(_04436_),
+    .X(_04415_));
+ sky130_fd_sc_hd__clkbuf_1 _18050_ (.A(_04415_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01851_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _18093_ (.A(_04222_),
+ sky130_fd_sc_hd__buf_2 _18051_ (.A(_04202_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04437_));
- sky130_fd_sc_hd__mux2_1 _18094_ (.A0(_04437_),
+    .X(_04416_));
+ sky130_fd_sc_hd__mux2_1 _18052_ (.A0(_04416_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[24][2] ),
-    .S(_04433_),
+    .S(_04412_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04438_));
- sky130_fd_sc_hd__clkbuf_1 _18095_ (.A(_04438_),
+    .X(_04417_));
+ sky130_fd_sc_hd__clkbuf_1 _18053_ (.A(_04417_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01852_));
- sky130_fd_sc_hd__clkbuf_1 _18096_ (.A(_04225_),
+ sky130_fd_sc_hd__clkbuf_2 _18054_ (.A(_04205_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04439_));
- sky130_fd_sc_hd__mux2_1 _18097_ (.A0(_04439_),
+    .X(_04418_));
+ sky130_fd_sc_hd__mux2_1 _18055_ (.A0(_04418_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[24][3] ),
-    .S(_04433_),
+    .S(_04412_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04440_));
- sky130_fd_sc_hd__clkbuf_1 _18098_ (.A(_04440_),
+    .X(_04419_));
+ sky130_fd_sc_hd__clkbuf_1 _18056_ (.A(_04419_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01853_));
- sky130_fd_sc_hd__clkbuf_1 _18099_ (.A(_04228_),
+ sky130_fd_sc_hd__clkbuf_2 _18057_ (.A(_04208_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04441_));
- sky130_fd_sc_hd__buf_2 _18100_ (.A(_04432_),
+    .X(_04420_));
+ sky130_fd_sc_hd__clkbuf_2 _18058_ (.A(_04411_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04442_));
- sky130_fd_sc_hd__mux2_1 _18101_ (.A0(_04441_),
+    .X(_04421_));
+ sky130_fd_sc_hd__mux2_1 _18059_ (.A0(_04420_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[24][4] ),
-    .S(_04442_),
+    .S(_04421_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04443_));
- sky130_fd_sc_hd__clkbuf_1 _18102_ (.A(_04443_),
+    .X(_04422_));
+ sky130_fd_sc_hd__clkbuf_1 _18060_ (.A(_04422_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01854_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _18103_ (.A(_04232_),
+ sky130_fd_sc_hd__clkbuf_4 _18061_ (.A(_04212_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04444_));
- sky130_fd_sc_hd__mux2_1 _18104_ (.A0(_04444_),
+    .X(_04423_));
+ sky130_fd_sc_hd__mux2_1 _18062_ (.A0(_04423_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[24][5] ),
-    .S(_04442_),
+    .S(_04421_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04445_));
- sky130_fd_sc_hd__clkbuf_1 _18105_ (.A(_04445_),
+    .X(_04424_));
+ sky130_fd_sc_hd__clkbuf_1 _18063_ (.A(_04424_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01855_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _18106_ (.A(_04235_),
+ sky130_fd_sc_hd__clkbuf_4 _18064_ (.A(_04215_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04446_));
- sky130_fd_sc_hd__mux2_1 _18107_ (.A0(_04446_),
+    .X(_04425_));
+ sky130_fd_sc_hd__mux2_1 _18065_ (.A0(_04425_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[24][6] ),
-    .S(_04442_),
+    .S(_04421_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04447_));
- sky130_fd_sc_hd__clkbuf_1 _18108_ (.A(_04447_),
+    .X(_04426_));
+ sky130_fd_sc_hd__clkbuf_1 _18066_ (.A(_04426_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01856_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _18109_ (.A(_03903_),
+ sky130_fd_sc_hd__buf_2 _18067_ (.A(_03881_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04448_));
- sky130_fd_sc_hd__mux2_1 _18110_ (.A0(_04448_),
+    .X(_04427_));
+ sky130_fd_sc_hd__mux2_1 _18068_ (.A0(_04427_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[24][7] ),
-    .S(_04442_),
+    .S(_04421_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04449_));
- sky130_fd_sc_hd__clkbuf_1 _18111_ (.A(_04449_),
+    .X(_04428_));
+ sky130_fd_sc_hd__clkbuf_1 _18069_ (.A(_04428_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01857_));
- sky130_fd_sc_hd__nor2_1 _18112_ (.A(_03996_),
-    .B(_04389_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _18070_ (.A(_04181_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04450_));
- sky130_fd_sc_hd__buf_2 _18113_ (.A(_04450_),
+    .X(_04429_));
+ sky130_fd_sc_hd__nor2_4 _18071_ (.A(_03975_),
+    .B(_04369_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04451_));
- sky130_fd_sc_hd__mux2_1 _18114_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[23][0] ),
-    .A1(_04388_),
-    .S(_04451_),
+    .Y(_04430_));
+ sky130_fd_sc_hd__clkbuf_2 _18072_ (.A(_04430_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04452_));
- sky130_fd_sc_hd__clkbuf_1 _18115_ (.A(_04452_),
+    .X(_04431_));
+ sky130_fd_sc_hd__mux2_1 _18073_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[23][0] ),
+    .A1(_04429_),
+    .S(_04431_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04432_));
+ sky130_fd_sc_hd__clkbuf_1 _18074_ (.A(_04432_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01858_));
- sky130_fd_sc_hd__mux2_1 _18116_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[23][1] ),
-    .A1(_04393_),
-    .S(_04451_),
+ sky130_fd_sc_hd__mux2_1 _18075_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[23][1] ),
+    .A1(_04373_),
+    .S(_04431_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04453_));
- sky130_fd_sc_hd__clkbuf_1 _18117_ (.A(_04453_),
+    .X(_04433_));
+ sky130_fd_sc_hd__clkbuf_1 _18076_ (.A(_04433_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01859_));
- sky130_fd_sc_hd__mux2_1 _18118_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[23][2] ),
-    .A1(_04395_),
-    .S(_04451_),
+ sky130_fd_sc_hd__mux2_1 _18077_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[23][2] ),
+    .A1(_04375_),
+    .S(_04431_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04454_));
- sky130_fd_sc_hd__clkbuf_1 _18119_ (.A(_04454_),
+    .X(_04434_));
+ sky130_fd_sc_hd__clkbuf_1 _18078_ (.A(_04434_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01860_));
- sky130_fd_sc_hd__mux2_1 _18120_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[23][3] ),
-    .A1(_04397_),
-    .S(_04451_),
+ sky130_fd_sc_hd__mux2_1 _18079_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[23][3] ),
+    .A1(_04377_),
+    .S(_04431_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04455_));
- sky130_fd_sc_hd__clkbuf_1 _18121_ (.A(_04455_),
+    .X(_04435_));
+ sky130_fd_sc_hd__clkbuf_1 _18080_ (.A(_04435_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01861_));
- sky130_fd_sc_hd__clkbuf_2 _18122_ (.A(_04450_),
+ sky130_fd_sc_hd__clkbuf_2 _18081_ (.A(_04430_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04456_));
- sky130_fd_sc_hd__mux2_1 _18123_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[23][4] ),
-    .A1(_04399_),
-    .S(_04456_),
+    .X(_04436_));
+ sky130_fd_sc_hd__mux2_1 _18082_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[23][4] ),
+    .A1(_04379_),
+    .S(_04436_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04457_));
- sky130_fd_sc_hd__clkbuf_1 _18124_ (.A(_04457_),
+    .X(_04437_));
+ sky130_fd_sc_hd__clkbuf_1 _18083_ (.A(_04437_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01862_));
- sky130_fd_sc_hd__mux2_1 _18125_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[23][5] ),
-    .A1(_04402_),
-    .S(_04456_),
+ sky130_fd_sc_hd__mux2_1 _18084_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[23][5] ),
+    .A1(_04382_),
+    .S(_04436_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04458_));
- sky130_fd_sc_hd__clkbuf_1 _18126_ (.A(_04458_),
+    .X(_04438_));
+ sky130_fd_sc_hd__clkbuf_1 _18085_ (.A(_04438_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01863_));
- sky130_fd_sc_hd__mux2_1 _18127_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[23][6] ),
-    .A1(_04404_),
-    .S(_04456_),
+ sky130_fd_sc_hd__mux2_1 _18086_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[23][6] ),
+    .A1(_04384_),
+    .S(_04436_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04459_));
- sky130_fd_sc_hd__clkbuf_1 _18128_ (.A(_04459_),
+    .X(_04439_));
+ sky130_fd_sc_hd__clkbuf_1 _18087_ (.A(_04439_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01864_));
- sky130_fd_sc_hd__mux2_1 _18129_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[23][7] ),
-    .A1(_04406_),
-    .S(_04456_),
+ sky130_fd_sc_hd__mux2_1 _18088_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[23][7] ),
+    .A1(_04386_),
+    .S(_04436_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04460_));
- sky130_fd_sc_hd__clkbuf_1 _18130_ (.A(_04460_),
+    .X(_04440_));
+ sky130_fd_sc_hd__clkbuf_1 _18089_ (.A(_04440_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01865_));
- sky130_fd_sc_hd__clkbuf_2 _18131_ (.A(_04262_),
+ sky130_fd_sc_hd__clkbuf_1 _18090_ (.A(_04314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04461_));
- sky130_fd_sc_hd__clkbuf_1 _18132_ (.A(_04334_),
+    .X(_04441_));
+ sky130_fd_sc_hd__nor2_1 _18091_ (.A(_03988_),
+    .B(_04441_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04462_));
- sky130_fd_sc_hd__nor2_1 _18133_ (.A(_04010_),
-    .B(_04462_),
+    .Y(_04442_));
+ sky130_fd_sc_hd__clkbuf_4 _18092_ (.A(_04442_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04463_));
- sky130_fd_sc_hd__clkbuf_4 _18134_ (.A(_04463_),
+    .X(_04443_));
+ sky130_fd_sc_hd__mux2_1 _18093_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[22][0] ),
+    .A1(_04429_),
+    .S(_04443_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04464_));
- sky130_fd_sc_hd__mux2_1 _18135_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[22][0] ),
-    .A1(_04461_),
-    .S(_04464_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04465_));
- sky130_fd_sc_hd__clkbuf_1 _18136_ (.A(_04465_),
+    .X(_04444_));
+ sky130_fd_sc_hd__clkbuf_1 _18094_ (.A(_04444_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01866_));
- sky130_fd_sc_hd__clkbuf_2 _18137_ (.A(_04267_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _18095_ (.A(_04245_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04466_));
- sky130_fd_sc_hd__mux2_1 _18138_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[22][1] ),
-    .A1(_04466_),
-    .S(_04464_),
+    .X(_04445_));
+ sky130_fd_sc_hd__mux2_1 _18096_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[22][1] ),
+    .A1(_04445_),
+    .S(_04443_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04467_));
- sky130_fd_sc_hd__clkbuf_1 _18139_ (.A(_04467_),
+    .X(_04446_));
+ sky130_fd_sc_hd__clkbuf_1 _18097_ (.A(_04446_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01867_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _18140_ (.A(_04270_),
+ sky130_fd_sc_hd__clkbuf_1 _18098_ (.A(_04248_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04468_));
- sky130_fd_sc_hd__mux2_1 _18141_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[22][2] ),
-    .A1(_04468_),
-    .S(_04464_),
+    .X(_04447_));
+ sky130_fd_sc_hd__mux2_1 _18099_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[22][2] ),
+    .A1(_04447_),
+    .S(_04443_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04469_));
- sky130_fd_sc_hd__clkbuf_1 _18142_ (.A(_04469_),
+    .X(_04448_));
+ sky130_fd_sc_hd__clkbuf_1 _18100_ (.A(_04448_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01868_));
- sky130_fd_sc_hd__clkbuf_1 _18143_ (.A(_04273_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _18101_ (.A(_04251_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04470_));
- sky130_fd_sc_hd__mux2_1 _18144_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[22][3] ),
-    .A1(_04470_),
-    .S(_04464_),
+    .X(_04449_));
+ sky130_fd_sc_hd__mux2_1 _18102_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[22][3] ),
+    .A1(_04449_),
+    .S(_04443_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04471_));
- sky130_fd_sc_hd__clkbuf_1 _18145_ (.A(_04471_),
+    .X(_04450_));
+ sky130_fd_sc_hd__clkbuf_1 _18103_ (.A(_04450_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01869_));
- sky130_fd_sc_hd__clkbuf_1 _18146_ (.A(_04276_),
+ sky130_fd_sc_hd__clkbuf_1 _18104_ (.A(_04254_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04472_));
- sky130_fd_sc_hd__clkbuf_4 _18147_ (.A(_04463_),
+    .X(_04451_));
+ sky130_fd_sc_hd__clkbuf_2 _18105_ (.A(_04442_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04473_));
- sky130_fd_sc_hd__mux2_1 _18148_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[22][4] ),
-    .A1(_04472_),
-    .S(_04473_),
+    .X(_04452_));
+ sky130_fd_sc_hd__mux2_1 _18106_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[22][4] ),
+    .A1(_04451_),
+    .S(_04452_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04474_));
- sky130_fd_sc_hd__clkbuf_1 _18149_ (.A(_04474_),
+    .X(_04453_));
+ sky130_fd_sc_hd__clkbuf_1 _18107_ (.A(_04453_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01870_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _18150_ (.A(_04280_),
+ sky130_fd_sc_hd__buf_2 _18108_ (.A(_04258_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04475_));
- sky130_fd_sc_hd__mux2_1 _18151_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[22][5] ),
-    .A1(_04475_),
-    .S(_04473_),
+    .X(_04454_));
+ sky130_fd_sc_hd__mux2_1 _18109_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[22][5] ),
+    .A1(_04454_),
+    .S(_04452_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04476_));
- sky130_fd_sc_hd__clkbuf_1 _18152_ (.A(_04476_),
+    .X(_04455_));
+ sky130_fd_sc_hd__clkbuf_1 _18110_ (.A(_04455_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01871_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _18153_ (.A(_04283_),
+ sky130_fd_sc_hd__clkbuf_2 _18111_ (.A(_04261_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04477_));
- sky130_fd_sc_hd__mux2_1 _18154_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[22][6] ),
-    .A1(_04477_),
-    .S(_04473_),
+    .X(_04456_));
+ sky130_fd_sc_hd__mux2_1 _18112_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[22][6] ),
+    .A1(_04456_),
+    .S(_04452_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04478_));
- sky130_fd_sc_hd__clkbuf_1 _18155_ (.A(_04478_),
+    .X(_04457_));
+ sky130_fd_sc_hd__clkbuf_1 _18113_ (.A(_04457_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01872_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _18156_ (.A(_04352_),
+ sky130_fd_sc_hd__clkbuf_2 _18114_ (.A(_04332_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04479_));
- sky130_fd_sc_hd__mux2_1 _18157_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[22][7] ),
-    .A1(_04479_),
-    .S(_04473_),
+    .X(_04458_));
+ sky130_fd_sc_hd__mux2_1 _18115_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[22][7] ),
+    .A1(_04458_),
+    .S(_04452_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04480_));
- sky130_fd_sc_hd__clkbuf_1 _18158_ (.A(_04480_),
+    .X(_04459_));
+ sky130_fd_sc_hd__clkbuf_1 _18116_ (.A(_04459_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01873_));
- sky130_fd_sc_hd__nor2_1 _18159_ (.A(_04029_),
-    .B(_04462_),
+ sky130_fd_sc_hd__nor2_1 _18117_ (.A(_04007_),
+    .B(_04441_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04481_));
- sky130_fd_sc_hd__buf_2 _18160_ (.A(_04481_),
+    .Y(_04460_));
+ sky130_fd_sc_hd__clkbuf_4 _18118_ (.A(_04460_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04482_));
- sky130_fd_sc_hd__mux2_1 _18161_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[21][0] ),
-    .A1(_04461_),
-    .S(_04482_),
+    .X(_04461_));
+ sky130_fd_sc_hd__mux2_1 _18119_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[21][0] ),
+    .A1(_04429_),
+    .S(_04461_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04483_));
- sky130_fd_sc_hd__clkbuf_1 _18162_ (.A(_04483_),
+    .X(_04462_));
+ sky130_fd_sc_hd__clkbuf_1 _18120_ (.A(_04462_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01874_));
- sky130_fd_sc_hd__mux2_1 _18163_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[21][1] ),
-    .A1(_04466_),
-    .S(_04482_),
+ sky130_fd_sc_hd__mux2_1 _18121_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[21][1] ),
+    .A1(_04445_),
+    .S(_04461_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04484_));
- sky130_fd_sc_hd__clkbuf_1 _18164_ (.A(_04484_),
+    .X(_04463_));
+ sky130_fd_sc_hd__clkbuf_1 _18122_ (.A(_04463_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01875_));
- sky130_fd_sc_hd__mux2_1 _18165_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[21][2] ),
-    .A1(_04468_),
-    .S(_04482_),
+ sky130_fd_sc_hd__mux2_1 _18123_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[21][2] ),
+    .A1(_04447_),
+    .S(_04461_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04485_));
- sky130_fd_sc_hd__clkbuf_1 _18166_ (.A(_04485_),
+    .X(_04464_));
+ sky130_fd_sc_hd__clkbuf_1 _18124_ (.A(_04464_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01876_));
- sky130_fd_sc_hd__mux2_1 _18167_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[21][3] ),
-    .A1(_04470_),
-    .S(_04482_),
+ sky130_fd_sc_hd__mux2_1 _18125_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[21][3] ),
+    .A1(_04449_),
+    .S(_04461_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04486_));
- sky130_fd_sc_hd__clkbuf_1 _18168_ (.A(_04486_),
+    .X(_04465_));
+ sky130_fd_sc_hd__clkbuf_1 _18126_ (.A(_04465_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01877_));
- sky130_fd_sc_hd__clkbuf_4 _18169_ (.A(_04481_),
+ sky130_fd_sc_hd__buf_2 _18127_ (.A(_04460_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04487_));
- sky130_fd_sc_hd__mux2_1 _18170_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[21][4] ),
-    .A1(_04472_),
-    .S(_04487_),
+    .X(_04466_));
+ sky130_fd_sc_hd__mux2_1 _18128_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[21][4] ),
+    .A1(_04451_),
+    .S(_04466_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04488_));
- sky130_fd_sc_hd__clkbuf_1 _18171_ (.A(_04488_),
+    .X(_04467_));
+ sky130_fd_sc_hd__clkbuf_1 _18129_ (.A(_04467_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01878_));
- sky130_fd_sc_hd__mux2_1 _18172_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[21][5] ),
-    .A1(_04475_),
-    .S(_04487_),
+ sky130_fd_sc_hd__mux2_1 _18130_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[21][5] ),
+    .A1(_04454_),
+    .S(_04466_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04489_));
- sky130_fd_sc_hd__clkbuf_1 _18173_ (.A(_04489_),
+    .X(_04468_));
+ sky130_fd_sc_hd__clkbuf_1 _18131_ (.A(_04468_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01879_));
- sky130_fd_sc_hd__mux2_1 _18174_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[21][6] ),
-    .A1(_04477_),
-    .S(_04487_),
+ sky130_fd_sc_hd__mux2_1 _18132_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[21][6] ),
+    .A1(_04456_),
+    .S(_04466_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04490_));
- sky130_fd_sc_hd__clkbuf_1 _18175_ (.A(_04490_),
+    .X(_04469_));
+ sky130_fd_sc_hd__clkbuf_1 _18133_ (.A(_04469_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01880_));
- sky130_fd_sc_hd__mux2_1 _18176_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[21][7] ),
-    .A1(_04479_),
-    .S(_04487_),
+ sky130_fd_sc_hd__mux2_1 _18134_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[21][7] ),
+    .A1(_04458_),
+    .S(_04466_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04491_));
- sky130_fd_sc_hd__clkbuf_1 _18177_ (.A(_04491_),
+    .X(_04470_));
+ sky130_fd_sc_hd__clkbuf_1 _18135_ (.A(_04470_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01881_));
- sky130_fd_sc_hd__or2_1 _18178_ (.A(_04041_),
-    .B(_04431_),
+ sky130_fd_sc_hd__or2_1 _18136_ (.A(_04019_),
+    .B(_04410_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04492_));
- sky130_fd_sc_hd__buf_2 _18179_ (.A(_04492_),
+    .X(_04471_));
+ sky130_fd_sc_hd__buf_2 _18137_ (.A(_04471_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04493_));
- sky130_fd_sc_hd__mux2_1 _18180_ (.A0(_04430_),
+    .X(_04472_));
+ sky130_fd_sc_hd__mux2_1 _18138_ (.A0(_04267_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[20][0] ),
-    .S(_04493_),
+    .S(_04472_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04494_));
- sky130_fd_sc_hd__clkbuf_1 _18181_ (.A(_04494_),
+    .X(_04473_));
+ sky130_fd_sc_hd__clkbuf_1 _18139_ (.A(_04473_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01882_));
- sky130_fd_sc_hd__mux2_1 _18182_ (.A0(_04435_),
+ sky130_fd_sc_hd__mux2_1 _18140_ (.A0(_04414_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[20][1] ),
-    .S(_04493_),
+    .S(_04472_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04495_));
- sky130_fd_sc_hd__clkbuf_1 _18183_ (.A(_04495_),
+    .X(_04474_));
+ sky130_fd_sc_hd__clkbuf_1 _18141_ (.A(_04474_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01883_));
- sky130_fd_sc_hd__mux2_1 _18184_ (.A0(_04437_),
+ sky130_fd_sc_hd__mux2_1 _18142_ (.A0(_04416_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[20][2] ),
-    .S(_04493_),
+    .S(_04472_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04496_));
- sky130_fd_sc_hd__clkbuf_1 _18185_ (.A(_04496_),
+    .X(_04475_));
+ sky130_fd_sc_hd__clkbuf_1 _18143_ (.A(_04475_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01884_));
- sky130_fd_sc_hd__mux2_1 _18186_ (.A0(_04439_),
+ sky130_fd_sc_hd__mux2_1 _18144_ (.A0(_04418_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[20][3] ),
-    .S(_04493_),
+    .S(_04472_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04497_));
- sky130_fd_sc_hd__clkbuf_1 _18187_ (.A(_04497_),
+    .X(_04476_));
+ sky130_fd_sc_hd__clkbuf_1 _18145_ (.A(_04476_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01885_));
- sky130_fd_sc_hd__buf_2 _18188_ (.A(_04492_),
+ sky130_fd_sc_hd__buf_2 _18146_ (.A(_04471_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04498_));
- sky130_fd_sc_hd__mux2_1 _18189_ (.A0(_04441_),
+    .X(_04477_));
+ sky130_fd_sc_hd__mux2_1 _18147_ (.A0(_04420_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[20][4] ),
-    .S(_04498_),
+    .S(_04477_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04499_));
- sky130_fd_sc_hd__clkbuf_1 _18190_ (.A(_04499_),
+    .X(_04478_));
+ sky130_fd_sc_hd__clkbuf_1 _18148_ (.A(_04478_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01886_));
- sky130_fd_sc_hd__mux2_1 _18191_ (.A0(_04444_),
+ sky130_fd_sc_hd__mux2_1 _18149_ (.A0(_04423_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[20][5] ),
-    .S(_04498_),
+    .S(_04477_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04500_));
- sky130_fd_sc_hd__clkbuf_1 _18192_ (.A(_04500_),
+    .X(_04479_));
+ sky130_fd_sc_hd__clkbuf_1 _18150_ (.A(_04479_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01887_));
- sky130_fd_sc_hd__mux2_1 _18193_ (.A0(_04446_),
+ sky130_fd_sc_hd__mux2_1 _18151_ (.A0(_04425_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[20][6] ),
-    .S(_04498_),
+    .S(_04477_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04501_));
- sky130_fd_sc_hd__clkbuf_1 _18194_ (.A(_04501_),
+    .X(_04480_));
+ sky130_fd_sc_hd__clkbuf_1 _18152_ (.A(_04480_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01888_));
- sky130_fd_sc_hd__mux2_1 _18195_ (.A0(_04448_),
+ sky130_fd_sc_hd__mux2_1 _18153_ (.A0(_04427_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[20][7] ),
-    .S(_04498_),
+    .S(_04477_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04502_));
- sky130_fd_sc_hd__clkbuf_1 _18196_ (.A(_04502_),
+    .X(_04481_));
+ sky130_fd_sc_hd__clkbuf_1 _18154_ (.A(_04481_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01889_));
- sky130_fd_sc_hd__nor2_1 _18197_ (.A(_04054_),
-    .B(_04462_),
+ sky130_fd_sc_hd__nor2_1 _18155_ (.A(_04033_),
+    .B(_04441_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04503_));
- sky130_fd_sc_hd__buf_2 _18198_ (.A(_04503_),
+    .Y(_04482_));
+ sky130_fd_sc_hd__clkbuf_4 _18156_ (.A(_04482_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04504_));
- sky130_fd_sc_hd__mux2_1 _18199_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[19][0] ),
-    .A1(_04461_),
-    .S(_04504_),
+    .X(_04483_));
+ sky130_fd_sc_hd__mux2_1 _18157_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[19][0] ),
+    .A1(_04429_),
+    .S(_04483_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04505_));
- sky130_fd_sc_hd__clkbuf_1 _18200_ (.A(_04505_),
+    .X(_04484_));
+ sky130_fd_sc_hd__clkbuf_1 _18158_ (.A(_04484_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01890_));
- sky130_fd_sc_hd__mux2_1 _18201_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[19][1] ),
-    .A1(_04466_),
-    .S(_04504_),
+ sky130_fd_sc_hd__mux2_1 _18159_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[19][1] ),
+    .A1(_04445_),
+    .S(_04483_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04506_));
- sky130_fd_sc_hd__clkbuf_1 _18202_ (.A(_04506_),
+    .X(_04485_));
+ sky130_fd_sc_hd__clkbuf_1 _18160_ (.A(_04485_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01891_));
- sky130_fd_sc_hd__mux2_1 _18203_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[19][2] ),
-    .A1(_04468_),
-    .S(_04504_),
+ sky130_fd_sc_hd__mux2_1 _18161_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[19][2] ),
+    .A1(_04447_),
+    .S(_04483_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04507_));
- sky130_fd_sc_hd__clkbuf_1 _18204_ (.A(_04507_),
+    .X(_04486_));
+ sky130_fd_sc_hd__clkbuf_1 _18162_ (.A(_04486_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01892_));
- sky130_fd_sc_hd__mux2_1 _18205_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[19][3] ),
-    .A1(_04470_),
-    .S(_04504_),
+ sky130_fd_sc_hd__mux2_1 _18163_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[19][3] ),
+    .A1(_04449_),
+    .S(_04483_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04508_));
- sky130_fd_sc_hd__clkbuf_1 _18206_ (.A(_04508_),
+    .X(_04487_));
+ sky130_fd_sc_hd__clkbuf_1 _18164_ (.A(_04487_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01893_));
- sky130_fd_sc_hd__clkbuf_4 _18207_ (.A(_04503_),
+ sky130_fd_sc_hd__clkbuf_2 _18165_ (.A(_04482_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04509_));
- sky130_fd_sc_hd__mux2_1 _18208_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[19][4] ),
-    .A1(_04472_),
-    .S(_04509_),
+    .X(_04488_));
+ sky130_fd_sc_hd__mux2_1 _18166_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[19][4] ),
+    .A1(_04451_),
+    .S(_04488_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04510_));
- sky130_fd_sc_hd__clkbuf_1 _18209_ (.A(_04510_),
+    .X(_04489_));
+ sky130_fd_sc_hd__clkbuf_1 _18167_ (.A(_04489_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01894_));
- sky130_fd_sc_hd__mux2_1 _18210_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[19][5] ),
-    .A1(_04475_),
-    .S(_04509_),
+ sky130_fd_sc_hd__mux2_1 _18168_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[19][5] ),
+    .A1(_04454_),
+    .S(_04488_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04511_));
- sky130_fd_sc_hd__clkbuf_1 _18211_ (.A(_04511_),
+    .X(_04490_));
+ sky130_fd_sc_hd__clkbuf_1 _18169_ (.A(_04490_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01895_));
- sky130_fd_sc_hd__mux2_1 _18212_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[19][6] ),
-    .A1(_04477_),
-    .S(_04509_),
+ sky130_fd_sc_hd__mux2_1 _18170_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[19][6] ),
+    .A1(_04456_),
+    .S(_04488_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04512_));
- sky130_fd_sc_hd__clkbuf_1 _18213_ (.A(_04512_),
+    .X(_04491_));
+ sky130_fd_sc_hd__clkbuf_1 _18171_ (.A(_04491_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01896_));
- sky130_fd_sc_hd__mux2_1 _18214_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[19][7] ),
-    .A1(_04479_),
-    .S(_04509_),
+ sky130_fd_sc_hd__mux2_1 _18172_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[19][7] ),
+    .A1(_04458_),
+    .S(_04488_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04513_));
- sky130_fd_sc_hd__clkbuf_1 _18215_ (.A(_04513_),
+    .X(_04492_));
+ sky130_fd_sc_hd__clkbuf_1 _18173_ (.A(_04492_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01897_));
- sky130_fd_sc_hd__or2_1 _18216_ (.A(_04068_),
-    .B(_04431_),
+ sky130_fd_sc_hd__or2_1 _18174_ (.A(_04046_),
+    .B(_04410_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04514_));
- sky130_fd_sc_hd__clkbuf_2 _18217_ (.A(_04514_),
+    .X(_04493_));
+ sky130_fd_sc_hd__buf_2 _18175_ (.A(_04493_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04515_));
- sky130_fd_sc_hd__mux2_1 _18218_ (.A0(_04430_),
+    .X(_04494_));
+ sky130_fd_sc_hd__mux2_1 _18176_ (.A0(_04267_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[18][0] ),
-    .S(_04515_),
+    .S(_04494_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04516_));
- sky130_fd_sc_hd__clkbuf_1 _18219_ (.A(_04516_),
+    .X(_04495_));
+ sky130_fd_sc_hd__clkbuf_1 _18177_ (.A(_04495_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01898_));
- sky130_fd_sc_hd__mux2_1 _18220_ (.A0(_04435_),
+ sky130_fd_sc_hd__mux2_1 _18178_ (.A0(_04414_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[18][1] ),
-    .S(_04515_),
+    .S(_04494_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04517_));
- sky130_fd_sc_hd__clkbuf_1 _18221_ (.A(_04517_),
+    .X(_04496_));
+ sky130_fd_sc_hd__clkbuf_1 _18179_ (.A(_04496_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01899_));
- sky130_fd_sc_hd__mux2_1 _18222_ (.A0(_04437_),
+ sky130_fd_sc_hd__mux2_1 _18180_ (.A0(_04416_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[18][2] ),
-    .S(_04515_),
+    .S(_04494_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04518_));
- sky130_fd_sc_hd__clkbuf_1 _18223_ (.A(_04518_),
+    .X(_04497_));
+ sky130_fd_sc_hd__clkbuf_1 _18181_ (.A(_04497_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01900_));
- sky130_fd_sc_hd__mux2_1 _18224_ (.A0(_04439_),
+ sky130_fd_sc_hd__mux2_1 _18182_ (.A0(_04418_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[18][3] ),
-    .S(_04515_),
+    .S(_04494_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04519_));
- sky130_fd_sc_hd__clkbuf_1 _18225_ (.A(_04519_),
+    .X(_04498_));
+ sky130_fd_sc_hd__clkbuf_1 _18183_ (.A(_04498_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01901_));
- sky130_fd_sc_hd__buf_2 _18226_ (.A(_04514_),
+ sky130_fd_sc_hd__clkbuf_2 _18184_ (.A(_04493_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04520_));
- sky130_fd_sc_hd__mux2_1 _18227_ (.A0(_04441_),
+    .X(_04499_));
+ sky130_fd_sc_hd__mux2_1 _18185_ (.A0(_04420_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[18][4] ),
-    .S(_04520_),
+    .S(_04499_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04521_));
- sky130_fd_sc_hd__clkbuf_1 _18228_ (.A(_04521_),
+    .X(_04500_));
+ sky130_fd_sc_hd__clkbuf_1 _18186_ (.A(_04500_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01902_));
- sky130_fd_sc_hd__mux2_1 _18229_ (.A0(_04444_),
+ sky130_fd_sc_hd__mux2_1 _18187_ (.A0(_04423_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[18][5] ),
-    .S(_04520_),
+    .S(_04499_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04522_));
- sky130_fd_sc_hd__clkbuf_1 _18230_ (.A(_04522_),
+    .X(_04501_));
+ sky130_fd_sc_hd__clkbuf_1 _18188_ (.A(_04501_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01903_));
- sky130_fd_sc_hd__mux2_1 _18231_ (.A0(_04446_),
+ sky130_fd_sc_hd__mux2_1 _18189_ (.A0(_04425_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[18][6] ),
-    .S(_04520_),
+    .S(_04499_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04523_));
- sky130_fd_sc_hd__clkbuf_1 _18232_ (.A(_04523_),
+    .X(_04502_));
+ sky130_fd_sc_hd__clkbuf_1 _18190_ (.A(_04502_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01904_));
- sky130_fd_sc_hd__mux2_1 _18233_ (.A0(_04448_),
+ sky130_fd_sc_hd__mux2_1 _18191_ (.A0(_04427_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[18][7] ),
-    .S(_04520_),
+    .S(_04499_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04524_));
- sky130_fd_sc_hd__clkbuf_1 _18234_ (.A(_04524_),
+    .X(_04503_));
+ sky130_fd_sc_hd__clkbuf_1 _18192_ (.A(_04503_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01905_));
- sky130_fd_sc_hd__or2_1 _18235_ (.A(_04087_),
-    .B(_04431_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _18193_ (.A(_04266_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04525_));
- sky130_fd_sc_hd__buf_2 _18236_ (.A(_04525_),
+    .X(_04504_));
+ sky130_fd_sc_hd__or2_1 _18194_ (.A(_04065_),
+    .B(_04410_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04526_));
- sky130_fd_sc_hd__mux2_1 _18237_ (.A0(_04430_),
+    .X(_04505_));
+ sky130_fd_sc_hd__buf_2 _18195_ (.A(_04505_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04506_));
+ sky130_fd_sc_hd__mux2_1 _18196_ (.A0(_04504_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[17][0] ),
-    .S(_04526_),
+    .S(_04506_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04527_));
- sky130_fd_sc_hd__clkbuf_1 _18238_ (.A(_04527_),
+    .X(_04507_));
+ sky130_fd_sc_hd__clkbuf_1 _18197_ (.A(_04507_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01906_));
- sky130_fd_sc_hd__mux2_1 _18239_ (.A0(_04435_),
+ sky130_fd_sc_hd__mux2_1 _18198_ (.A0(_04414_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[17][1] ),
-    .S(_04526_),
+    .S(_04506_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04528_));
- sky130_fd_sc_hd__clkbuf_1 _18240_ (.A(_04528_),
+    .X(_04508_));
+ sky130_fd_sc_hd__clkbuf_1 _18199_ (.A(_04508_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01907_));
- sky130_fd_sc_hd__mux2_1 _18241_ (.A0(_04437_),
+ sky130_fd_sc_hd__mux2_1 _18200_ (.A0(_04416_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[17][2] ),
-    .S(_04526_),
+    .S(_04506_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04529_));
- sky130_fd_sc_hd__clkbuf_1 _18242_ (.A(_04529_),
+    .X(_04509_));
+ sky130_fd_sc_hd__clkbuf_1 _18201_ (.A(_04509_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01908_));
- sky130_fd_sc_hd__mux2_1 _18243_ (.A0(_04439_),
+ sky130_fd_sc_hd__mux2_1 _18202_ (.A0(_04418_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[17][3] ),
-    .S(_04526_),
+    .S(_04506_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04530_));
- sky130_fd_sc_hd__clkbuf_1 _18244_ (.A(_04530_),
+    .X(_04510_));
+ sky130_fd_sc_hd__clkbuf_1 _18203_ (.A(_04510_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01909_));
- sky130_fd_sc_hd__buf_2 _18245_ (.A(_04525_),
+ sky130_fd_sc_hd__clkbuf_4 _18204_ (.A(_04505_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04511_));
+ sky130_fd_sc_hd__mux2_1 _18205_ (.A0(_04420_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[17][4] ),
+    .S(_04511_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04512_));
+ sky130_fd_sc_hd__clkbuf_1 _18206_ (.A(_04512_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01910_));
+ sky130_fd_sc_hd__mux2_1 _18207_ (.A0(_04423_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[17][5] ),
+    .S(_04511_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04513_));
+ sky130_fd_sc_hd__clkbuf_1 _18208_ (.A(_04513_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01911_));
+ sky130_fd_sc_hd__mux2_1 _18209_ (.A0(_04425_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[17][6] ),
+    .S(_04511_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04514_));
+ sky130_fd_sc_hd__clkbuf_1 _18210_ (.A(_04514_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01912_));
+ sky130_fd_sc_hd__mux2_1 _18211_ (.A0(_04427_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[17][7] ),
+    .S(_04511_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04515_));
+ sky130_fd_sc_hd__clkbuf_1 _18212_ (.A(_04515_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01913_));
+ sky130_fd_sc_hd__clkbuf_2 _18213_ (.A(_02618_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04516_));
+ sky130_fd_sc_hd__nor2_1 _18214_ (.A(_04078_),
+    .B(_04441_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_04517_));
+ sky130_fd_sc_hd__clkbuf_4 _18215_ (.A(_04517_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04518_));
+ sky130_fd_sc_hd__mux2_1 _18216_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[16][0] ),
+    .A1(_04516_),
+    .S(_04518_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04519_));
+ sky130_fd_sc_hd__clkbuf_1 _18217_ (.A(_04519_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01914_));
+ sky130_fd_sc_hd__mux2_1 _18218_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[16][1] ),
+    .A1(_04445_),
+    .S(_04518_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04520_));
+ sky130_fd_sc_hd__clkbuf_1 _18219_ (.A(_04520_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01915_));
+ sky130_fd_sc_hd__mux2_1 _18220_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[16][2] ),
+    .A1(_04447_),
+    .S(_04518_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04521_));
+ sky130_fd_sc_hd__clkbuf_1 _18221_ (.A(_04521_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01916_));
+ sky130_fd_sc_hd__mux2_1 _18222_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[16][3] ),
+    .A1(_04449_),
+    .S(_04518_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04522_));
+ sky130_fd_sc_hd__clkbuf_1 _18223_ (.A(_04522_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01917_));
+ sky130_fd_sc_hd__clkbuf_4 _18224_ (.A(_04517_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04523_));
+ sky130_fd_sc_hd__mux2_1 _18225_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[16][4] ),
+    .A1(_04451_),
+    .S(_04523_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04524_));
+ sky130_fd_sc_hd__clkbuf_1 _18226_ (.A(_04524_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01918_));
+ sky130_fd_sc_hd__mux2_1 _18227_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[16][5] ),
+    .A1(_04454_),
+    .S(_04523_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04525_));
+ sky130_fd_sc_hd__clkbuf_1 _18228_ (.A(_04525_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01919_));
+ sky130_fd_sc_hd__mux2_1 _18229_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[16][6] ),
+    .A1(_04456_),
+    .S(_04523_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04526_));
+ sky130_fd_sc_hd__clkbuf_1 _18230_ (.A(_04526_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01920_));
+ sky130_fd_sc_hd__mux2_1 _18231_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[16][7] ),
+    .A1(_04458_),
+    .S(_04523_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04527_));
+ sky130_fd_sc_hd__clkbuf_1 _18232_ (.A(_04527_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01921_));
+ sky130_fd_sc_hd__or3_4 _18233_ (.A(_04090_),
+    .B(_04313_),
+    .C(_03818_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04528_));
+ sky130_fd_sc_hd__clkbuf_1 _18234_ (.A(_04528_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04529_));
+ sky130_fd_sc_hd__or2_1 _18235_ (.A(_03824_),
+    .B(_04529_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04530_));
+ sky130_fd_sc_hd__clkbuf_2 _18236_ (.A(_04530_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_04531_));
- sky130_fd_sc_hd__mux2_1 _18246_ (.A0(_04441_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[17][4] ),
+ sky130_fd_sc_hd__mux2_1 _18237_ (.A0(_04504_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[15][0] ),
     .S(_04531_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_04532_));
- sky130_fd_sc_hd__clkbuf_1 _18247_ (.A(_04532_),
+ sky130_fd_sc_hd__clkbuf_1 _18238_ (.A(_04532_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_01910_));
- sky130_fd_sc_hd__mux2_1 _18248_ (.A0(_04444_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[17][5] ),
-    .S(_04531_),
+    .X(_01922_));
+ sky130_fd_sc_hd__clkbuf_2 _18239_ (.A(_04199_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_04533_));
- sky130_fd_sc_hd__clkbuf_1 _18249_ (.A(_04533_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01911_));
- sky130_fd_sc_hd__mux2_1 _18250_ (.A0(_04446_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[17][6] ),
+ sky130_fd_sc_hd__mux2_1 _18240_ (.A0(_04533_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[15][1] ),
     .S(_04531_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_04534_));
- sky130_fd_sc_hd__clkbuf_1 _18251_ (.A(_04534_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01912_));
- sky130_fd_sc_hd__mux2_1 _18252_ (.A0(_04448_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[17][7] ),
-    .S(_04531_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04535_));
- sky130_fd_sc_hd__clkbuf_1 _18253_ (.A(_04535_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01913_));
- sky130_fd_sc_hd__nor2_1 _18254_ (.A(_04100_),
-    .B(_04462_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_04536_));
- sky130_fd_sc_hd__clkbuf_4 _18255_ (.A(_04536_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04537_));
- sky130_fd_sc_hd__mux2_1 _18256_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[16][0] ),
-    .A1(_04461_),
-    .S(_04537_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04538_));
- sky130_fd_sc_hd__clkbuf_1 _18257_ (.A(_04538_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01914_));
- sky130_fd_sc_hd__mux2_1 _18258_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[16][1] ),
-    .A1(_04466_),
-    .S(_04537_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04539_));
- sky130_fd_sc_hd__clkbuf_1 _18259_ (.A(_04539_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01915_));
- sky130_fd_sc_hd__mux2_1 _18260_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[16][2] ),
-    .A1(_04468_),
-    .S(_04537_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04540_));
- sky130_fd_sc_hd__clkbuf_1 _18261_ (.A(_04540_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01916_));
- sky130_fd_sc_hd__mux2_1 _18262_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[16][3] ),
-    .A1(_04470_),
-    .S(_04537_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04541_));
- sky130_fd_sc_hd__clkbuf_1 _18263_ (.A(_04541_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01917_));
- sky130_fd_sc_hd__clkbuf_4 _18264_ (.A(_04536_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04542_));
- sky130_fd_sc_hd__mux2_1 _18265_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[16][4] ),
-    .A1(_04472_),
-    .S(_04542_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04543_));
- sky130_fd_sc_hd__clkbuf_1 _18266_ (.A(_04543_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01918_));
- sky130_fd_sc_hd__mux2_1 _18267_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[16][5] ),
-    .A1(_04475_),
-    .S(_04542_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04544_));
- sky130_fd_sc_hd__clkbuf_1 _18268_ (.A(_04544_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01919_));
- sky130_fd_sc_hd__mux2_1 _18269_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[16][6] ),
-    .A1(_04477_),
-    .S(_04542_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04545_));
- sky130_fd_sc_hd__clkbuf_1 _18270_ (.A(_04545_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01920_));
- sky130_fd_sc_hd__mux2_1 _18271_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[16][7] ),
-    .A1(_04479_),
-    .S(_04542_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04546_));
- sky130_fd_sc_hd__clkbuf_1 _18272_ (.A(_04546_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01921_));
- sky130_fd_sc_hd__clkbuf_2 _18273_ (.A(_04213_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04547_));
- sky130_fd_sc_hd__or3_2 _18274_ (.A(_04112_),
-    .B(_04333_),
-    .C(_03838_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04548_));
- sky130_fd_sc_hd__clkbuf_1 _18275_ (.A(_04548_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04549_));
- sky130_fd_sc_hd__or2_1 _18276_ (.A(_03844_),
-    .B(_04549_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04550_));
- sky130_fd_sc_hd__clkbuf_2 _18277_ (.A(_04550_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04551_));
- sky130_fd_sc_hd__mux2_1 _18278_ (.A0(_04547_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[15][0] ),
-    .S(_04551_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04552_));
- sky130_fd_sc_hd__clkbuf_1 _18279_ (.A(_04552_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01922_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _18280_ (.A(_04219_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04553_));
- sky130_fd_sc_hd__mux2_1 _18281_ (.A0(_04553_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[15][1] ),
-    .S(_04551_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04554_));
- sky130_fd_sc_hd__clkbuf_1 _18282_ (.A(_04554_),
+ sky130_fd_sc_hd__clkbuf_1 _18241_ (.A(_04534_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01923_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _18283_ (.A(_04222_),
+ sky130_fd_sc_hd__clkbuf_2 _18242_ (.A(_04202_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04555_));
- sky130_fd_sc_hd__mux2_1 _18284_ (.A0(_04555_),
+    .X(_04535_));
+ sky130_fd_sc_hd__mux2_1 _18243_ (.A0(_04535_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[15][2] ),
-    .S(_04551_),
+    .S(_04531_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04556_));
- sky130_fd_sc_hd__clkbuf_1 _18285_ (.A(_04556_),
+    .X(_04536_));
+ sky130_fd_sc_hd__clkbuf_1 _18244_ (.A(_04536_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01924_));
- sky130_fd_sc_hd__clkbuf_1 _18286_ (.A(_04225_),
+ sky130_fd_sc_hd__clkbuf_1 _18245_ (.A(_04205_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04557_));
- sky130_fd_sc_hd__mux2_1 _18287_ (.A0(_04557_),
+    .X(_04537_));
+ sky130_fd_sc_hd__mux2_1 _18246_ (.A0(_04537_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[15][3] ),
-    .S(_04551_),
+    .S(_04531_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04558_));
- sky130_fd_sc_hd__clkbuf_1 _18288_ (.A(_04558_),
+    .X(_04538_));
+ sky130_fd_sc_hd__clkbuf_1 _18247_ (.A(_04538_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01925_));
- sky130_fd_sc_hd__clkbuf_1 _18289_ (.A(_04228_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _18248_ (.A(_04208_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04539_));
+ sky130_fd_sc_hd__buf_2 _18249_ (.A(_04530_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04540_));
+ sky130_fd_sc_hd__mux2_1 _18250_ (.A0(_04539_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[15][4] ),
+    .S(_04540_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04541_));
+ sky130_fd_sc_hd__clkbuf_1 _18251_ (.A(_04541_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01926_));
+ sky130_fd_sc_hd__clkbuf_2 _18252_ (.A(_04212_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04542_));
+ sky130_fd_sc_hd__mux2_1 _18253_ (.A0(_04542_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[15][5] ),
+    .S(_04540_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04543_));
+ sky130_fd_sc_hd__clkbuf_1 _18254_ (.A(_04543_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01927_));
+ sky130_fd_sc_hd__clkbuf_2 _18255_ (.A(_04215_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04544_));
+ sky130_fd_sc_hd__mux2_1 _18256_ (.A0(_04544_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[15][6] ),
+    .S(_04540_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04545_));
+ sky130_fd_sc_hd__clkbuf_1 _18257_ (.A(_04545_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01928_));
+ sky130_fd_sc_hd__clkbuf_2 _18258_ (.A(_03881_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04546_));
+ sky130_fd_sc_hd__mux2_1 _18259_ (.A0(_04546_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[15][7] ),
+    .S(_04540_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04547_));
+ sky130_fd_sc_hd__clkbuf_1 _18260_ (.A(_04547_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01929_));
+ sky130_fd_sc_hd__or2_1 _18261_ (.A(_03858_),
+    .B(_04529_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04548_));
+ sky130_fd_sc_hd__buf_2 _18262_ (.A(_04548_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04549_));
+ sky130_fd_sc_hd__mux2_1 _18263_ (.A0(_04504_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[14][0] ),
+    .S(_04549_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04550_));
+ sky130_fd_sc_hd__clkbuf_1 _18264_ (.A(_04550_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01930_));
+ sky130_fd_sc_hd__mux2_1 _18265_ (.A0(_04533_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[14][1] ),
+    .S(_04549_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04551_));
+ sky130_fd_sc_hd__clkbuf_1 _18266_ (.A(_04551_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01931_));
+ sky130_fd_sc_hd__mux2_1 _18267_ (.A0(_04535_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[14][2] ),
+    .S(_04549_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04552_));
+ sky130_fd_sc_hd__clkbuf_1 _18268_ (.A(_04552_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01932_));
+ sky130_fd_sc_hd__mux2_1 _18269_ (.A0(_04537_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[14][3] ),
+    .S(_04549_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04553_));
+ sky130_fd_sc_hd__clkbuf_1 _18270_ (.A(_04553_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01933_));
+ sky130_fd_sc_hd__clkbuf_2 _18271_ (.A(_04548_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04554_));
+ sky130_fd_sc_hd__mux2_1 _18272_ (.A0(_04539_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[14][4] ),
+    .S(_04554_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04555_));
+ sky130_fd_sc_hd__clkbuf_1 _18273_ (.A(_04555_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01934_));
+ sky130_fd_sc_hd__mux2_1 _18274_ (.A0(_04542_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[14][5] ),
+    .S(_04554_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04556_));
+ sky130_fd_sc_hd__clkbuf_1 _18275_ (.A(_04556_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01935_));
+ sky130_fd_sc_hd__mux2_1 _18276_ (.A0(_04544_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[14][6] ),
+    .S(_04554_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04557_));
+ sky130_fd_sc_hd__clkbuf_1 _18277_ (.A(_04557_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01936_));
+ sky130_fd_sc_hd__mux2_1 _18278_ (.A0(_04546_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[14][7] ),
+    .S(_04554_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04558_));
+ sky130_fd_sc_hd__clkbuf_1 _18279_ (.A(_04558_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01937_));
+ sky130_fd_sc_hd__or2_1 _18280_ (.A(_03885_),
+    .B(_04529_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_04559_));
- sky130_fd_sc_hd__clkbuf_2 _18290_ (.A(_04550_),
+ sky130_fd_sc_hd__buf_2 _18281_ (.A(_04559_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_04560_));
- sky130_fd_sc_hd__mux2_1 _18291_ (.A0(_04559_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[15][4] ),
+ sky130_fd_sc_hd__mux2_1 _18282_ (.A0(_04504_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[13][0] ),
     .S(_04560_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_04561_));
- sky130_fd_sc_hd__clkbuf_1 _18292_ (.A(_04561_),
+ sky130_fd_sc_hd__clkbuf_1 _18283_ (.A(_04561_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_01926_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _18293_ (.A(_04232_),
+    .X(_01938_));
+ sky130_fd_sc_hd__mux2_1 _18284_ (.A0(_04533_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[13][1] ),
+    .S(_04560_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_04562_));
- sky130_fd_sc_hd__mux2_1 _18294_ (.A0(_04562_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[15][5] ),
+ sky130_fd_sc_hd__clkbuf_1 _18285_ (.A(_04562_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01939_));
+ sky130_fd_sc_hd__mux2_1 _18286_ (.A0(_04535_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[13][2] ),
     .S(_04560_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_04563_));
- sky130_fd_sc_hd__clkbuf_1 _18295_ (.A(_04563_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01927_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _18296_ (.A(_04235_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04564_));
- sky130_fd_sc_hd__mux2_1 _18297_ (.A0(_04564_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[15][6] ),
-    .S(_04560_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04565_));
- sky130_fd_sc_hd__clkbuf_1 _18298_ (.A(_04565_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01928_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _18299_ (.A(_03903_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04566_));
- sky130_fd_sc_hd__mux2_1 _18300_ (.A0(_04566_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[15][7] ),
-    .S(_04560_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04567_));
- sky130_fd_sc_hd__clkbuf_1 _18301_ (.A(_04567_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01929_));
- sky130_fd_sc_hd__or2_1 _18302_ (.A(_03880_),
-    .B(_04549_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04568_));
- sky130_fd_sc_hd__clkbuf_2 _18303_ (.A(_04568_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04569_));
- sky130_fd_sc_hd__mux2_1 _18304_ (.A0(_04547_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[14][0] ),
-    .S(_04569_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04570_));
- sky130_fd_sc_hd__clkbuf_1 _18305_ (.A(_04570_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01930_));
- sky130_fd_sc_hd__mux2_1 _18306_ (.A0(_04553_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[14][1] ),
-    .S(_04569_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04571_));
- sky130_fd_sc_hd__clkbuf_1 _18307_ (.A(_04571_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01931_));
- sky130_fd_sc_hd__mux2_1 _18308_ (.A0(_04555_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[14][2] ),
-    .S(_04569_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04572_));
- sky130_fd_sc_hd__clkbuf_1 _18309_ (.A(_04572_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01932_));
- sky130_fd_sc_hd__mux2_1 _18310_ (.A0(_04557_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[14][3] ),
-    .S(_04569_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04573_));
- sky130_fd_sc_hd__clkbuf_1 _18311_ (.A(_04573_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01933_));
- sky130_fd_sc_hd__clkbuf_2 _18312_ (.A(_04568_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04574_));
- sky130_fd_sc_hd__mux2_1 _18313_ (.A0(_04559_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[14][4] ),
-    .S(_04574_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04575_));
- sky130_fd_sc_hd__clkbuf_1 _18314_ (.A(_04575_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01934_));
- sky130_fd_sc_hd__mux2_1 _18315_ (.A0(_04562_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[14][5] ),
-    .S(_04574_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04576_));
- sky130_fd_sc_hd__clkbuf_1 _18316_ (.A(_04576_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01935_));
- sky130_fd_sc_hd__mux2_1 _18317_ (.A0(_04564_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[14][6] ),
-    .S(_04574_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04577_));
- sky130_fd_sc_hd__clkbuf_1 _18318_ (.A(_04577_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01936_));
- sky130_fd_sc_hd__mux2_1 _18319_ (.A0(_04566_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[14][7] ),
-    .S(_04574_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04578_));
- sky130_fd_sc_hd__clkbuf_1 _18320_ (.A(_04578_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01937_));
- sky130_fd_sc_hd__or2_1 _18321_ (.A(_03907_),
-    .B(_04549_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04579_));
- sky130_fd_sc_hd__clkbuf_2 _18322_ (.A(_04579_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04580_));
- sky130_fd_sc_hd__mux2_1 _18323_ (.A0(_04547_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[13][0] ),
-    .S(_04580_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04581_));
- sky130_fd_sc_hd__clkbuf_1 _18324_ (.A(_04581_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01938_));
- sky130_fd_sc_hd__mux2_1 _18325_ (.A0(_04553_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[13][1] ),
-    .S(_04580_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04582_));
- sky130_fd_sc_hd__clkbuf_1 _18326_ (.A(_04582_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01939_));
- sky130_fd_sc_hd__mux2_1 _18327_ (.A0(_04555_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[13][2] ),
-    .S(_04580_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04583_));
- sky130_fd_sc_hd__clkbuf_1 _18328_ (.A(_04583_),
+ sky130_fd_sc_hd__clkbuf_1 _18287_ (.A(_04563_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01940_));
- sky130_fd_sc_hd__mux2_1 _18329_ (.A0(_04557_),
+ sky130_fd_sc_hd__mux2_1 _18288_ (.A0(_04537_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[13][3] ),
-    .S(_04580_),
+    .S(_04560_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04584_));
- sky130_fd_sc_hd__clkbuf_1 _18330_ (.A(_04584_),
+    .X(_04564_));
+ sky130_fd_sc_hd__clkbuf_1 _18289_ (.A(_04564_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01941_));
- sky130_fd_sc_hd__clkbuf_2 _18331_ (.A(_04579_),
+ sky130_fd_sc_hd__clkbuf_2 _18290_ (.A(_04559_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04585_));
- sky130_fd_sc_hd__mux2_1 _18332_ (.A0(_04559_),
+    .X(_04565_));
+ sky130_fd_sc_hd__mux2_1 _18291_ (.A0(_04539_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[13][4] ),
-    .S(_04585_),
+    .S(_04565_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04586_));
- sky130_fd_sc_hd__clkbuf_1 _18333_ (.A(_04586_),
+    .X(_04566_));
+ sky130_fd_sc_hd__clkbuf_1 _18292_ (.A(_04566_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01942_));
- sky130_fd_sc_hd__mux2_1 _18334_ (.A0(_04562_),
+ sky130_fd_sc_hd__mux2_1 _18293_ (.A0(_04542_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[13][5] ),
-    .S(_04585_),
+    .S(_04565_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04587_));
- sky130_fd_sc_hd__clkbuf_1 _18335_ (.A(_04587_),
+    .X(_04567_));
+ sky130_fd_sc_hd__clkbuf_1 _18294_ (.A(_04567_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01943_));
- sky130_fd_sc_hd__mux2_1 _18336_ (.A0(_04564_),
+ sky130_fd_sc_hd__mux2_1 _18295_ (.A0(_04544_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[13][6] ),
-    .S(_04585_),
+    .S(_04565_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04588_));
- sky130_fd_sc_hd__clkbuf_1 _18337_ (.A(_04588_),
+    .X(_04568_));
+ sky130_fd_sc_hd__clkbuf_1 _18296_ (.A(_04568_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01944_));
- sky130_fd_sc_hd__mux2_1 _18338_ (.A0(_04566_),
+ sky130_fd_sc_hd__mux2_1 _18297_ (.A0(_04546_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[13][7] ),
-    .S(_04585_),
+    .S(_04565_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04589_));
- sky130_fd_sc_hd__clkbuf_1 _18339_ (.A(_04589_),
+    .X(_04569_));
+ sky130_fd_sc_hd__clkbuf_1 _18298_ (.A(_04569_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01945_));
- sky130_fd_sc_hd__clkbuf_2 _18340_ (.A(_04548_),
+ sky130_fd_sc_hd__clkbuf_1 _18299_ (.A(_04266_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04590_));
- sky130_fd_sc_hd__or2_2 _18341_ (.A(_03921_),
-    .B(_04590_),
+    .X(_04570_));
+ sky130_fd_sc_hd__clkbuf_1 _18300_ (.A(_04528_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04591_));
- sky130_fd_sc_hd__clkbuf_2 _18342_ (.A(_04591_),
+    .X(_04571_));
+ sky130_fd_sc_hd__or2_1 _18301_ (.A(_03899_),
+    .B(_04571_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04592_));
- sky130_fd_sc_hd__mux2_1 _18343_ (.A0(_04547_),
+    .X(_04572_));
+ sky130_fd_sc_hd__buf_2 _18302_ (.A(_04572_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04573_));
+ sky130_fd_sc_hd__mux2_1 _18303_ (.A0(_04570_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[12][0] ),
-    .S(_04592_),
+    .S(_04573_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04593_));
- sky130_fd_sc_hd__clkbuf_1 _18344_ (.A(_04593_),
+    .X(_04574_));
+ sky130_fd_sc_hd__clkbuf_1 _18304_ (.A(_04574_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01946_));
- sky130_fd_sc_hd__mux2_1 _18345_ (.A0(_04553_),
+ sky130_fd_sc_hd__mux2_1 _18305_ (.A0(_04533_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[12][1] ),
-    .S(_04592_),
+    .S(_04573_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04594_));
- sky130_fd_sc_hd__clkbuf_1 _18346_ (.A(_04594_),
+    .X(_04575_));
+ sky130_fd_sc_hd__clkbuf_1 _18306_ (.A(_04575_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01947_));
- sky130_fd_sc_hd__mux2_1 _18347_ (.A0(_04555_),
+ sky130_fd_sc_hd__mux2_1 _18307_ (.A0(_04535_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[12][2] ),
-    .S(_04592_),
+    .S(_04573_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04595_));
- sky130_fd_sc_hd__clkbuf_1 _18348_ (.A(_04595_),
+    .X(_04576_));
+ sky130_fd_sc_hd__clkbuf_1 _18308_ (.A(_04576_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01948_));
- sky130_fd_sc_hd__mux2_1 _18349_ (.A0(_04557_),
+ sky130_fd_sc_hd__mux2_1 _18309_ (.A0(_04537_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[12][3] ),
-    .S(_04592_),
+    .S(_04573_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04596_));
- sky130_fd_sc_hd__clkbuf_1 _18350_ (.A(_04596_),
+    .X(_04577_));
+ sky130_fd_sc_hd__clkbuf_1 _18310_ (.A(_04577_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01949_));
- sky130_fd_sc_hd__clkbuf_2 _18351_ (.A(_04591_),
+ sky130_fd_sc_hd__buf_2 _18311_ (.A(_04572_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04597_));
- sky130_fd_sc_hd__mux2_1 _18352_ (.A0(_04559_),
+    .X(_04578_));
+ sky130_fd_sc_hd__mux2_1 _18312_ (.A0(_04539_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[12][4] ),
-    .S(_04597_),
+    .S(_04578_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04598_));
- sky130_fd_sc_hd__clkbuf_1 _18353_ (.A(_04598_),
+    .X(_04579_));
+ sky130_fd_sc_hd__clkbuf_1 _18313_ (.A(_04579_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01950_));
- sky130_fd_sc_hd__mux2_1 _18354_ (.A0(_04562_),
+ sky130_fd_sc_hd__mux2_1 _18314_ (.A0(_04542_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[12][5] ),
-    .S(_04597_),
+    .S(_04578_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04599_));
- sky130_fd_sc_hd__clkbuf_1 _18355_ (.A(_04599_),
+    .X(_04580_));
+ sky130_fd_sc_hd__clkbuf_1 _18315_ (.A(_04580_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01951_));
- sky130_fd_sc_hd__mux2_1 _18356_ (.A0(_04564_),
+ sky130_fd_sc_hd__mux2_1 _18316_ (.A0(_04544_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[12][6] ),
-    .S(_04597_),
+    .S(_04578_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04600_));
- sky130_fd_sc_hd__clkbuf_1 _18357_ (.A(_04600_),
+    .X(_04581_));
+ sky130_fd_sc_hd__clkbuf_1 _18317_ (.A(_04581_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01952_));
- sky130_fd_sc_hd__mux2_1 _18358_ (.A0(_04566_),
+ sky130_fd_sc_hd__mux2_1 _18318_ (.A0(_04546_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[12][7] ),
-    .S(_04597_),
+    .S(_04578_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04601_));
- sky130_fd_sc_hd__clkbuf_1 _18359_ (.A(_04601_),
+    .X(_04582_));
+ sky130_fd_sc_hd__clkbuf_1 _18319_ (.A(_04582_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01953_));
- sky130_fd_sc_hd__clkbuf_2 _18360_ (.A(_04213_),
+ sky130_fd_sc_hd__or2_1 _18320_ (.A(_03915_),
+    .B(_04571_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04602_));
- sky130_fd_sc_hd__or2_1 _18361_ (.A(_03936_),
-    .B(_04590_),
+    .X(_04583_));
+ sky130_fd_sc_hd__clkbuf_4 _18321_ (.A(_04583_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04603_));
- sky130_fd_sc_hd__clkbuf_2 _18362_ (.A(_04603_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04604_));
- sky130_fd_sc_hd__mux2_1 _18363_ (.A0(_04602_),
+    .X(_04584_));
+ sky130_fd_sc_hd__mux2_1 _18322_ (.A0(_04570_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[11][0] ),
-    .S(_04604_),
+    .S(_04584_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04605_));
- sky130_fd_sc_hd__clkbuf_1 _18364_ (.A(_04605_),
+    .X(_04585_));
+ sky130_fd_sc_hd__clkbuf_1 _18323_ (.A(_04585_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01954_));
- sky130_fd_sc_hd__clkbuf_2 _18365_ (.A(_04219_),
+ sky130_fd_sc_hd__clkbuf_2 _18324_ (.A(_04199_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04606_));
- sky130_fd_sc_hd__mux2_1 _18366_ (.A0(_04606_),
+    .X(_04586_));
+ sky130_fd_sc_hd__mux2_1 _18325_ (.A0(_04586_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[11][1] ),
-    .S(_04604_),
+    .S(_04584_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04607_));
- sky130_fd_sc_hd__clkbuf_1 _18367_ (.A(_04607_),
+    .X(_04587_));
+ sky130_fd_sc_hd__clkbuf_1 _18326_ (.A(_04587_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01955_));
- sky130_fd_sc_hd__clkbuf_2 _18368_ (.A(_04222_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _18327_ (.A(_04202_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04608_));
- sky130_fd_sc_hd__mux2_1 _18369_ (.A0(_04608_),
+    .X(_04588_));
+ sky130_fd_sc_hd__mux2_1 _18328_ (.A0(_04588_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[11][2] ),
-    .S(_04604_),
+    .S(_04584_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04609_));
- sky130_fd_sc_hd__clkbuf_1 _18370_ (.A(_04609_),
+    .X(_04589_));
+ sky130_fd_sc_hd__clkbuf_1 _18329_ (.A(_04589_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01956_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _18371_ (.A(_04225_),
+ sky130_fd_sc_hd__clkbuf_2 _18330_ (.A(_04205_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04610_));
- sky130_fd_sc_hd__mux2_1 _18372_ (.A0(_04610_),
+    .X(_04590_));
+ sky130_fd_sc_hd__mux2_1 _18331_ (.A0(_04590_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[11][3] ),
-    .S(_04604_),
+    .S(_04584_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04611_));
- sky130_fd_sc_hd__clkbuf_1 _18373_ (.A(_04611_),
+    .X(_04591_));
+ sky130_fd_sc_hd__clkbuf_1 _18332_ (.A(_04591_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01957_));
- sky130_fd_sc_hd__clkbuf_1 _18374_ (.A(_04228_),
+ sky130_fd_sc_hd__clkbuf_2 _18333_ (.A(_04208_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04592_));
+ sky130_fd_sc_hd__buf_2 _18334_ (.A(_04583_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04593_));
+ sky130_fd_sc_hd__mux2_1 _18335_ (.A0(_04592_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[11][4] ),
+    .S(_04593_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04594_));
+ sky130_fd_sc_hd__clkbuf_1 _18336_ (.A(_04594_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01958_));
+ sky130_fd_sc_hd__clkbuf_2 _18337_ (.A(_04212_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04595_));
+ sky130_fd_sc_hd__mux2_1 _18338_ (.A0(_04595_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[11][5] ),
+    .S(_04593_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04596_));
+ sky130_fd_sc_hd__clkbuf_1 _18339_ (.A(_04596_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01959_));
+ sky130_fd_sc_hd__clkbuf_2 _18340_ (.A(_04215_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04597_));
+ sky130_fd_sc_hd__mux2_1 _18341_ (.A0(_04597_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[11][6] ),
+    .S(_04593_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04598_));
+ sky130_fd_sc_hd__clkbuf_1 _18342_ (.A(_04598_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01960_));
+ sky130_fd_sc_hd__clkbuf_2 _18343_ (.A(_03881_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04599_));
+ sky130_fd_sc_hd__mux2_1 _18344_ (.A0(_04599_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[11][7] ),
+    .S(_04593_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04600_));
+ sky130_fd_sc_hd__clkbuf_1 _18345_ (.A(_04600_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01961_));
+ sky130_fd_sc_hd__or2_1 _18346_ (.A(_03927_),
+    .B(_04571_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04601_));
+ sky130_fd_sc_hd__buf_2 _18347_ (.A(_04601_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04602_));
+ sky130_fd_sc_hd__mux2_1 _18348_ (.A0(_04570_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[10][0] ),
+    .S(_04602_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04603_));
+ sky130_fd_sc_hd__clkbuf_1 _18349_ (.A(_04603_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01962_));
+ sky130_fd_sc_hd__mux2_1 _18350_ (.A0(_04586_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[10][1] ),
+    .S(_04602_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04604_));
+ sky130_fd_sc_hd__clkbuf_1 _18351_ (.A(_04604_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01963_));
+ sky130_fd_sc_hd__mux2_1 _18352_ (.A0(_04588_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[10][2] ),
+    .S(_04602_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04605_));
+ sky130_fd_sc_hd__clkbuf_1 _18353_ (.A(_04605_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01964_));
+ sky130_fd_sc_hd__mux2_1 _18354_ (.A0(_04590_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[10][3] ),
+    .S(_04602_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04606_));
+ sky130_fd_sc_hd__clkbuf_1 _18355_ (.A(_04606_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01965_));
+ sky130_fd_sc_hd__buf_2 _18356_ (.A(_04601_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04607_));
+ sky130_fd_sc_hd__mux2_1 _18357_ (.A0(_04592_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[10][4] ),
+    .S(_04607_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04608_));
+ sky130_fd_sc_hd__clkbuf_1 _18358_ (.A(_04608_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01966_));
+ sky130_fd_sc_hd__mux2_1 _18359_ (.A0(_04595_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[10][5] ),
+    .S(_04607_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04609_));
+ sky130_fd_sc_hd__clkbuf_1 _18360_ (.A(_04609_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01967_));
+ sky130_fd_sc_hd__mux2_1 _18361_ (.A0(_04597_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[10][6] ),
+    .S(_04607_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04610_));
+ sky130_fd_sc_hd__clkbuf_1 _18362_ (.A(_04610_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01968_));
+ sky130_fd_sc_hd__mux2_1 _18363_ (.A0(_04599_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[10][7] ),
+    .S(_04607_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04611_));
+ sky130_fd_sc_hd__clkbuf_1 _18364_ (.A(_04611_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01969_));
+ sky130_fd_sc_hd__or2_1 _18365_ (.A(_03947_),
+    .B(_04571_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_04612_));
- sky130_fd_sc_hd__buf_2 _18375_ (.A(_04603_),
+ sky130_fd_sc_hd__clkbuf_4 _18366_ (.A(_04612_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_04613_));
- sky130_fd_sc_hd__mux2_1 _18376_ (.A0(_04612_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[11][4] ),
+ sky130_fd_sc_hd__mux2_1 _18367_ (.A0(_04570_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[9][0] ),
     .S(_04613_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_04614_));
- sky130_fd_sc_hd__clkbuf_1 _18377_ (.A(_04614_),
+ sky130_fd_sc_hd__clkbuf_1 _18368_ (.A(_04614_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_01958_));
- sky130_fd_sc_hd__clkbuf_2 _18378_ (.A(_04232_),
+    .X(_01970_));
+ sky130_fd_sc_hd__mux2_1 _18369_ (.A0(_04586_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[9][1] ),
+    .S(_04613_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_04615_));
- sky130_fd_sc_hd__mux2_1 _18379_ (.A0(_04615_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[11][5] ),
+ sky130_fd_sc_hd__clkbuf_1 _18370_ (.A(_04615_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_01971_));
+ sky130_fd_sc_hd__mux2_1 _18371_ (.A0(_04588_),
+    .A1(\u_usb_host.u_core.u_fifo_rx.ram[9][2] ),
     .S(_04613_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_04616_));
- sky130_fd_sc_hd__clkbuf_1 _18380_ (.A(_04616_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01959_));
- sky130_fd_sc_hd__clkbuf_2 _18381_ (.A(_04235_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04617_));
- sky130_fd_sc_hd__mux2_1 _18382_ (.A0(_04617_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[11][6] ),
-    .S(_04613_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04618_));
- sky130_fd_sc_hd__clkbuf_1 _18383_ (.A(_04618_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01960_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _18384_ (.A(_03903_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04619_));
- sky130_fd_sc_hd__mux2_1 _18385_ (.A0(_04619_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[11][7] ),
-    .S(_04613_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04620_));
- sky130_fd_sc_hd__clkbuf_1 _18386_ (.A(_04620_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01961_));
- sky130_fd_sc_hd__or2_1 _18387_ (.A(_03949_),
-    .B(_04590_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04621_));
- sky130_fd_sc_hd__clkbuf_2 _18388_ (.A(_04621_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04622_));
- sky130_fd_sc_hd__mux2_1 _18389_ (.A0(_04602_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[10][0] ),
-    .S(_04622_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04623_));
- sky130_fd_sc_hd__clkbuf_1 _18390_ (.A(_04623_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01962_));
- sky130_fd_sc_hd__mux2_1 _18391_ (.A0(_04606_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[10][1] ),
-    .S(_04622_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04624_));
- sky130_fd_sc_hd__clkbuf_1 _18392_ (.A(_04624_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01963_));
- sky130_fd_sc_hd__mux2_1 _18393_ (.A0(_04608_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[10][2] ),
-    .S(_04622_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04625_));
- sky130_fd_sc_hd__clkbuf_1 _18394_ (.A(_04625_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01964_));
- sky130_fd_sc_hd__mux2_1 _18395_ (.A0(_04610_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[10][3] ),
-    .S(_04622_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04626_));
- sky130_fd_sc_hd__clkbuf_1 _18396_ (.A(_04626_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01965_));
- sky130_fd_sc_hd__buf_2 _18397_ (.A(_04621_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04627_));
- sky130_fd_sc_hd__mux2_1 _18398_ (.A0(_04612_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[10][4] ),
-    .S(_04627_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04628_));
- sky130_fd_sc_hd__clkbuf_1 _18399_ (.A(_04628_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01966_));
- sky130_fd_sc_hd__mux2_1 _18400_ (.A0(_04615_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[10][5] ),
-    .S(_04627_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04629_));
- sky130_fd_sc_hd__clkbuf_1 _18401_ (.A(_04629_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01967_));
- sky130_fd_sc_hd__mux2_1 _18402_ (.A0(_04617_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[10][6] ),
-    .S(_04627_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04630_));
- sky130_fd_sc_hd__clkbuf_1 _18403_ (.A(_04630_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01968_));
- sky130_fd_sc_hd__mux2_1 _18404_ (.A0(_04619_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[10][7] ),
-    .S(_04627_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04631_));
- sky130_fd_sc_hd__clkbuf_1 _18405_ (.A(_04631_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01969_));
- sky130_fd_sc_hd__or2_1 _18406_ (.A(_03969_),
-    .B(_04590_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04632_));
- sky130_fd_sc_hd__buf_2 _18407_ (.A(_04632_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04633_));
- sky130_fd_sc_hd__mux2_1 _18408_ (.A0(_04602_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[9][0] ),
-    .S(_04633_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04634_));
- sky130_fd_sc_hd__clkbuf_1 _18409_ (.A(_04634_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01970_));
- sky130_fd_sc_hd__mux2_1 _18410_ (.A0(_04606_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[9][1] ),
-    .S(_04633_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04635_));
- sky130_fd_sc_hd__clkbuf_1 _18411_ (.A(_04635_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_01971_));
- sky130_fd_sc_hd__mux2_1 _18412_ (.A0(_04608_),
-    .A1(\u_usb_host.u_core.u_fifo_rx.ram[9][2] ),
-    .S(_04633_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04636_));
- sky130_fd_sc_hd__clkbuf_1 _18413_ (.A(_04636_),
+ sky130_fd_sc_hd__clkbuf_1 _18372_ (.A(_04616_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01972_));
- sky130_fd_sc_hd__mux2_1 _18414_ (.A0(_04610_),
+ sky130_fd_sc_hd__mux2_1 _18373_ (.A0(_04590_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[9][3] ),
-    .S(_04633_),
+    .S(_04613_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04637_));
- sky130_fd_sc_hd__clkbuf_1 _18415_ (.A(_04637_),
+    .X(_04617_));
+ sky130_fd_sc_hd__clkbuf_1 _18374_ (.A(_04617_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01973_));
- sky130_fd_sc_hd__buf_2 _18416_ (.A(_04632_),
+ sky130_fd_sc_hd__clkbuf_2 _18375_ (.A(_04612_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04638_));
- sky130_fd_sc_hd__mux2_1 _18417_ (.A0(_04612_),
+    .X(_04618_));
+ sky130_fd_sc_hd__mux2_1 _18376_ (.A0(_04592_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[9][4] ),
-    .S(_04638_),
+    .S(_04618_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04639_));
- sky130_fd_sc_hd__clkbuf_1 _18418_ (.A(_04639_),
+    .X(_04619_));
+ sky130_fd_sc_hd__clkbuf_1 _18377_ (.A(_04619_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01974_));
- sky130_fd_sc_hd__mux2_1 _18419_ (.A0(_04615_),
+ sky130_fd_sc_hd__mux2_1 _18378_ (.A0(_04595_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[9][5] ),
-    .S(_04638_),
+    .S(_04618_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04640_));
- sky130_fd_sc_hd__clkbuf_1 _18420_ (.A(_04640_),
+    .X(_04620_));
+ sky130_fd_sc_hd__clkbuf_1 _18379_ (.A(_04620_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01975_));
- sky130_fd_sc_hd__mux2_1 _18421_ (.A0(_04617_),
+ sky130_fd_sc_hd__mux2_1 _18380_ (.A0(_04597_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[9][6] ),
-    .S(_04638_),
+    .S(_04618_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04641_));
- sky130_fd_sc_hd__clkbuf_1 _18422_ (.A(_04641_),
+    .X(_04621_));
+ sky130_fd_sc_hd__clkbuf_1 _18381_ (.A(_04621_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01976_));
- sky130_fd_sc_hd__mux2_1 _18423_ (.A0(_04619_),
+ sky130_fd_sc_hd__mux2_1 _18382_ (.A0(_04599_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[9][7] ),
-    .S(_04638_),
+    .S(_04618_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04642_));
- sky130_fd_sc_hd__clkbuf_1 _18424_ (.A(_04642_),
+    .X(_04622_));
+ sky130_fd_sc_hd__clkbuf_1 _18383_ (.A(_04622_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01977_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _18425_ (.A(_02639_),
+ sky130_fd_sc_hd__clkbuf_1 _18384_ (.A(_04528_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04643_));
- sky130_fd_sc_hd__clkbuf_1 _18426_ (.A(_04548_),
+    .X(_04623_));
+ sky130_fd_sc_hd__nor2_1 _18385_ (.A(_03959_),
+    .B(_04623_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04644_));
- sky130_fd_sc_hd__nor2_1 _18427_ (.A(_03981_),
-    .B(_04644_),
+    .Y(_04624_));
+ sky130_fd_sc_hd__buf_2 _18386_ (.A(_04624_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04645_));
- sky130_fd_sc_hd__buf_2 _18428_ (.A(_04645_),
+    .X(_04625_));
+ sky130_fd_sc_hd__mux2_1 _18387_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[8][0] ),
+    .A1(_04516_),
+    .S(_04625_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04646_));
- sky130_fd_sc_hd__mux2_1 _18429_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[8][0] ),
-    .A1(_04643_),
-    .S(_04646_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04647_));
- sky130_fd_sc_hd__clkbuf_1 _18430_ (.A(_04647_),
+    .X(_04626_));
+ sky130_fd_sc_hd__clkbuf_1 _18388_ (.A(_04626_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01978_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _18431_ (.A(_02642_),
+ sky130_fd_sc_hd__clkbuf_2 _18389_ (.A(_02622_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04648_));
- sky130_fd_sc_hd__mux2_1 _18432_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[8][1] ),
-    .A1(_04648_),
-    .S(_04646_),
+    .X(_04627_));
+ sky130_fd_sc_hd__mux2_1 _18390_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[8][1] ),
+    .A1(_04627_),
+    .S(_04625_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04649_));
- sky130_fd_sc_hd__clkbuf_1 _18433_ (.A(_04649_),
+    .X(_04628_));
+ sky130_fd_sc_hd__clkbuf_1 _18391_ (.A(_04628_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01979_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _18434_ (.A(_02646_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _18392_ (.A(_02625_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04650_));
- sky130_fd_sc_hd__mux2_1 _18435_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[8][2] ),
-    .A1(_04650_),
-    .S(_04646_),
+    .X(_04629_));
+ sky130_fd_sc_hd__mux2_1 _18393_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[8][2] ),
+    .A1(_04629_),
+    .S(_04625_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04651_));
- sky130_fd_sc_hd__clkbuf_1 _18436_ (.A(_04651_),
+    .X(_04630_));
+ sky130_fd_sc_hd__clkbuf_1 _18394_ (.A(_04630_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01980_));
- sky130_fd_sc_hd__clkbuf_1 _18437_ (.A(_02651_),
+ sky130_fd_sc_hd__clkbuf_1 _18395_ (.A(_02629_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04652_));
- sky130_fd_sc_hd__mux2_1 _18438_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[8][3] ),
-    .A1(_04652_),
-    .S(_04646_),
+    .X(_04631_));
+ sky130_fd_sc_hd__mux2_1 _18396_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[8][3] ),
+    .A1(_04631_),
+    .S(_04625_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04653_));
- sky130_fd_sc_hd__clkbuf_1 _18439_ (.A(_04653_),
+    .X(_04632_));
+ sky130_fd_sc_hd__clkbuf_1 _18397_ (.A(_04632_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01981_));
- sky130_fd_sc_hd__clkbuf_1 _18440_ (.A(_02654_),
+ sky130_fd_sc_hd__clkbuf_1 _18398_ (.A(_02634_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04654_));
- sky130_fd_sc_hd__buf_2 _18441_ (.A(_04645_),
+    .X(_04633_));
+ sky130_fd_sc_hd__clkbuf_2 _18399_ (.A(_04624_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04655_));
- sky130_fd_sc_hd__mux2_1 _18442_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[8][4] ),
-    .A1(_04654_),
-    .S(_04655_),
+    .X(_04634_));
+ sky130_fd_sc_hd__mux2_1 _18400_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[8][4] ),
+    .A1(_04633_),
+    .S(_04634_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04656_));
- sky130_fd_sc_hd__clkbuf_1 _18443_ (.A(_04656_),
+    .X(_04635_));
+ sky130_fd_sc_hd__clkbuf_1 _18401_ (.A(_04635_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01982_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _18444_ (.A(_02657_),
+ sky130_fd_sc_hd__clkbuf_2 _18402_ (.A(_02637_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04657_));
- sky130_fd_sc_hd__mux2_1 _18445_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[8][5] ),
-    .A1(_04657_),
-    .S(_04655_),
+    .X(_04636_));
+ sky130_fd_sc_hd__mux2_1 _18403_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[8][5] ),
+    .A1(_04636_),
+    .S(_04634_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04658_));
- sky130_fd_sc_hd__clkbuf_1 _18446_ (.A(_04658_),
+    .X(_04637_));
+ sky130_fd_sc_hd__clkbuf_1 _18404_ (.A(_04637_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01983_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _18447_ (.A(_02660_),
+ sky130_fd_sc_hd__clkbuf_2 _18405_ (.A(_02640_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04659_));
- sky130_fd_sc_hd__mux2_1 _18448_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[8][6] ),
-    .A1(_04659_),
-    .S(_04655_),
+    .X(_04638_));
+ sky130_fd_sc_hd__mux2_1 _18406_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[8][6] ),
+    .A1(_04638_),
+    .S(_04634_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04660_));
- sky130_fd_sc_hd__clkbuf_1 _18449_ (.A(_04660_),
+    .X(_04639_));
+ sky130_fd_sc_hd__clkbuf_1 _18407_ (.A(_04639_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01984_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _18450_ (.A(_04352_),
+ sky130_fd_sc_hd__clkbuf_2 _18408_ (.A(_04332_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04661_));
- sky130_fd_sc_hd__mux2_1 _18451_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[8][7] ),
-    .A1(_04661_),
-    .S(_04655_),
+    .X(_04640_));
+ sky130_fd_sc_hd__mux2_1 _18409_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[8][7] ),
+    .A1(_04640_),
+    .S(_04634_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04662_));
- sky130_fd_sc_hd__clkbuf_1 _18452_ (.A(_04662_),
+    .X(_04641_));
+ sky130_fd_sc_hd__clkbuf_1 _18410_ (.A(_04641_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01985_));
- sky130_fd_sc_hd__clkbuf_2 _18453_ (.A(_04548_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _18411_ (.A(_04266_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04663_));
- sky130_fd_sc_hd__or2_1 _18454_ (.A(_03996_),
-    .B(_04663_),
+    .X(_04642_));
+ sky130_fd_sc_hd__clkbuf_1 _18412_ (.A(_04528_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04664_));
- sky130_fd_sc_hd__clkbuf_2 _18455_ (.A(_04664_),
+    .X(_04643_));
+ sky130_fd_sc_hd__or2_1 _18413_ (.A(_03975_),
+    .B(_04643_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04665_));
- sky130_fd_sc_hd__mux2_1 _18456_ (.A0(_04602_),
+    .X(_04644_));
+ sky130_fd_sc_hd__clkbuf_4 _18414_ (.A(_04644_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04645_));
+ sky130_fd_sc_hd__mux2_1 _18415_ (.A0(_04642_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[7][0] ),
-    .S(_04665_),
+    .S(_04645_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04666_));
- sky130_fd_sc_hd__clkbuf_1 _18457_ (.A(_04666_),
+    .X(_04646_));
+ sky130_fd_sc_hd__clkbuf_1 _18416_ (.A(_04646_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01986_));
- sky130_fd_sc_hd__mux2_1 _18458_ (.A0(_04606_),
+ sky130_fd_sc_hd__mux2_1 _18417_ (.A0(_04586_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[7][1] ),
-    .S(_04665_),
+    .S(_04645_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04667_));
- sky130_fd_sc_hd__clkbuf_1 _18459_ (.A(_04667_),
+    .X(_04647_));
+ sky130_fd_sc_hd__clkbuf_1 _18418_ (.A(_04647_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01987_));
- sky130_fd_sc_hd__mux2_1 _18460_ (.A0(_04608_),
+ sky130_fd_sc_hd__mux2_1 _18419_ (.A0(_04588_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[7][2] ),
-    .S(_04665_),
+    .S(_04645_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04668_));
- sky130_fd_sc_hd__clkbuf_1 _18461_ (.A(_04668_),
+    .X(_04648_));
+ sky130_fd_sc_hd__clkbuf_1 _18420_ (.A(_04648_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01988_));
- sky130_fd_sc_hd__mux2_1 _18462_ (.A0(_04610_),
+ sky130_fd_sc_hd__mux2_1 _18421_ (.A0(_04590_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[7][3] ),
-    .S(_04665_),
+    .S(_04645_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04669_));
- sky130_fd_sc_hd__clkbuf_1 _18463_ (.A(_04669_),
+    .X(_04649_));
+ sky130_fd_sc_hd__clkbuf_1 _18422_ (.A(_04649_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01989_));
- sky130_fd_sc_hd__buf_2 _18464_ (.A(_04664_),
+ sky130_fd_sc_hd__clkbuf_2 _18423_ (.A(_04644_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04670_));
- sky130_fd_sc_hd__mux2_1 _18465_ (.A0(_04612_),
+    .X(_04650_));
+ sky130_fd_sc_hd__mux2_1 _18424_ (.A0(_04592_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[7][4] ),
-    .S(_04670_),
+    .S(_04650_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04671_));
- sky130_fd_sc_hd__clkbuf_1 _18466_ (.A(_04671_),
+    .X(_04651_));
+ sky130_fd_sc_hd__clkbuf_1 _18425_ (.A(_04651_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01990_));
- sky130_fd_sc_hd__mux2_1 _18467_ (.A0(_04615_),
+ sky130_fd_sc_hd__mux2_1 _18426_ (.A0(_04595_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[7][5] ),
-    .S(_04670_),
+    .S(_04650_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04672_));
- sky130_fd_sc_hd__clkbuf_1 _18468_ (.A(_04672_),
+    .X(_04652_));
+ sky130_fd_sc_hd__clkbuf_1 _18427_ (.A(_04652_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01991_));
- sky130_fd_sc_hd__mux2_1 _18469_ (.A0(_04617_),
+ sky130_fd_sc_hd__mux2_1 _18428_ (.A0(_04597_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[7][6] ),
-    .S(_04670_),
+    .S(_04650_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04673_));
- sky130_fd_sc_hd__clkbuf_1 _18470_ (.A(_04673_),
+    .X(_04653_));
+ sky130_fd_sc_hd__clkbuf_1 _18429_ (.A(_04653_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01992_));
- sky130_fd_sc_hd__mux2_1 _18471_ (.A0(_04619_),
+ sky130_fd_sc_hd__mux2_1 _18430_ (.A0(_04599_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[7][7] ),
-    .S(_04670_),
+    .S(_04650_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04674_));
- sky130_fd_sc_hd__clkbuf_1 _18472_ (.A(_04674_),
+    .X(_04654_));
+ sky130_fd_sc_hd__clkbuf_1 _18431_ (.A(_04654_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01993_));
- sky130_fd_sc_hd__or2_1 _18473_ (.A(_04010_),
-    .B(_04663_),
+ sky130_fd_sc_hd__or2_1 _18432_ (.A(_03988_),
+    .B(_04643_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04675_));
- sky130_fd_sc_hd__buf_2 _18474_ (.A(_04675_),
+    .X(_04655_));
+ sky130_fd_sc_hd__buf_2 _18433_ (.A(_04655_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04676_));
- sky130_fd_sc_hd__mux2_1 _18475_ (.A0(_03828_),
+    .X(_04656_));
+ sky130_fd_sc_hd__mux2_1 _18434_ (.A0(_04642_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[6][0] ),
-    .S(_04676_),
+    .S(_04656_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04677_));
- sky130_fd_sc_hd__clkbuf_1 _18476_ (.A(_04677_),
+    .X(_04657_));
+ sky130_fd_sc_hd__clkbuf_1 _18435_ (.A(_04657_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01994_));
- sky130_fd_sc_hd__mux2_1 _18477_ (.A0(_03849_),
+ sky130_fd_sc_hd__mux2_1 _18436_ (.A0(_03829_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[6][1] ),
-    .S(_04676_),
+    .S(_04656_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04678_));
- sky130_fd_sc_hd__clkbuf_1 _18478_ (.A(_04678_),
+    .X(_04658_));
+ sky130_fd_sc_hd__clkbuf_1 _18437_ (.A(_04658_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01995_));
- sky130_fd_sc_hd__mux2_1 _18479_ (.A0(_03851_),
+ sky130_fd_sc_hd__mux2_1 _18438_ (.A0(_03831_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[6][2] ),
-    .S(_04676_),
+    .S(_04656_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04679_));
- sky130_fd_sc_hd__clkbuf_1 _18480_ (.A(_04679_),
+    .X(_04659_));
+ sky130_fd_sc_hd__clkbuf_1 _18439_ (.A(_04659_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01996_));
- sky130_fd_sc_hd__mux2_1 _18481_ (.A0(_03853_),
+ sky130_fd_sc_hd__mux2_1 _18440_ (.A0(_03833_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[6][3] ),
-    .S(_04676_),
+    .S(_04656_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04680_));
- sky130_fd_sc_hd__clkbuf_1 _18482_ (.A(_04680_),
+    .X(_04660_));
+ sky130_fd_sc_hd__clkbuf_1 _18441_ (.A(_04660_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01997_));
- sky130_fd_sc_hd__buf_2 _18483_ (.A(_04675_),
+ sky130_fd_sc_hd__buf_2 _18442_ (.A(_04655_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04681_));
- sky130_fd_sc_hd__mux2_1 _18484_ (.A0(_03855_),
+    .X(_04661_));
+ sky130_fd_sc_hd__mux2_1 _18443_ (.A0(_03835_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[6][4] ),
-    .S(_04681_),
+    .S(_04661_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04682_));
- sky130_fd_sc_hd__clkbuf_1 _18485_ (.A(_04682_),
+    .X(_04662_));
+ sky130_fd_sc_hd__clkbuf_1 _18444_ (.A(_04662_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01998_));
- sky130_fd_sc_hd__mux2_1 _18486_ (.A0(_03858_),
+ sky130_fd_sc_hd__mux2_1 _18445_ (.A0(_03838_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[6][5] ),
-    .S(_04681_),
+    .S(_04661_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04683_));
- sky130_fd_sc_hd__clkbuf_1 _18487_ (.A(_04683_),
+    .X(_04663_));
+ sky130_fd_sc_hd__clkbuf_1 _18446_ (.A(_04663_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_01999_));
- sky130_fd_sc_hd__mux2_1 _18488_ (.A0(_03860_),
+ sky130_fd_sc_hd__mux2_1 _18447_ (.A0(_03840_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[6][6] ),
-    .S(_04681_),
+    .S(_04661_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04684_));
- sky130_fd_sc_hd__clkbuf_1 _18489_ (.A(_04684_),
+    .X(_04664_));
+ sky130_fd_sc_hd__clkbuf_1 _18448_ (.A(_04664_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02000_));
- sky130_fd_sc_hd__mux2_1 _18490_ (.A0(_03862_),
+ sky130_fd_sc_hd__mux2_1 _18449_ (.A0(_03842_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[6][7] ),
-    .S(_04681_),
+    .S(_04661_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04685_));
- sky130_fd_sc_hd__clkbuf_1 _18491_ (.A(_04685_),
+    .X(_04665_));
+ sky130_fd_sc_hd__clkbuf_1 _18450_ (.A(_04665_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02001_));
- sky130_fd_sc_hd__or2_1 _18492_ (.A(_04029_),
-    .B(_04663_),
+ sky130_fd_sc_hd__or2_1 _18451_ (.A(_04007_),
+    .B(_04643_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04686_));
- sky130_fd_sc_hd__clkbuf_4 _18493_ (.A(_04686_),
+    .X(_04666_));
+ sky130_fd_sc_hd__clkbuf_4 _18452_ (.A(_04666_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04687_));
- sky130_fd_sc_hd__mux2_1 _18494_ (.A0(_03828_),
+    .X(_04667_));
+ sky130_fd_sc_hd__mux2_1 _18453_ (.A0(_04642_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[5][0] ),
-    .S(_04687_),
+    .S(_04667_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04688_));
- sky130_fd_sc_hd__clkbuf_1 _18495_ (.A(_04688_),
+    .X(_04668_));
+ sky130_fd_sc_hd__clkbuf_1 _18454_ (.A(_04668_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02002_));
- sky130_fd_sc_hd__mux2_1 _18496_ (.A0(_03849_),
+ sky130_fd_sc_hd__mux2_1 _18455_ (.A0(_03829_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[5][1] ),
-    .S(_04687_),
+    .S(_04667_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04689_));
- sky130_fd_sc_hd__clkbuf_1 _18497_ (.A(_04689_),
+    .X(_04669_));
+ sky130_fd_sc_hd__clkbuf_1 _18456_ (.A(_04669_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02003_));
- sky130_fd_sc_hd__mux2_1 _18498_ (.A0(_03851_),
+ sky130_fd_sc_hd__mux2_1 _18457_ (.A0(_03831_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[5][2] ),
-    .S(_04687_),
+    .S(_04667_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04690_));
- sky130_fd_sc_hd__clkbuf_1 _18499_ (.A(_04690_),
+    .X(_04670_));
+ sky130_fd_sc_hd__clkbuf_1 _18458_ (.A(_04670_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02004_));
- sky130_fd_sc_hd__mux2_1 _18500_ (.A0(_03853_),
+ sky130_fd_sc_hd__mux2_1 _18459_ (.A0(_03833_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[5][3] ),
-    .S(_04687_),
+    .S(_04667_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04691_));
- sky130_fd_sc_hd__clkbuf_1 _18501_ (.A(_04691_),
+    .X(_04671_));
+ sky130_fd_sc_hd__clkbuf_1 _18460_ (.A(_04671_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02005_));
- sky130_fd_sc_hd__clkbuf_4 _18502_ (.A(_04686_),
+ sky130_fd_sc_hd__clkbuf_4 _18461_ (.A(_04666_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04692_));
- sky130_fd_sc_hd__mux2_1 _18503_ (.A0(_03855_),
+    .X(_04672_));
+ sky130_fd_sc_hd__mux2_1 _18462_ (.A0(_03835_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[5][4] ),
-    .S(_04692_),
+    .S(_04672_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04693_));
- sky130_fd_sc_hd__clkbuf_1 _18504_ (.A(_04693_),
+    .X(_04673_));
+ sky130_fd_sc_hd__clkbuf_1 _18463_ (.A(_04673_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02006_));
- sky130_fd_sc_hd__mux2_1 _18505_ (.A0(_03858_),
+ sky130_fd_sc_hd__mux2_1 _18464_ (.A0(_03838_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[5][5] ),
-    .S(_04692_),
+    .S(_04672_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04694_));
- sky130_fd_sc_hd__clkbuf_1 _18506_ (.A(_04694_),
+    .X(_04674_));
+ sky130_fd_sc_hd__clkbuf_1 _18465_ (.A(_04674_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02007_));
- sky130_fd_sc_hd__mux2_1 _18507_ (.A0(_03860_),
+ sky130_fd_sc_hd__mux2_1 _18466_ (.A0(_03840_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[5][6] ),
-    .S(_04692_),
+    .S(_04672_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04695_));
- sky130_fd_sc_hd__clkbuf_1 _18508_ (.A(_04695_),
+    .X(_04675_));
+ sky130_fd_sc_hd__clkbuf_1 _18467_ (.A(_04675_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02008_));
- sky130_fd_sc_hd__mux2_1 _18509_ (.A0(_03862_),
+ sky130_fd_sc_hd__mux2_1 _18468_ (.A0(_03842_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[5][7] ),
-    .S(_04692_),
+    .S(_04672_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04696_));
- sky130_fd_sc_hd__clkbuf_1 _18510_ (.A(_04696_),
+    .X(_04676_));
+ sky130_fd_sc_hd__clkbuf_1 _18469_ (.A(_04676_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02009_));
- sky130_fd_sc_hd__nor2_1 _18511_ (.A(_04041_),
-    .B(_04644_),
+ sky130_fd_sc_hd__nor2_1 _18470_ (.A(_04019_),
+    .B(_04623_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04697_));
- sky130_fd_sc_hd__buf_2 _18512_ (.A(_04697_),
+    .Y(_04677_));
+ sky130_fd_sc_hd__buf_2 _18471_ (.A(_04677_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04698_));
- sky130_fd_sc_hd__mux2_1 _18513_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[4][0] ),
-    .A1(_04643_),
-    .S(_04698_),
+    .X(_04678_));
+ sky130_fd_sc_hd__mux2_1 _18472_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[4][0] ),
+    .A1(_04516_),
+    .S(_04678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04699_));
- sky130_fd_sc_hd__clkbuf_1 _18514_ (.A(_04699_),
+    .X(_04679_));
+ sky130_fd_sc_hd__clkbuf_1 _18473_ (.A(_04679_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02010_));
- sky130_fd_sc_hd__mux2_1 _18515_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[4][1] ),
-    .A1(_04648_),
-    .S(_04698_),
+ sky130_fd_sc_hd__mux2_1 _18474_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[4][1] ),
+    .A1(_04627_),
+    .S(_04678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04700_));
- sky130_fd_sc_hd__clkbuf_1 _18516_ (.A(_04700_),
+    .X(_04680_));
+ sky130_fd_sc_hd__clkbuf_1 _18475_ (.A(_04680_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02011_));
- sky130_fd_sc_hd__mux2_1 _18517_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[4][2] ),
-    .A1(_04650_),
-    .S(_04698_),
+ sky130_fd_sc_hd__mux2_1 _18476_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[4][2] ),
+    .A1(_04629_),
+    .S(_04678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04701_));
- sky130_fd_sc_hd__clkbuf_1 _18518_ (.A(_04701_),
+    .X(_04681_));
+ sky130_fd_sc_hd__clkbuf_1 _18477_ (.A(_04681_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02012_));
- sky130_fd_sc_hd__mux2_1 _18519_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[4][3] ),
-    .A1(_04652_),
-    .S(_04698_),
+ sky130_fd_sc_hd__mux2_1 _18478_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[4][3] ),
+    .A1(_04631_),
+    .S(_04678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04702_));
- sky130_fd_sc_hd__clkbuf_1 _18520_ (.A(_04702_),
+    .X(_04682_));
+ sky130_fd_sc_hd__clkbuf_1 _18479_ (.A(_04682_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02013_));
- sky130_fd_sc_hd__buf_2 _18521_ (.A(_04697_),
+ sky130_fd_sc_hd__buf_2 _18480_ (.A(_04677_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04703_));
- sky130_fd_sc_hd__mux2_1 _18522_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[4][4] ),
-    .A1(_04654_),
-    .S(_04703_),
+    .X(_04683_));
+ sky130_fd_sc_hd__mux2_1 _18481_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[4][4] ),
+    .A1(_04633_),
+    .S(_04683_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04704_));
- sky130_fd_sc_hd__clkbuf_1 _18523_ (.A(_04704_),
+    .X(_04684_));
+ sky130_fd_sc_hd__clkbuf_1 _18482_ (.A(_04684_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02014_));
- sky130_fd_sc_hd__mux2_1 _18524_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[4][5] ),
-    .A1(_04657_),
-    .S(_04703_),
+ sky130_fd_sc_hd__mux2_1 _18483_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[4][5] ),
+    .A1(_04636_),
+    .S(_04683_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04705_));
- sky130_fd_sc_hd__clkbuf_1 _18525_ (.A(_04705_),
+    .X(_04685_));
+ sky130_fd_sc_hd__clkbuf_1 _18484_ (.A(_04685_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02015_));
- sky130_fd_sc_hd__mux2_1 _18526_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[4][6] ),
-    .A1(_04659_),
-    .S(_04703_),
+ sky130_fd_sc_hd__mux2_1 _18485_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[4][6] ),
+    .A1(_04638_),
+    .S(_04683_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04706_));
- sky130_fd_sc_hd__clkbuf_1 _18527_ (.A(_04706_),
+    .X(_04686_));
+ sky130_fd_sc_hd__clkbuf_1 _18486_ (.A(_04686_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02016_));
- sky130_fd_sc_hd__mux2_1 _18528_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[4][7] ),
-    .A1(_04661_),
-    .S(_04703_),
+ sky130_fd_sc_hd__mux2_1 _18487_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[4][7] ),
+    .A1(_04640_),
+    .S(_04683_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04707_));
- sky130_fd_sc_hd__clkbuf_1 _18529_ (.A(_04707_),
+    .X(_04687_));
+ sky130_fd_sc_hd__clkbuf_1 _18488_ (.A(_04687_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02017_));
- sky130_fd_sc_hd__or2_1 _18530_ (.A(_04054_),
-    .B(_04663_),
+ sky130_fd_sc_hd__or2_1 _18489_ (.A(_04033_),
+    .B(_04643_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04708_));
- sky130_fd_sc_hd__buf_2 _18531_ (.A(_04708_),
+    .X(_04688_));
+ sky130_fd_sc_hd__clkbuf_4 _18490_ (.A(_04688_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04709_));
- sky130_fd_sc_hd__mux2_1 _18532_ (.A0(_03828_),
+    .X(_04689_));
+ sky130_fd_sc_hd__mux2_1 _18491_ (.A0(_04642_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[3][0] ),
-    .S(_04709_),
+    .S(_04689_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04710_));
- sky130_fd_sc_hd__clkbuf_1 _18533_ (.A(_04710_),
+    .X(_04690_));
+ sky130_fd_sc_hd__clkbuf_1 _18492_ (.A(_04690_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02018_));
- sky130_fd_sc_hd__mux2_1 _18534_ (.A0(_03849_),
+ sky130_fd_sc_hd__mux2_1 _18493_ (.A0(_03829_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[3][1] ),
-    .S(_04709_),
+    .S(_04689_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04711_));
- sky130_fd_sc_hd__clkbuf_1 _18535_ (.A(_04711_),
+    .X(_04691_));
+ sky130_fd_sc_hd__clkbuf_1 _18494_ (.A(_04691_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02019_));
- sky130_fd_sc_hd__mux2_1 _18536_ (.A0(_03851_),
+ sky130_fd_sc_hd__mux2_1 _18495_ (.A0(_03831_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[3][2] ),
-    .S(_04709_),
+    .S(_04689_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04712_));
- sky130_fd_sc_hd__clkbuf_1 _18537_ (.A(_04712_),
+    .X(_04692_));
+ sky130_fd_sc_hd__clkbuf_1 _18496_ (.A(_04692_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02020_));
- sky130_fd_sc_hd__mux2_1 _18538_ (.A0(_03853_),
+ sky130_fd_sc_hd__mux2_1 _18497_ (.A0(_03833_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[3][3] ),
-    .S(_04709_),
+    .S(_04689_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04713_));
- sky130_fd_sc_hd__clkbuf_1 _18539_ (.A(_04713_),
+    .X(_04693_));
+ sky130_fd_sc_hd__clkbuf_1 _18498_ (.A(_04693_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02021_));
- sky130_fd_sc_hd__clkbuf_2 _18540_ (.A(_04708_),
+ sky130_fd_sc_hd__buf_2 _18499_ (.A(_04688_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04714_));
- sky130_fd_sc_hd__mux2_1 _18541_ (.A0(_03855_),
+    .X(_04694_));
+ sky130_fd_sc_hd__mux2_1 _18500_ (.A0(_03835_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[3][4] ),
-    .S(_04714_),
+    .S(_04694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04715_));
- sky130_fd_sc_hd__clkbuf_1 _18542_ (.A(_04715_),
+    .X(_04695_));
+ sky130_fd_sc_hd__clkbuf_1 _18501_ (.A(_04695_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02022_));
- sky130_fd_sc_hd__mux2_1 _18543_ (.A0(_03858_),
+ sky130_fd_sc_hd__mux2_1 _18502_ (.A0(_03838_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[3][5] ),
-    .S(_04714_),
+    .S(_04694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04716_));
- sky130_fd_sc_hd__clkbuf_1 _18544_ (.A(_04716_),
+    .X(_04696_));
+ sky130_fd_sc_hd__clkbuf_1 _18503_ (.A(_04696_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02023_));
- sky130_fd_sc_hd__mux2_1 _18545_ (.A0(_03860_),
+ sky130_fd_sc_hd__mux2_1 _18504_ (.A0(_03840_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[3][6] ),
-    .S(_04714_),
+    .S(_04694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04717_));
- sky130_fd_sc_hd__clkbuf_1 _18546_ (.A(_04717_),
+    .X(_04697_));
+ sky130_fd_sc_hd__clkbuf_1 _18505_ (.A(_04697_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02024_));
- sky130_fd_sc_hd__mux2_1 _18547_ (.A0(_03862_),
+ sky130_fd_sc_hd__mux2_1 _18506_ (.A0(_03842_),
     .A1(\u_usb_host.u_core.u_fifo_rx.ram[3][7] ),
-    .S(_04714_),
+    .S(_04694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04718_));
- sky130_fd_sc_hd__clkbuf_1 _18548_ (.A(_04718_),
+    .X(_04698_));
+ sky130_fd_sc_hd__clkbuf_1 _18507_ (.A(_04698_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02025_));
- sky130_fd_sc_hd__nor2_1 _18549_ (.A(_04068_),
-    .B(_04644_),
+ sky130_fd_sc_hd__nor2_1 _18508_ (.A(_04046_),
+    .B(_04623_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04719_));
- sky130_fd_sc_hd__clkbuf_2 _18550_ (.A(_04719_),
+    .Y(_04699_));
+ sky130_fd_sc_hd__buf_2 _18509_ (.A(_04699_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04720_));
- sky130_fd_sc_hd__mux2_1 _18551_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[2][0] ),
-    .A1(_04643_),
-    .S(_04720_),
+    .X(_04700_));
+ sky130_fd_sc_hd__mux2_1 _18510_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[2][0] ),
+    .A1(_04516_),
+    .S(_04700_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04721_));
- sky130_fd_sc_hd__clkbuf_1 _18552_ (.A(_04721_),
+    .X(_04701_));
+ sky130_fd_sc_hd__clkbuf_1 _18511_ (.A(_04701_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02026_));
- sky130_fd_sc_hd__mux2_1 _18553_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[2][1] ),
-    .A1(_04648_),
-    .S(_04720_),
+ sky130_fd_sc_hd__mux2_1 _18512_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[2][1] ),
+    .A1(_04627_),
+    .S(_04700_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04722_));
- sky130_fd_sc_hd__clkbuf_1 _18554_ (.A(_04722_),
+    .X(_04702_));
+ sky130_fd_sc_hd__clkbuf_1 _18513_ (.A(_04702_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02027_));
- sky130_fd_sc_hd__mux2_1 _18555_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[2][2] ),
-    .A1(_04650_),
-    .S(_04720_),
+ sky130_fd_sc_hd__mux2_1 _18514_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[2][2] ),
+    .A1(_04629_),
+    .S(_04700_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04723_));
- sky130_fd_sc_hd__clkbuf_1 _18556_ (.A(_04723_),
+    .X(_04703_));
+ sky130_fd_sc_hd__clkbuf_1 _18515_ (.A(_04703_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02028_));
- sky130_fd_sc_hd__mux2_1 _18557_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[2][3] ),
-    .A1(_04652_),
-    .S(_04720_),
+ sky130_fd_sc_hd__mux2_1 _18516_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[2][3] ),
+    .A1(_04631_),
+    .S(_04700_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04724_));
- sky130_fd_sc_hd__clkbuf_1 _18558_ (.A(_04724_),
+    .X(_04704_));
+ sky130_fd_sc_hd__clkbuf_1 _18517_ (.A(_04704_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02029_));
- sky130_fd_sc_hd__buf_2 _18559_ (.A(_04719_),
+ sky130_fd_sc_hd__buf_2 _18518_ (.A(_04699_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04725_));
- sky130_fd_sc_hd__mux2_1 _18560_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[2][4] ),
-    .A1(_04654_),
-    .S(_04725_),
+    .X(_04705_));
+ sky130_fd_sc_hd__mux2_1 _18519_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[2][4] ),
+    .A1(_04633_),
+    .S(_04705_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04726_));
- sky130_fd_sc_hd__clkbuf_1 _18561_ (.A(_04726_),
+    .X(_04706_));
+ sky130_fd_sc_hd__clkbuf_1 _18520_ (.A(_04706_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02030_));
- sky130_fd_sc_hd__mux2_1 _18562_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[2][5] ),
-    .A1(_04657_),
-    .S(_04725_),
+ sky130_fd_sc_hd__mux2_1 _18521_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[2][5] ),
+    .A1(_04636_),
+    .S(_04705_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04727_));
- sky130_fd_sc_hd__clkbuf_1 _18563_ (.A(_04727_),
+    .X(_04707_));
+ sky130_fd_sc_hd__clkbuf_1 _18522_ (.A(_04707_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02031_));
- sky130_fd_sc_hd__mux2_1 _18564_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[2][6] ),
-    .A1(_04659_),
-    .S(_04725_),
+ sky130_fd_sc_hd__mux2_1 _18523_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[2][6] ),
+    .A1(_04638_),
+    .S(_04705_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04728_));
- sky130_fd_sc_hd__clkbuf_1 _18565_ (.A(_04728_),
+    .X(_04708_));
+ sky130_fd_sc_hd__clkbuf_1 _18524_ (.A(_04708_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02032_));
- sky130_fd_sc_hd__mux2_1 _18566_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[2][7] ),
-    .A1(_04661_),
-    .S(_04725_),
+ sky130_fd_sc_hd__mux2_1 _18525_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[2][7] ),
+    .A1(_04640_),
+    .S(_04705_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04729_));
- sky130_fd_sc_hd__clkbuf_1 _18567_ (.A(_04729_),
+    .X(_04709_));
+ sky130_fd_sc_hd__clkbuf_1 _18526_ (.A(_04709_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02033_));
- sky130_fd_sc_hd__nor2_1 _18568_ (.A(_04087_),
-    .B(_04644_),
+ sky130_fd_sc_hd__nor2_1 _18527_ (.A(_04065_),
+    .B(_04623_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04730_));
- sky130_fd_sc_hd__clkbuf_2 _18569_ (.A(_04730_),
+    .Y(_04710_));
+ sky130_fd_sc_hd__clkbuf_4 _18528_ (.A(_04710_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04731_));
- sky130_fd_sc_hd__mux2_1 _18570_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[1][0] ),
-    .A1(_04643_),
-    .S(_04731_),
+    .X(_04711_));
+ sky130_fd_sc_hd__mux2_1 _18529_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[1][0] ),
+    .A1(_04115_),
+    .S(_04711_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04732_));
- sky130_fd_sc_hd__clkbuf_1 _18571_ (.A(_04732_),
+    .X(_04712_));
+ sky130_fd_sc_hd__clkbuf_1 _18530_ (.A(_04712_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02034_));
- sky130_fd_sc_hd__mux2_1 _18572_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[1][1] ),
-    .A1(_04648_),
-    .S(_04731_),
+ sky130_fd_sc_hd__mux2_1 _18531_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[1][1] ),
+    .A1(_04627_),
+    .S(_04711_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04733_));
- sky130_fd_sc_hd__clkbuf_1 _18573_ (.A(_04733_),
+    .X(_04713_));
+ sky130_fd_sc_hd__clkbuf_1 _18532_ (.A(_04713_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02035_));
- sky130_fd_sc_hd__mux2_1 _18574_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[1][2] ),
-    .A1(_04650_),
-    .S(_04731_),
+ sky130_fd_sc_hd__mux2_1 _18533_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[1][2] ),
+    .A1(_04629_),
+    .S(_04711_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04734_));
- sky130_fd_sc_hd__clkbuf_1 _18575_ (.A(_04734_),
+    .X(_04714_));
+ sky130_fd_sc_hd__clkbuf_1 _18534_ (.A(_04714_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02036_));
- sky130_fd_sc_hd__mux2_1 _18576_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[1][3] ),
-    .A1(_04652_),
-    .S(_04731_),
+ sky130_fd_sc_hd__mux2_1 _18535_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[1][3] ),
+    .A1(_04631_),
+    .S(_04711_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04735_));
- sky130_fd_sc_hd__clkbuf_1 _18577_ (.A(_04735_),
+    .X(_04715_));
+ sky130_fd_sc_hd__clkbuf_1 _18536_ (.A(_04715_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02037_));
- sky130_fd_sc_hd__buf_2 _18578_ (.A(_04730_),
+ sky130_fd_sc_hd__clkbuf_2 _18537_ (.A(_04710_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04736_));
- sky130_fd_sc_hd__mux2_1 _18579_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[1][4] ),
-    .A1(_04654_),
-    .S(_04736_),
+    .X(_04716_));
+ sky130_fd_sc_hd__mux2_1 _18538_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[1][4] ),
+    .A1(_04633_),
+    .S(_04716_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04737_));
- sky130_fd_sc_hd__clkbuf_1 _18580_ (.A(_04737_),
+    .X(_04717_));
+ sky130_fd_sc_hd__clkbuf_1 _18539_ (.A(_04717_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02038_));
- sky130_fd_sc_hd__mux2_1 _18581_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[1][5] ),
-    .A1(_04657_),
-    .S(_04736_),
+ sky130_fd_sc_hd__mux2_1 _18540_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[1][5] ),
+    .A1(_04636_),
+    .S(_04716_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04738_));
- sky130_fd_sc_hd__clkbuf_1 _18582_ (.A(_04738_),
+    .X(_04718_));
+ sky130_fd_sc_hd__clkbuf_1 _18541_ (.A(_04718_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02039_));
- sky130_fd_sc_hd__mux2_1 _18583_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[1][6] ),
-    .A1(_04659_),
-    .S(_04736_),
+ sky130_fd_sc_hd__mux2_1 _18542_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[1][6] ),
+    .A1(_04638_),
+    .S(_04716_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04739_));
- sky130_fd_sc_hd__clkbuf_1 _18584_ (.A(_04739_),
+    .X(_04719_));
+ sky130_fd_sc_hd__clkbuf_1 _18543_ (.A(_04719_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02040_));
- sky130_fd_sc_hd__mux2_1 _18585_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[1][7] ),
-    .A1(_04661_),
-    .S(_04736_),
+ sky130_fd_sc_hd__mux2_1 _18544_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[1][7] ),
+    .A1(_04640_),
+    .S(_04716_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04740_));
- sky130_fd_sc_hd__clkbuf_1 _18586_ (.A(_04740_),
+    .X(_04720_));
+ sky130_fd_sc_hd__clkbuf_1 _18545_ (.A(_04720_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02041_));
- sky130_fd_sc_hd__nor2_1 _18587_ (.A(_04100_),
-    .B(_04549_),
+ sky130_fd_sc_hd__nor2_1 _18546_ (.A(_04078_),
+    .B(_04529_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04741_));
- sky130_fd_sc_hd__clkbuf_2 _18588_ (.A(_04741_),
+    .Y(_04721_));
+ sky130_fd_sc_hd__clkbuf_2 _18547_ (.A(_04721_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04742_));
- sky130_fd_sc_hd__mux2_1 _18589_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[0][0] ),
-    .A1(_02640_),
-    .S(_04742_),
+    .X(_04722_));
+ sky130_fd_sc_hd__mux2_1 _18548_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[0][0] ),
+    .A1(_04115_),
+    .S(_04722_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04743_));
- sky130_fd_sc_hd__clkbuf_1 _18590_ (.A(_04743_),
+    .X(_04723_));
+ sky130_fd_sc_hd__clkbuf_1 _18549_ (.A(_04723_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02042_));
- sky130_fd_sc_hd__mux2_1 _18591_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[0][1] ),
-    .A1(_02643_),
-    .S(_04742_),
+ sky130_fd_sc_hd__mux2_1 _18550_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[0][1] ),
+    .A1(_02623_),
+    .S(_04722_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04744_));
- sky130_fd_sc_hd__clkbuf_1 _18592_ (.A(_04744_),
+    .X(_04724_));
+ sky130_fd_sc_hd__clkbuf_1 _18551_ (.A(_04724_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02043_));
- sky130_fd_sc_hd__mux2_1 _18593_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[0][2] ),
-    .A1(_02647_),
-    .S(_04742_),
+ sky130_fd_sc_hd__mux2_1 _18552_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[0][2] ),
+    .A1(_02626_),
+    .S(_04722_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04745_));
- sky130_fd_sc_hd__clkbuf_1 _18594_ (.A(_04745_),
+    .X(_04725_));
+ sky130_fd_sc_hd__clkbuf_1 _18553_ (.A(_04725_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02044_));
- sky130_fd_sc_hd__mux2_1 _18595_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[0][3] ),
-    .A1(_02652_),
-    .S(_04742_),
+ sky130_fd_sc_hd__mux2_1 _18554_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[0][3] ),
+    .A1(_02630_),
+    .S(_04722_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04746_));
- sky130_fd_sc_hd__clkbuf_1 _18596_ (.A(_04746_),
+    .X(_04726_));
+ sky130_fd_sc_hd__clkbuf_1 _18555_ (.A(_04726_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02045_));
- sky130_fd_sc_hd__clkbuf_2 _18597_ (.A(_04741_),
+ sky130_fd_sc_hd__clkbuf_2 _18556_ (.A(_04721_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04747_));
- sky130_fd_sc_hd__mux2_1 _18598_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[0][4] ),
-    .A1(_02655_),
-    .S(_04747_),
+    .X(_04727_));
+ sky130_fd_sc_hd__mux2_1 _18557_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[0][4] ),
+    .A1(_02635_),
+    .S(_04727_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04748_));
- sky130_fd_sc_hd__clkbuf_1 _18599_ (.A(_04748_),
+    .X(_04728_));
+ sky130_fd_sc_hd__clkbuf_1 _18558_ (.A(_04728_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02046_));
- sky130_fd_sc_hd__mux2_1 _18600_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[0][5] ),
-    .A1(_02658_),
-    .S(_04747_),
+ sky130_fd_sc_hd__mux2_1 _18559_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[0][5] ),
+    .A1(_02638_),
+    .S(_04727_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04749_));
- sky130_fd_sc_hd__clkbuf_1 _18601_ (.A(_04749_),
+    .X(_04729_));
+ sky130_fd_sc_hd__clkbuf_1 _18560_ (.A(_04729_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02047_));
- sky130_fd_sc_hd__mux2_1 _18602_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[0][6] ),
-    .A1(_02661_),
-    .S(_04747_),
+ sky130_fd_sc_hd__mux2_1 _18561_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[0][6] ),
+    .A1(_02641_),
+    .S(_04727_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04750_));
- sky130_fd_sc_hd__clkbuf_1 _18603_ (.A(_04750_),
+    .X(_04730_));
+ sky130_fd_sc_hd__clkbuf_1 _18562_ (.A(_04730_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02048_));
- sky130_fd_sc_hd__mux2_1 _18604_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[0][7] ),
-    .A1(_02684_),
-    .S(_04747_),
+ sky130_fd_sc_hd__mux2_1 _18563_ (.A0(\u_usb_host.u_core.u_fifo_rx.ram[0][7] ),
+    .A1(_02666_),
+    .S(_04727_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04751_));
- sky130_fd_sc_hd__clkbuf_1 _18605_ (.A(_04751_),
+    .X(_04731_));
+ sky130_fd_sc_hd__clkbuf_1 _18564_ (.A(_04731_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02049_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _18606_ (.A(_03837_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _18565_ (.A(_03817_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04752_));
- sky130_fd_sc_hd__o211a_1 _18607_ (.A1(\u_usb_host.u_core.u_fifo_rx.count[6] ),
-    .A2(_03833_),
-    .B1(_09350_),
-    .C1(_05677_),
+    .X(_04732_));
+ sky130_fd_sc_hd__inv_2 _18566_ (.A(_03815_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04753_));
- sky130_fd_sc_hd__or2b_1 _18608_ (.A(_04752_),
-    .B_N(_04753_),
+    .Y(_04733_));
+ sky130_fd_sc_hd__o211a_1 _18567_ (.A1(\u_usb_host.u_core.u_fifo_rx.count[6] ),
+    .A2(_04733_),
+    .B1(_09295_),
+    .C1(_05659_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04754_));
- sky130_fd_sc_hd__nand2b_1 _18609_ (.A_N(_04753_),
-    .B(_03837_),
+    .X(_04734_));
+ sky130_fd_sc_hd__and2b_1 _18568_ (.A_N(_04732_),
+    .B(_04734_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04755_));
- sky130_fd_sc_hd__nand2_1 _18610_ (.A(_04754_),
-    .B(_04755_),
+    .X(_04735_));
+ sky130_fd_sc_hd__and2b_1 _18569_ (.A_N(_04734_),
+    .B(_04732_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04756_));
- sky130_fd_sc_hd__nor2_1 _18611_ (.A(\u_usb_host.u_core.fifo_flush_q ),
-    .B(_04756_),
+    .X(_04736_));
+ sky130_fd_sc_hd__or2_1 _18570_ (.A(_04735_),
+    .B(_04736_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04757_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _18612_ (.A(\u_usb_host.u_core.u_fifo_rx.count[0] ),
+    .X(_04737_));
+ sky130_fd_sc_hd__nor2_1 _18571_ (.A(\u_usb_host.u_core.fifo_flush_q ),
+    .B(_04737_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04758_));
- sky130_fd_sc_hd__mux2_1 _18613_ (.A0(_04756_),
-    .A1(_04757_),
-    .S(_04758_),
+    .Y(_04738_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _18572_ (.A(\u_usb_host.u_core.u_fifo_rx.count[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04759_));
- sky130_fd_sc_hd__clkbuf_1 _18614_ (.A(_04759_),
+    .X(_04739_));
+ sky130_fd_sc_hd__mux2_1 _18573_ (.A0(_04737_),
+    .A1(_04738_),
+    .S(_04739_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04740_));
+ sky130_fd_sc_hd__clkbuf_1 _18574_ (.A(_04740_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02050_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _18615_ (.A(\u_usb_host.u_core.u_fifo_rx.count[1] ),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _18575_ (.A(\u_usb_host.u_core.u_fifo_rx.count[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04760_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _18616_ (.A(_04757_),
+    .X(_04741_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _18576_ (.A(_04738_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04761_));
- sky130_fd_sc_hd__xnor2_1 _18617_ (.A(_04760_),
-    .B(_04758_),
+    .X(_04742_));
+ sky130_fd_sc_hd__clkbuf_1 _18577_ (.A(_04735_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04762_));
- sky130_fd_sc_hd__inv_2 _18618_ (.A(_04754_),
+    .X(_04743_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _18578_ (.A(_04736_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04763_));
- sky130_fd_sc_hd__nor2_1 _18619_ (.A(_04755_),
-    .B(_04762_),
+    .X(_04744_));
+ sky130_fd_sc_hd__clkbuf_1 _18579_ (.A(_04744_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04764_));
- sky130_fd_sc_hd__a221o_1 _18620_ (.A1(_04760_),
-    .A2(_04761_),
-    .B1(_04762_),
-    .B2(_04763_),
-    .C1(_04764_),
+    .X(_04745_));
+ sky130_fd_sc_hd__xor2_1 _18580_ (.A(_04741_),
+    .B(_04739_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04746_));
+ sky130_fd_sc_hd__mux2_1 _18581_ (.A0(_04743_),
+    .A1(_04745_),
+    .S(_04746_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04747_));
+ sky130_fd_sc_hd__a21o_1 _18582_ (.A1(_04741_),
+    .A2(_04742_),
+    .B1(_04747_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02051_));
- sky130_fd_sc_hd__clkbuf_1 _18621_ (.A(\u_usb_host.u_core.u_fifo_rx.count[2] ),
+ sky130_fd_sc_hd__clkbuf_1 _18583_ (.A(\u_usb_host.u_core.u_fifo_rx.count[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04765_));
- sky130_fd_sc_hd__and3_1 _18622_ (.A(\u_usb_host.u_core.u_fifo_rx.count[1] ),
+    .X(_04748_));
+ sky130_fd_sc_hd__o21ai_1 _18584_ (.A1(_04741_),
+    .A2(_04739_),
+    .B1(_04748_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_04749_));
+ sky130_fd_sc_hd__nand2_1 _18585_ (.A(_03813_),
+    .B(_04749_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_04750_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _18586_ (.A(_04743_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04751_));
+ sky130_fd_sc_hd__and3_1 _18587_ (.A(\u_usb_host.u_core.u_fifo_rx.count[1] ),
     .B(\u_usb_host.u_core.u_fifo_rx.count[0] ),
-    .C(_04765_),
+    .C(_04748_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04766_));
- sky130_fd_sc_hd__a21oi_1 _18623_ (.A1(_04760_),
-    .A2(_04758_),
-    .B1(_04765_),
+    .X(_04752_));
+ sky130_fd_sc_hd__a21o_1 _18588_ (.A1(_04741_),
+    .A2(_04739_),
+    .B1(_04748_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04767_));
- sky130_fd_sc_hd__nor2_1 _18624_ (.A(_04766_),
-    .B(_04767_),
+    .X(_04753_));
+ sky130_fd_sc_hd__and3b_1 _18589_ (.A_N(_04752_),
+    .B(_04753_),
+    .C(_04745_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04768_));
- sky130_fd_sc_hd__and2b_1 _18625_ (.A_N(_04753_),
-    .B(_04752_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04769_));
- sky130_fd_sc_hd__o21ai_1 _18626_ (.A1(_04760_),
-    .A2(_04758_),
-    .B1(_04765_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_04770_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _18627_ (.A(_04754_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04771_));
- sky130_fd_sc_hd__a21oi_1 _18628_ (.A1(_03831_),
-    .A2(_04770_),
-    .B1(_04771_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_04772_));
- sky130_fd_sc_hd__a221o_1 _18629_ (.A1(_04765_),
-    .A2(_04757_),
-    .B1(_04768_),
-    .B2(_04769_),
-    .C1(_04772_),
+    .X(_04754_));
+ sky130_fd_sc_hd__a221o_1 _18590_ (.A1(_04748_),
+    .A2(_04742_),
+    .B1(_04750_),
+    .B2(_04751_),
+    .C1(_04754_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02052_));
- sky130_fd_sc_hd__clkbuf_1 _18630_ (.A(\u_usb_host.u_core.u_fifo_rx.count[3] ),
+ sky130_fd_sc_hd__clkbuf_1 _18591_ (.A(\u_usb_host.u_core.u_fifo_rx.count[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04773_));
- sky130_fd_sc_hd__and2_1 _18631_ (.A(_04773_),
-    .B(_04766_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04774_));
- sky130_fd_sc_hd__or2_1 _18632_ (.A(_04773_),
-    .B(_04766_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04775_));
- sky130_fd_sc_hd__and3b_1 _18633_ (.A_N(_04774_),
-    .B(_04775_),
-    .C(_04769_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04776_));
- sky130_fd_sc_hd__nand2_1 _18634_ (.A(_04773_),
-    .B(_03831_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_04777_));
- sky130_fd_sc_hd__a21oi_1 _18635_ (.A1(_03832_),
-    .A2(_04777_),
-    .B1(_04771_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_04778_));
- sky130_fd_sc_hd__a211o_1 _18636_ (.A1(_04773_),
-    .A2(_04761_),
-    .B1(_04776_),
-    .C1(_04778_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02053_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _18637_ (.A(\u_usb_host.u_core.u_fifo_rx.count[4] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04779_));
- sky130_fd_sc_hd__and3_1 _18638_ (.A(\u_usb_host.u_core.u_fifo_rx.count[3] ),
-    .B(\u_usb_host.u_core.u_fifo_rx.count[4] ),
-    .C(_04766_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04780_));
- sky130_fd_sc_hd__nor2_1 _18639_ (.A(_04779_),
-    .B(_04774_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_04781_));
- sky130_fd_sc_hd__xor2_1 _18640_ (.A(_04779_),
-    .B(_03832_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04782_));
- sky130_fd_sc_hd__o32a_1 _18641_ (.A1(_04755_),
-    .A2(_04780_),
-    .A3(_04781_),
-    .B1(_04782_),
-    .B2(_04771_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04783_));
- sky130_fd_sc_hd__a21bo_1 _18642_ (.A1(_04779_),
-    .A2(_04761_),
-    .B1_N(_04783_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02054_));
- sky130_fd_sc_hd__clkbuf_1 _18643_ (.A(\u_usb_host.u_core.u_fifo_rx.count[5] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04784_));
- sky130_fd_sc_hd__a21oi_1 _18644_ (.A1(\u_usb_host.u_core.u_fifo_rx.count[5] ),
-    .A2(_04780_),
-    .B1(_04755_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_04785_));
- sky130_fd_sc_hd__o21a_1 _18645_ (.A1(_04784_),
-    .A2(_04780_),
-    .B1(_04785_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04786_));
- sky130_fd_sc_hd__o21ai_1 _18646_ (.A1(_04779_),
-    .A2(_03832_),
-    .B1(_04784_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_04787_));
- sky130_fd_sc_hd__a21oi_1 _18647_ (.A1(_03833_),
-    .A2(_04787_),
-    .B1(_04771_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_04788_));
- sky130_fd_sc_hd__a211o_1 _18648_ (.A1(_04784_),
-    .A2(_04761_),
-    .B1(_04786_),
-    .C1(_04788_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02055_));
- sky130_fd_sc_hd__a32o_1 _18649_ (.A1(_04784_),
-    .A2(_04769_),
-    .A3(_04780_),
-    .B1(_03834_),
-    .B2(_04763_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04789_));
- sky130_fd_sc_hd__a211o_1 _18650_ (.A1(_03833_),
-    .A2(_04763_),
-    .B1(_04757_),
-    .C1(_04785_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04790_));
- sky130_fd_sc_hd__mux2_1 _18651_ (.A0(_04789_),
-    .A1(_04790_),
-    .S(\u_usb_host.u_core.u_fifo_rx.count[6] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04791_));
- sky130_fd_sc_hd__clkbuf_1 _18652_ (.A(_04791_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02056_));
- sky130_fd_sc_hd__buf_2 _18653_ (.A(_04753_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04792_));
- sky130_fd_sc_hd__nor2_1 _18654_ (.A(\u_usb_host.u_core.fifo_flush_q ),
-    .B(_04792_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_04793_));
- sky130_fd_sc_hd__mux2_1 _18655_ (.A0(_04792_),
-    .A1(_04793_),
-    .S(_09000_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04794_));
- sky130_fd_sc_hd__clkbuf_1 _18656_ (.A(_04794_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02057_));
- sky130_fd_sc_hd__clkbuf_1 _18657_ (.A(_04792_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04795_));
- sky130_fd_sc_hd__clkbuf_1 _18658_ (.A(_04793_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04796_));
- sky130_fd_sc_hd__a32o_1 _18659_ (.A1(_08969_),
-    .A2(_08988_),
-    .A3(_04795_),
-    .B1(_04796_),
-    .B2(_08954_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02058_));
- sky130_fd_sc_hd__xnor2_1 _18660_ (.A(_09045_),
-    .B(_08969_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_04797_));
- sky130_fd_sc_hd__a22o_1 _18661_ (.A1(_09045_),
-    .A2(_04796_),
-    .B1(_04797_),
-    .B2(_04795_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02059_));
- sky130_fd_sc_hd__a31o_1 _18662_ (.A1(_09000_),
-    .A2(_08954_),
-    .A3(_09045_),
-    .B1(_08970_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04798_));
- sky130_fd_sc_hd__a32o_1 _18663_ (.A1(_08933_),
-    .A2(_04795_),
-    .A3(_04798_),
-    .B1(_04796_),
-    .B2(_08970_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02060_));
- sky130_fd_sc_hd__xnor2_1 _18664_ (.A(_08908_),
-    .B(_08933_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_04799_));
- sky130_fd_sc_hd__a22o_1 _18665_ (.A1(_08908_),
-    .A2(_04796_),
-    .B1(_04799_),
-    .B2(_04795_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02061_));
- sky130_fd_sc_hd__inv_2 _18666_ (.A(_09028_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_04800_));
- sky130_fd_sc_hd__o21bai_1 _18667_ (.A1(_08921_),
-    .A2(_08933_),
-    .B1_N(_08922_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_04801_));
- sky130_fd_sc_hd__a32o_1 _18668_ (.A1(_04800_),
-    .A2(_04792_),
-    .A3(_04801_),
-    .B1(_04793_),
-    .B2(_08922_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02062_));
- sky130_fd_sc_hd__clkbuf_1 _18669_ (.A(_04752_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04802_));
- sky130_fd_sc_hd__nor2_1 _18670_ (.A(\u_usb_host.u_core.fifo_flush_q ),
+    .X(_04755_));
+ sky130_fd_sc_hd__and2_1 _18592_ (.A(_04755_),
     .B(_04752_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04803_));
- sky130_fd_sc_hd__clkbuf_1 _18671_ (.A(_04803_),
+    .X(_04756_));
+ sky130_fd_sc_hd__inv_2 _18593_ (.A(_04756_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04804_));
- sky130_fd_sc_hd__mux2_1 _18672_ (.A0(_04802_),
-    .A1(_04804_),
-    .S(_03877_),
+    .Y(_04757_));
+ sky130_fd_sc_hd__or2_1 _18594_ (.A(_04755_),
+    .B(_04752_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04805_));
- sky130_fd_sc_hd__clkbuf_1 _18673_ (.A(_04805_),
+    .X(_04758_));
+ sky130_fd_sc_hd__nand2_1 _18595_ (.A(_04755_),
+    .B(_03813_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_04759_));
+ sky130_fd_sc_hd__nand2_1 _18596_ (.A(_03814_),
+    .B(_04759_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_04760_));
+ sky130_fd_sc_hd__a22o_1 _18597_ (.A1(_04755_),
+    .A2(_04738_),
+    .B1(_04760_),
+    .B2(_04751_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04761_));
+ sky130_fd_sc_hd__a31o_1 _18598_ (.A1(_04745_),
+    .A2(_04757_),
+    .A3(_04758_),
+    .B1(_04761_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02053_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _18599_ (.A(\u_usb_host.u_core.u_fifo_rx.count[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04762_));
+ sky130_fd_sc_hd__and3_1 _18600_ (.A(\u_usb_host.u_core.u_fifo_rx.count[3] ),
+    .B(\u_usb_host.u_core.u_fifo_rx.count[4] ),
+    .C(_04752_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04763_));
+ sky130_fd_sc_hd__o21ai_1 _18601_ (.A1(_04762_),
+    .A2(_04756_),
+    .B1(_04744_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_04764_));
+ sky130_fd_sc_hd__xnor2_1 _18602_ (.A(_04762_),
+    .B(_03814_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_04765_));
+ sky130_fd_sc_hd__a2bb2o_1 _18603_ (.A1_N(_04763_),
+    .A2_N(_04764_),
+    .B1(_04765_),
+    .B2(_04751_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04766_));
+ sky130_fd_sc_hd__a21o_1 _18604_ (.A1(_04762_),
+    .A2(_04742_),
+    .B1(_04766_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02054_));
+ sky130_fd_sc_hd__clkbuf_1 _18605_ (.A(\u_usb_host.u_core.u_fifo_rx.count[5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04767_));
+ sky130_fd_sc_hd__o21a_1 _18606_ (.A1(_04762_),
+    .A2(_03814_),
+    .B1(_04767_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04768_));
+ sky130_fd_sc_hd__or2_1 _18607_ (.A(_03815_),
+    .B(_04768_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04769_));
+ sky130_fd_sc_hd__or2_1 _18608_ (.A(_04767_),
+    .B(_04763_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04770_));
+ sky130_fd_sc_hd__nand2_1 _18609_ (.A(\u_usb_host.u_core.u_fifo_rx.count[5] ),
+    .B(_04763_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_04771_));
+ sky130_fd_sc_hd__and3_1 _18610_ (.A(_04745_),
+    .B(_04770_),
+    .C(_04771_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04772_));
+ sky130_fd_sc_hd__a221o_1 _18611_ (.A1(_04767_),
+    .A2(_04742_),
+    .B1(_04769_),
+    .B2(_04751_),
+    .C1(_04772_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02055_));
+ sky130_fd_sc_hd__a32o_1 _18612_ (.A1(_04767_),
+    .A2(_04744_),
+    .A3(_04763_),
+    .B1(_03815_),
+    .B2(_04743_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04773_));
+ sky130_fd_sc_hd__a221o_1 _18613_ (.A1(_04733_),
+    .A2(_04743_),
+    .B1(_04744_),
+    .B2(_04771_),
+    .C1(_04738_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04774_));
+ sky130_fd_sc_hd__mux2_1 _18614_ (.A0(_04773_),
+    .A1(_04774_),
+    .S(\u_usb_host.u_core.u_fifo_rx.count[6] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04775_));
+ sky130_fd_sc_hd__clkbuf_1 _18615_ (.A(_04775_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02056_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _18616_ (.A(_04734_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04776_));
+ sky130_fd_sc_hd__nor2_1 _18617_ (.A(\u_usb_host.u_core.fifo_flush_q ),
+    .B(_04776_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_04777_));
+ sky130_fd_sc_hd__mux2_1 _18618_ (.A0(_04776_),
+    .A1(_04777_),
+    .S(_08923_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04778_));
+ sky130_fd_sc_hd__clkbuf_1 _18619_ (.A(_04778_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02057_));
+ sky130_fd_sc_hd__clkbuf_1 _18620_ (.A(_04776_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04779_));
+ sky130_fd_sc_hd__clkbuf_1 _18621_ (.A(_04777_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04780_));
+ sky130_fd_sc_hd__a32o_1 _18622_ (.A1(_08967_),
+    .A2(_08911_),
+    .A3(_04779_),
+    .B1(_04780_),
+    .B2(_08941_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02058_));
+ sky130_fd_sc_hd__xnor2_1 _18623_ (.A(_08997_),
+    .B(_08967_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_04781_));
+ sky130_fd_sc_hd__a22o_1 _18624_ (.A1(_08997_),
+    .A2(_04780_),
+    .B1(_04781_),
+    .B2(_04779_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02059_));
+ sky130_fd_sc_hd__a31o_1 _18625_ (.A1(_08923_),
+    .A2(_08941_),
+    .A3(_08997_),
+    .B1(_08968_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04782_));
+ sky130_fd_sc_hd__a32o_1 _18626_ (.A1(_08880_),
+    .A2(_04779_),
+    .A3(_04782_),
+    .B1(_04780_),
+    .B2(_08968_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02060_));
+ sky130_fd_sc_hd__xnor2_1 _18627_ (.A(_08858_),
+    .B(_08880_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_04783_));
+ sky130_fd_sc_hd__a22o_1 _18628_ (.A1(_08858_),
+    .A2(_04780_),
+    .B1(_04783_),
+    .B2(_04779_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02061_));
+ sky130_fd_sc_hd__inv_2 _18629_ (.A(_08982_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_04784_));
+ sky130_fd_sc_hd__o21bai_1 _18630_ (.A1(_08871_),
+    .A2(_08880_),
+    .B1_N(_08888_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_04785_));
+ sky130_fd_sc_hd__a32o_1 _18631_ (.A1(_04784_),
+    .A2(_04776_),
+    .A3(_04785_),
+    .B1(_04777_),
+    .B2(_08888_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02062_));
+ sky130_fd_sc_hd__clkbuf_1 _18632_ (.A(_04732_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04786_));
+ sky130_fd_sc_hd__nor2_1 _18633_ (.A(\u_usb_host.u_core.fifo_flush_q ),
+    .B(_04732_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_04787_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _18634_ (.A(_04787_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04788_));
+ sky130_fd_sc_hd__mux2_1 _18635_ (.A0(_04786_),
+    .A1(_04788_),
+    .S(_03855_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04789_));
+ sky130_fd_sc_hd__clkbuf_1 _18636_ (.A(_04789_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02063_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _18674_ (.A(_04802_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _18637_ (.A(_04786_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04806_));
- sky130_fd_sc_hd__a32o_1 _18675_ (.A1(_04806_),
-    .A2(_03934_),
-    .A3(_03920_),
-    .B1(_04804_),
-    .B2(_03878_),
+    .X(_04790_));
+ sky130_fd_sc_hd__a32o_1 _18638_ (.A1(_04790_),
+    .A2(_03913_),
+    .A3(_03898_),
+    .B1(_04788_),
+    .B2(_03856_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02064_));
- sky130_fd_sc_hd__xnor2_1 _18676_ (.A(_04099_),
-    .B(_03934_),
+ sky130_fd_sc_hd__xnor2_1 _18639_ (.A(_04077_),
+    .B(_03913_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04807_));
- sky130_fd_sc_hd__a22o_1 _18677_ (.A1(_04099_),
-    .A2(_04804_),
-    .B1(_04807_),
-    .B2(_04806_),
+    .Y(_04791_));
+ sky130_fd_sc_hd__a22o_1 _18640_ (.A1(_04077_),
+    .A2(_04788_),
+    .B1(_04791_),
+    .B2(_04790_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02065_));
- sky130_fd_sc_hd__a31o_1 _18678_ (.A1(_03878_),
-    .A2(_03877_),
-    .A3(_04099_),
-    .B1(_04067_),
+ sky130_fd_sc_hd__a31o_1 _18641_ (.A1(_03856_),
+    .A2(_03855_),
+    .A3(_04077_),
+    .B1(_04045_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04808_));
- sky130_fd_sc_hd__a32o_1 _18679_ (.A1(_04802_),
-    .A2(_03845_),
-    .A3(_04808_),
-    .B1(_04804_),
-    .B2(_04067_),
+    .X(_04792_));
+ sky130_fd_sc_hd__a32o_1 _18642_ (.A1(_04786_),
+    .A2(_03825_),
+    .A3(_04792_),
+    .B1(_04788_),
+    .B2(_04045_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02066_));
- sky130_fd_sc_hd__or2_1 _18680_ (.A(_03829_),
-    .B(_03845_),
+ sky130_fd_sc_hd__or2_1 _18643_ (.A(_03811_),
+    .B(_03825_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04809_));
- sky130_fd_sc_hd__a21o_1 _18681_ (.A1(_04802_),
-    .A2(_04809_),
-    .B1(_04803_),
+    .X(_04793_));
+ sky130_fd_sc_hd__a21o_1 _18644_ (.A1(_04786_),
+    .A2(_04793_),
+    .B1(_04787_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04810_));
- sky130_fd_sc_hd__nor2_1 _18682_ (.A(_04112_),
-    .B(_03845_),
+    .X(_04794_));
+ sky130_fd_sc_hd__nor2_1 _18645_ (.A(_04090_),
+    .B(_03825_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04811_));
- sky130_fd_sc_hd__a22o_1 _18683_ (.A1(_04112_),
-    .A2(_04810_),
-    .B1(_04811_),
-    .B2(_04806_),
+    .Y(_04795_));
+ sky130_fd_sc_hd__a22o_1 _18646_ (.A1(_04090_),
+    .A2(_04794_),
+    .B1(_04795_),
+    .B2(_04790_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02067_));
- sky130_fd_sc_hd__nor2_1 _18684_ (.A(_04333_),
-    .B(_04809_),
+ sky130_fd_sc_hd__nor2_1 _18647_ (.A(_04313_),
+    .B(_04793_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04812_));
- sky130_fd_sc_hd__a22o_1 _18685_ (.A1(_04806_),
-    .A2(_04812_),
-    .B1(_04810_),
-    .B2(_04333_),
+    .Y(_04796_));
+ sky130_fd_sc_hd__a22o_1 _18648_ (.A1(_04790_),
+    .A2(_04796_),
+    .B1(_04794_),
+    .B2(_04313_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02068_));
- sky130_fd_sc_hd__o21a_1 _18686_ (.A1(_05675_),
-    .A2(_06833_),
+ sky130_fd_sc_hd__o21a_1 _18649_ (.A1(_05657_),
+    .A2(_06844_),
     .B1(_00082_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02069_));
- sky130_fd_sc_hd__nor3b_4 _18687_ (.A(_06495_),
-    .B(_07895_),
-    .C_N(_06490_),
+ sky130_fd_sc_hd__nor3b_2 _18650_ (.A(_06500_),
+    .B(_07881_),
+    .C_N(_06495_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04813_));
- sky130_fd_sc_hd__clkbuf_2 _18688_ (.A(_04813_),
+    .Y(_04797_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _18651_ (.A(_04797_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04814_));
- sky130_fd_sc_hd__mux2_1 _18689_ (.A0(\u_sspim.cfg_dataout[16] ),
-    .A1(_06476_),
-    .S(_04814_),
+    .X(_04798_));
+ sky130_fd_sc_hd__mux2_1 _18652_ (.A0(\u_sspim.cfg_dataout[16] ),
+    .A1(_06481_),
+    .S(_04798_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04815_));
- sky130_fd_sc_hd__clkbuf_1 _18690_ (.A(_04815_),
+    .X(_04799_));
+ sky130_fd_sc_hd__clkbuf_1 _18653_ (.A(_04799_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02070_));
- sky130_fd_sc_hd__mux2_1 _18691_ (.A0(\u_sspim.cfg_dataout[17] ),
-    .A1(_06500_),
-    .S(_04814_),
+ sky130_fd_sc_hd__mux2_1 _18654_ (.A0(\u_sspim.cfg_dataout[17] ),
+    .A1(_06505_),
+    .S(_04798_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04816_));
- sky130_fd_sc_hd__clkbuf_1 _18692_ (.A(_04816_),
+    .X(_04800_));
+ sky130_fd_sc_hd__clkbuf_1 _18655_ (.A(_04800_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02071_));
- sky130_fd_sc_hd__mux2_1 _18693_ (.A0(\u_sspim.cfg_dataout[18] ),
-    .A1(_06504_),
-    .S(_04814_),
+ sky130_fd_sc_hd__mux2_1 _18656_ (.A0(\u_sspim.cfg_dataout[18] ),
+    .A1(_06509_),
+    .S(_04798_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04817_));
- sky130_fd_sc_hd__clkbuf_1 _18694_ (.A(_04817_),
+    .X(_04801_));
+ sky130_fd_sc_hd__clkbuf_1 _18657_ (.A(_04801_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02072_));
- sky130_fd_sc_hd__mux2_1 _18695_ (.A0(\u_sspim.cfg_dataout[19] ),
-    .A1(_06506_),
-    .S(_04814_),
+ sky130_fd_sc_hd__mux2_1 _18658_ (.A0(\u_sspim.cfg_dataout[19] ),
+    .A1(_06511_),
+    .S(_04798_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04818_));
- sky130_fd_sc_hd__clkbuf_1 _18696_ (.A(_04818_),
+    .X(_04802_));
+ sky130_fd_sc_hd__clkbuf_1 _18659_ (.A(_04802_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02073_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _18697_ (.A(_04813_),
+ sky130_fd_sc_hd__clkbuf_2 _18660_ (.A(_04797_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04819_));
- sky130_fd_sc_hd__mux2_1 _18698_ (.A0(\u_sspim.cfg_dataout[20] ),
-    .A1(_06508_),
-    .S(_04819_),
+    .X(_04803_));
+ sky130_fd_sc_hd__mux2_1 _18661_ (.A0(\u_sspim.cfg_dataout[20] ),
+    .A1(_06513_),
+    .S(_04803_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04820_));
- sky130_fd_sc_hd__clkbuf_1 _18699_ (.A(_04820_),
+    .X(_04804_));
+ sky130_fd_sc_hd__clkbuf_1 _18662_ (.A(_04804_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02074_));
- sky130_fd_sc_hd__mux2_1 _18700_ (.A0(\u_sspim.cfg_dataout[21] ),
-    .A1(_06511_),
-    .S(_04819_),
+ sky130_fd_sc_hd__mux2_1 _18663_ (.A0(\u_sspim.cfg_dataout[21] ),
+    .A1(_06516_),
+    .S(_04803_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04821_));
- sky130_fd_sc_hd__clkbuf_1 _18701_ (.A(_04821_),
+    .X(_04805_));
+ sky130_fd_sc_hd__clkbuf_1 _18664_ (.A(_04805_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02075_));
- sky130_fd_sc_hd__mux2_1 _18702_ (.A0(\u_sspim.cfg_dataout[22] ),
-    .A1(_06513_),
-    .S(_04819_),
+ sky130_fd_sc_hd__mux2_1 _18665_ (.A0(\u_sspim.cfg_dataout[22] ),
+    .A1(_06518_),
+    .S(_04803_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04822_));
- sky130_fd_sc_hd__clkbuf_1 _18703_ (.A(_04822_),
+    .X(_04806_));
+ sky130_fd_sc_hd__clkbuf_1 _18666_ (.A(_04806_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02076_));
- sky130_fd_sc_hd__mux2_1 _18704_ (.A0(\u_sspim.cfg_dataout[23] ),
-    .A1(_06515_),
-    .S(_04819_),
+ sky130_fd_sc_hd__mux2_1 _18667_ (.A0(\u_sspim.cfg_dataout[23] ),
+    .A1(_06520_),
+    .S(_04803_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04823_));
- sky130_fd_sc_hd__clkbuf_1 _18705_ (.A(_04823_),
+    .X(_04807_));
+ sky130_fd_sc_hd__clkbuf_1 _18668_ (.A(_04807_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02077_));
- sky130_fd_sc_hd__mux2_1 _18706_ (.A0(_05646_),
+ sky130_fd_sc_hd__mux2_1 _18669_ (.A0(_05627_),
     .A1(\u_usb_host.u_async_wb.u_cmd_if.grey_rd_ptr[0] ),
-    .S(_06835_),
+    .S(_06846_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04824_));
- sky130_fd_sc_hd__clkbuf_1 _18707_ (.A(_04824_),
+    .X(_04808_));
+ sky130_fd_sc_hd__clkbuf_1 _18670_ (.A(_04808_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02078_));
- sky130_fd_sc_hd__clkbuf_1 _18708_ (.A(_02361_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _18671_ (.A(_02327_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04825_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _18709_ (.A(_02386_),
+    .X(_04809_));
+ sky130_fd_sc_hd__o21ai_1 _18672_ (.A1(_04809_),
+    .A2(_02354_),
+    .B1(_05637_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04826_));
- sky130_fd_sc_hd__o21ai_1 _18710_ (.A1(_04825_),
-    .A2(_04826_),
-    .B1(\u_usb_host.u_async_wb.u_cmd_if.grey_rd_ptr[2] ),
+    .Y(_04810_));
+ sky130_fd_sc_hd__or3_1 _18673_ (.A(_02352_),
+    .B(_02379_),
+    .C(_05637_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04827_));
- sky130_fd_sc_hd__o31a_1 _18711_ (.A1(_04825_),
-    .A2(_04826_),
-    .A3(\u_usb_host.u_async_wb.u_cmd_if.grey_rd_ptr[2] ),
-    .B1(_06082_),
+    .X(_04811_));
+ sky130_fd_sc_hd__and3_1 _18674_ (.A(_06117_),
+    .B(_04810_),
+    .C(_04811_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04828_));
- sky130_fd_sc_hd__a22o_1 _18712_ (.A1(_06835_),
+    .X(_04812_));
+ sky130_fd_sc_hd__a21o_1 _18675_ (.A1(_06846_),
     .A2(\u_usb_host.u_async_wb.u_cmd_if.grey_rd_ptr[1] ),
-    .B1(_04827_),
-    .B2(_04828_),
+    .B1(_04812_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02079_));
- sky130_fd_sc_hd__clkbuf_2 _18713_ (.A(_07484_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _18676_ (.A(_05819_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04829_));
- sky130_fd_sc_hd__or2_1 _18714_ (.A(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[1] ),
-    .B(\u_usb_host.u_async_wb.u_resp_if.sync_wr_ptr_1[1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04830_));
- sky130_fd_sc_hd__clkbuf_2 _18715_ (.A(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04831_));
- sky130_fd_sc_hd__nand2_1 _18716_ (.A(_04831_),
-    .B(\u_usb_host.u_async_wb.u_resp_if.sync_wr_ptr_1[1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_04832_));
- sky130_fd_sc_hd__xor2_1 _18717_ (.A(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
-    .B(\u_usb_host.u_async_wb.u_resp_if.sync_wr_ptr_1[0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04833_));
- sky130_fd_sc_hd__mux2_1 _18718_ (.A0(_04830_),
-    .A1(_04832_),
-    .S(_04833_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04834_));
- sky130_fd_sc_hd__clkbuf_4 _18719_ (.A(_04834_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04835_));
- sky130_fd_sc_hd__or3b_1 _18720_ (.A(_07546_),
-    .B(_06031_),
-    .C_N(_04835_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04836_));
- sky130_fd_sc_hd__a2bb2o_1 _18721_ (.A1_N(_07547_),
-    .A2_N(_04829_),
-    .B1(_04836_),
-    .B2(\u_usb_host.u_async_wb.PendingRd ),
+    .X(_04813_));
+ sky130_fd_sc_hd__a2bb2o_1 _18677_ (.A1_N(_05817_),
+    .A2_N(_05799_),
+    .B1(_04813_),
+    .B2(_05793_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02080_));
- sky130_fd_sc_hd__xnor2_1 _18722_ (.A(\u_usb_host.u_async_wb.u_cmd_if.wr_ptr[0] ),
-    .B(_04829_),
+ sky130_fd_sc_hd__or2_1 _18678_ (.A(_05809_),
+    .B(_04813_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02081_));
- sky130_fd_sc_hd__nand2_1 _18723_ (.A(_07485_),
-    .B(_07758_),
+    .X(_04814_));
+ sky130_fd_sc_hd__and2_1 _18679_ (.A(_07757_),
+    .B(_04814_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04837_));
- sky130_fd_sc_hd__nand2_1 _18724_ (.A(_07760_),
-    .B(_04837_),
+    .X(_04815_));
+ sky130_fd_sc_hd__clkbuf_1 _18680_ (.A(_04815_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_02082_));
- sky130_fd_sc_hd__xor2_1 _18725_ (.A(_04826_),
-    .B(_06082_),
+    .X(_02081_));
+ sky130_fd_sc_hd__and2_1 _18681_ (.A(_05804_),
+    .B(_07757_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04816_));
+ sky130_fd_sc_hd__or2_1 _18682_ (.A(_07760_),
+    .B(_04816_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04817_));
+ sky130_fd_sc_hd__clkbuf_1 _18683_ (.A(_04817_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02082_));
+ sky130_fd_sc_hd__xor2_1 _18684_ (.A(_04809_),
+    .B(_06117_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02083_));
- sky130_fd_sc_hd__a21oi_1 _18726_ (.A1(_04826_),
-    .A2(_06082_),
-    .B1(_04825_),
+ sky130_fd_sc_hd__a21oi_1 _18685_ (.A1(_04809_),
+    .A2(_06117_),
+    .B1(_02354_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04838_));
- sky130_fd_sc_hd__and3_1 _18727_ (.A(_04825_),
-    .B(_02386_),
-    .C(_06081_),
+    .Y(_04818_));
+ sky130_fd_sc_hd__and3_1 _18686_ (.A(_04809_),
+    .B(_02354_),
+    .C(_06116_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04839_));
- sky130_fd_sc_hd__nor2_1 _18728_ (.A(_04838_),
-    .B(_04839_),
+    .X(_04819_));
+ sky130_fd_sc_hd__nor2_1 _18687_ (.A(_04818_),
+    .B(_04819_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_02084_));
- sky130_fd_sc_hd__xor2_1 _18729_ (.A(\u_usb_host.u_async_wb.u_cmd_if.grey_rd_ptr[2] ),
-    .B(_04839_),
+ sky130_fd_sc_hd__xor2_1 _18688_ (.A(_05637_),
+    .B(_04819_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02085_));
- sky130_fd_sc_hd__nor2_2 _18730_ (.A(_06442_),
-    .B(_06944_),
+ sky130_fd_sc_hd__nor2_2 _18689_ (.A(_06448_),
+    .B(_06959_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04840_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _18731_ (.A(_04840_),
+    .Y(_04820_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _18690_ (.A(_04820_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04841_));
- sky130_fd_sc_hd__mux2_1 _18732_ (.A0(\u_uart_core.u_txfifo.mem[2][0] ),
-    .A1(_07364_),
-    .S(_04841_),
+    .X(_04821_));
+ sky130_fd_sc_hd__mux2_1 _18691_ (.A0(\u_uart_core.u_txfifo.mem[2][0] ),
+    .A1(_06956_),
+    .S(_04821_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04842_));
- sky130_fd_sc_hd__clkbuf_1 _18733_ (.A(_04842_),
+    .X(_04822_));
+ sky130_fd_sc_hd__clkbuf_1 _18692_ (.A(_04822_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02086_));
- sky130_fd_sc_hd__mux2_1 _18734_ (.A0(\u_uart_core.u_txfifo.mem[2][1] ),
-    .A1(_07370_),
-    .S(_04841_),
+ sky130_fd_sc_hd__mux2_1 _18693_ (.A0(\u_uart_core.u_txfifo.mem[2][1] ),
+    .A1(_06963_),
+    .S(_04821_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04843_));
- sky130_fd_sc_hd__clkbuf_1 _18735_ (.A(_04843_),
+    .X(_04823_));
+ sky130_fd_sc_hd__clkbuf_1 _18694_ (.A(_04823_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02087_));
- sky130_fd_sc_hd__mux2_1 _18736_ (.A0(\u_uart_core.u_txfifo.mem[2][2] ),
-    .A1(_07373_),
-    .S(_04841_),
+ sky130_fd_sc_hd__mux2_1 _18695_ (.A0(\u_uart_core.u_txfifo.mem[2][2] ),
+    .A1(_06966_),
+    .S(_04821_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04844_));
- sky130_fd_sc_hd__clkbuf_1 _18737_ (.A(_04844_),
+    .X(_04824_));
+ sky130_fd_sc_hd__clkbuf_1 _18696_ (.A(_04824_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02088_));
- sky130_fd_sc_hd__mux2_1 _18738_ (.A0(\u_uart_core.u_txfifo.mem[2][3] ),
-    .A1(_07862_),
-    .S(_04841_),
+ sky130_fd_sc_hd__mux2_1 _18697_ (.A0(\u_uart_core.u_txfifo.mem[2][3] ),
+    .A1(_09544_),
+    .S(_04821_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04845_));
- sky130_fd_sc_hd__clkbuf_1 _18739_ (.A(_04845_),
+    .X(_04825_));
+ sky130_fd_sc_hd__clkbuf_1 _18698_ (.A(_04825_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02089_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _18740_ (.A(_04840_),
+ sky130_fd_sc_hd__clkbuf_2 _18699_ (.A(_04820_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04826_));
+ sky130_fd_sc_hd__mux2_1 _18700_ (.A0(\u_uart_core.u_txfifo.mem[2][4] ),
+    .A1(_02430_),
+    .S(_04826_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04827_));
+ sky130_fd_sc_hd__clkbuf_1 _18701_ (.A(_04827_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02090_));
+ sky130_fd_sc_hd__mux2_1 _18702_ (.A0(\u_uart_core.u_txfifo.mem[2][5] ),
+    .A1(_02821_),
+    .S(_04826_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04828_));
+ sky130_fd_sc_hd__clkbuf_1 _18703_ (.A(_04828_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02091_));
+ sky130_fd_sc_hd__mux2_1 _18704_ (.A0(\u_uart_core.u_txfifo.mem[2][6] ),
+    .A1(_02823_),
+    .S(_04826_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04829_));
+ sky130_fd_sc_hd__clkbuf_1 _18705_ (.A(_04829_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02092_));
+ sky130_fd_sc_hd__mux2_1 _18706_ (.A0(\u_uart_core.u_txfifo.mem[2][7] ),
+    .A1(_02825_),
+    .S(_04826_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04830_));
+ sky130_fd_sc_hd__clkbuf_1 _18707_ (.A(_04830_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02093_));
+ sky130_fd_sc_hd__inv_2 _18708_ (.A(_05795_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_04831_));
+ sky130_fd_sc_hd__clkbuf_1 _18709_ (.A(_05798_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04832_));
+ sky130_fd_sc_hd__mux2_1 _18710_ (.A0(\u_usb_host.u_async_wb.u_resp_if.grey_rd_ptr[0] ),
+    .A1(_04831_),
+    .S(_04832_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04833_));
+ sky130_fd_sc_hd__clkbuf_1 _18711_ (.A(_04833_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02094_));
+ sky130_fd_sc_hd__inv_2 _18712_ (.A(\u_usb_host.u_async_wb.u_resp_if.grey_rd_ptr[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_04834_));
+ sky130_fd_sc_hd__nand2_1 _18713_ (.A(_05686_),
+    .B(_04832_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_04835_));
+ sky130_fd_sc_hd__or3b_1 _18714_ (.A(_04831_),
+    .B(_05717_),
+    .C_N(_04832_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04836_));
+ sky130_fd_sc_hd__o221ai_1 _18715_ (.A1(_04834_),
+    .A2(_04832_),
+    .B1(_04835_),
+    .B2(_05795_),
+    .C1(_04836_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_02095_));
+ sky130_fd_sc_hd__mux2_1 _18716_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.grey_wr_ptr[0] ),
+    .A1(_05811_),
+    .S(_04813_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04837_));
+ sky130_fd_sc_hd__clkbuf_1 _18717_ (.A(_04837_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02096_));
+ sky130_fd_sc_hd__xnor2_1 _18718_ (.A(_05803_),
+    .B(_05810_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_04838_));
+ sky130_fd_sc_hd__mux2_1 _18719_ (.A0(\u_usb_host.u_async_wb.u_cmd_if.grey_wr_ptr[1] ),
+    .A1(_04838_),
+    .S(_04813_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04839_));
+ sky130_fd_sc_hd__clkbuf_1 _18720_ (.A(_04839_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02097_));
+ sky130_fd_sc_hd__xor2_1 _18721_ (.A(_05803_),
+    .B(_02814_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02098_));
+ sky130_fd_sc_hd__nand2_1 _18722_ (.A(\u_usb_host.u_async_wb.u_resp_if.wr_ptr[0] ),
+    .B(_07428_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_04840_));
+ sky130_fd_sc_hd__nand2_1 _18723_ (.A(_07430_),
+    .B(_04840_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_02099_));
+ sky130_fd_sc_hd__and2_1 _18724_ (.A(_09551_),
+    .B(_06850_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04841_));
+ sky130_fd_sc_hd__or2_1 _18725_ (.A(_09553_),
+    .B(_04841_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04842_));
+ sky130_fd_sc_hd__clkbuf_1 _18726_ (.A(_04842_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02100_));
+ sky130_fd_sc_hd__or2_1 _18727_ (.A(_05686_),
+    .B(_05798_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04843_));
+ sky130_fd_sc_hd__and2_1 _18728_ (.A(_04835_),
+    .B(_04843_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04844_));
+ sky130_fd_sc_hd__clkbuf_1 _18729_ (.A(_04844_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02101_));
+ sky130_fd_sc_hd__xnor2_1 _18730_ (.A(_05795_),
+    .B(_04835_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_02102_));
+ sky130_fd_sc_hd__nand2_1 _18731_ (.A(_06282_),
+    .B(_06469_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_04845_));
+ sky130_fd_sc_hd__clkbuf_4 _18732_ (.A(_04845_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_04846_));
- sky130_fd_sc_hd__mux2_1 _18741_ (.A0(\u_uart_core.u_txfifo.mem[2][4] ),
-    .A1(_07875_),
+ sky130_fd_sc_hd__mux2_1 _18733_ (.A0(_07413_),
+    .A1(\u_uart_core.u_rxfifo.mem[2][0] ),
     .S(_04846_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_04847_));
- sky130_fd_sc_hd__clkbuf_1 _18742_ (.A(_04847_),
+ sky130_fd_sc_hd__clkbuf_1 _18734_ (.A(_04847_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02090_));
- sky130_fd_sc_hd__mux2_1 _18743_ (.A0(\u_uart_core.u_txfifo.mem[2][5] ),
-    .A1(_02839_),
+    .X(_02103_));
+ sky130_fd_sc_hd__mux2_1 _18735_ (.A0(_07415_),
+    .A1(\u_uart_core.u_rxfifo.mem[2][1] ),
     .S(_04846_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_04848_));
- sky130_fd_sc_hd__clkbuf_1 _18744_ (.A(_04848_),
+ sky130_fd_sc_hd__clkbuf_1 _18736_ (.A(_04848_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02091_));
- sky130_fd_sc_hd__mux2_1 _18745_ (.A0(\u_uart_core.u_txfifo.mem[2][6] ),
-    .A1(_02841_),
+    .X(_02104_));
+ sky130_fd_sc_hd__mux2_1 _18737_ (.A0(_07417_),
+    .A1(\u_uart_core.u_rxfifo.mem[2][2] ),
     .S(_04846_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_04849_));
- sky130_fd_sc_hd__clkbuf_1 _18746_ (.A(_04849_),
+ sky130_fd_sc_hd__clkbuf_1 _18738_ (.A(_04849_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02092_));
- sky130_fd_sc_hd__mux2_1 _18747_ (.A0(\u_uart_core.u_txfifo.mem[2][7] ),
-    .A1(_02843_),
+    .X(_02105_));
+ sky130_fd_sc_hd__mux2_1 _18739_ (.A0(_06925_),
+    .A1(\u_uart_core.u_rxfifo.mem[2][3] ),
     .S(_04846_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_04850_));
- sky130_fd_sc_hd__clkbuf_1 _18748_ (.A(_04850_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02093_));
- sky130_fd_sc_hd__inv_2 _18749_ (.A(_04831_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_04851_));
- sky130_fd_sc_hd__mux2_1 _18750_ (.A0(\u_usb_host.u_async_wb.u_resp_if.grey_rd_ptr[0] ),
-    .A1(_04851_),
-    .S(_04835_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04852_));
- sky130_fd_sc_hd__clkbuf_1 _18751_ (.A(_04852_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02094_));
- sky130_fd_sc_hd__inv_2 _18752_ (.A(\u_usb_host.u_async_wb.u_resp_if.grey_rd_ptr[1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_04853_));
- sky130_fd_sc_hd__nand2_1 _18753_ (.A(_05711_),
-    .B(_04834_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_04854_));
- sky130_fd_sc_hd__or3b_1 _18754_ (.A(_04851_),
-    .B(_05712_),
-    .C_N(_04835_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04855_));
- sky130_fd_sc_hd__o221ai_1 _18755_ (.A1(_04853_),
-    .A2(_04835_),
-    .B1(_04854_),
-    .B2(_04831_),
-    .C1(_04855_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_02095_));
- sky130_fd_sc_hd__mux2_1 _18756_ (.A0(_07478_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.grey_wr_ptr[0] ),
-    .S(_04829_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04856_));
- sky130_fd_sc_hd__clkbuf_1 _18757_ (.A(_04856_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02096_));
- sky130_fd_sc_hd__nor2_1 _18758_ (.A(\u_usb_host.u_async_wb.u_cmd_if.wr_ptr[0] ),
-    .B(_07485_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_04857_));
- sky130_fd_sc_hd__xnor2_1 _18759_ (.A(_07474_),
-    .B(_04857_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_04858_));
- sky130_fd_sc_hd__mux2_1 _18760_ (.A0(_04858_),
-    .A1(\u_usb_host.u_async_wb.u_cmd_if.grey_wr_ptr[1] ),
-    .S(_04829_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04859_));
- sky130_fd_sc_hd__clkbuf_1 _18761_ (.A(_04859_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02097_));
- sky130_fd_sc_hd__xor2_1 _18762_ (.A(_07474_),
-    .B(_02832_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02098_));
- sky130_fd_sc_hd__nand2_1 _18763_ (.A(\u_usb_host.u_async_wb.u_resp_if.wr_ptr[0] ),
-    .B(_06838_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_04860_));
- sky130_fd_sc_hd__nand2_1 _18764_ (.A(_07419_),
-    .B(_04860_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_02099_));
- sky130_fd_sc_hd__nor2_1 _18765_ (.A(_06832_),
-    .B(_06840_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_04861_));
- sky130_fd_sc_hd__or2_1 _18766_ (.A(_09604_),
-    .B(_04861_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04862_));
- sky130_fd_sc_hd__clkbuf_1 _18767_ (.A(_04862_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02100_));
- sky130_fd_sc_hd__or2_1 _18768_ (.A(_05711_),
-    .B(_04834_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04863_));
- sky130_fd_sc_hd__and2_1 _18769_ (.A(_04854_),
-    .B(_04863_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04864_));
- sky130_fd_sc_hd__clkbuf_1 _18770_ (.A(_04864_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02101_));
- sky130_fd_sc_hd__xnor2_1 _18771_ (.A(_04831_),
-    .B(_04854_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_02102_));
- sky130_fd_sc_hd__nand2_1 _18772_ (.A(_06270_),
-    .B(_06464_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_04865_));
- sky130_fd_sc_hd__buf_2 _18773_ (.A(_04865_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04866_));
- sky130_fd_sc_hd__mux2_1 _18774_ (.A0(_07403_),
-    .A1(\u_uart_core.u_rxfifo.mem[2][0] ),
-    .S(_04866_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04867_));
- sky130_fd_sc_hd__clkbuf_1 _18775_ (.A(_04867_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02103_));
- sky130_fd_sc_hd__mux2_1 _18776_ (.A0(_07405_),
-    .A1(\u_uart_core.u_rxfifo.mem[2][1] ),
-    .S(_04866_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04868_));
- sky130_fd_sc_hd__clkbuf_1 _18777_ (.A(_04868_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02104_));
- sky130_fd_sc_hd__mux2_1 _18778_ (.A0(_07407_),
-    .A1(\u_uart_core.u_rxfifo.mem[2][2] ),
-    .S(_04866_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04869_));
- sky130_fd_sc_hd__clkbuf_1 _18779_ (.A(_04869_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02105_));
- sky130_fd_sc_hd__mux2_1 _18780_ (.A0(_06915_),
-    .A1(\u_uart_core.u_rxfifo.mem[2][3] ),
-    .S(_04866_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04870_));
- sky130_fd_sc_hd__clkbuf_1 _18781_ (.A(_04870_),
+ sky130_fd_sc_hd__clkbuf_1 _18740_ (.A(_04850_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02106_));
- sky130_fd_sc_hd__buf_2 _18782_ (.A(_04865_),
+ sky130_fd_sc_hd__clkbuf_2 _18741_ (.A(_04845_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04871_));
- sky130_fd_sc_hd__mux2_1 _18783_ (.A0(_07411_),
+    .X(_04851_));
+ sky130_fd_sc_hd__mux2_1 _18742_ (.A0(_07421_),
     .A1(\u_uart_core.u_rxfifo.mem[2][4] ),
-    .S(_04871_),
+    .S(_04851_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04872_));
- sky130_fd_sc_hd__clkbuf_1 _18784_ (.A(_04872_),
+    .X(_04852_));
+ sky130_fd_sc_hd__clkbuf_1 _18743_ (.A(_04852_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02107_));
- sky130_fd_sc_hd__mux2_1 _18785_ (.A0(_07413_),
+ sky130_fd_sc_hd__mux2_1 _18744_ (.A0(_07423_),
     .A1(\u_uart_core.u_rxfifo.mem[2][5] ),
-    .S(_04871_),
+    .S(_04851_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04873_));
- sky130_fd_sc_hd__clkbuf_1 _18786_ (.A(_04873_),
+    .X(_04853_));
+ sky130_fd_sc_hd__clkbuf_1 _18745_ (.A(_04853_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02108_));
- sky130_fd_sc_hd__mux2_1 _18787_ (.A0(_07415_),
+ sky130_fd_sc_hd__mux2_1 _18746_ (.A0(_07425_),
     .A1(\u_uart_core.u_rxfifo.mem[2][6] ),
-    .S(_04871_),
+    .S(_04851_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04874_));
- sky130_fd_sc_hd__clkbuf_1 _18788_ (.A(_04874_),
+    .X(_04854_));
+ sky130_fd_sc_hd__clkbuf_1 _18747_ (.A(_04854_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02109_));
- sky130_fd_sc_hd__mux2_1 _18789_ (.A0(_06927_),
+ sky130_fd_sc_hd__mux2_1 _18748_ (.A0(_06937_),
     .A1(\u_uart_core.u_rxfifo.mem[2][7] ),
-    .S(_04871_),
+    .S(_04851_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04875_));
- sky130_fd_sc_hd__clkbuf_1 _18790_ (.A(_04875_),
+    .X(_04855_));
+ sky130_fd_sc_hd__clkbuf_1 _18749_ (.A(_04855_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02110_));
- sky130_fd_sc_hd__and2_1 _18791_ (.A(_06297_),
-    .B(_06463_),
+ sky130_fd_sc_hd__and2b_1 _18750_ (.A_N(_06314_),
+    .B(_06469_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04876_));
- sky130_fd_sc_hd__buf_2 _18792_ (.A(_04876_),
+    .X(_04856_));
+ sky130_fd_sc_hd__clkbuf_4 _18751_ (.A(_04856_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04877_));
- sky130_fd_sc_hd__mux2_1 _18793_ (.A0(\u_uart_core.u_rxfifo.mem[0][0] ),
-    .A1(_06178_),
-    .S(_04877_),
+    .X(_04857_));
+ sky130_fd_sc_hd__mux2_1 _18752_ (.A0(\u_uart_core.u_rxfifo.mem[0][0] ),
+    .A1(_06142_),
+    .S(_04857_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04878_));
- sky130_fd_sc_hd__clkbuf_1 _18794_ (.A(_04878_),
+    .X(_04858_));
+ sky130_fd_sc_hd__clkbuf_1 _18753_ (.A(_04858_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02111_));
- sky130_fd_sc_hd__mux2_1 _18795_ (.A0(\u_uart_core.u_rxfifo.mem[0][1] ),
-    .A1(_06193_),
-    .S(_04877_),
+ sky130_fd_sc_hd__mux2_1 _18754_ (.A0(\u_uart_core.u_rxfifo.mem[0][1] ),
+    .A1(_06156_),
+    .S(_04857_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04879_));
- sky130_fd_sc_hd__clkbuf_1 _18796_ (.A(_04879_),
+    .X(_04859_));
+ sky130_fd_sc_hd__clkbuf_1 _18755_ (.A(_04859_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02112_));
- sky130_fd_sc_hd__mux2_1 _18797_ (.A0(\u_uart_core.u_rxfifo.mem[0][2] ),
-    .A1(_06196_),
-    .S(_04877_),
+ sky130_fd_sc_hd__mux2_1 _18756_ (.A0(\u_uart_core.u_rxfifo.mem[0][2] ),
+    .A1(_06159_),
+    .S(_04857_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04880_));
- sky130_fd_sc_hd__clkbuf_1 _18798_ (.A(_04880_),
+    .X(_04860_));
+ sky130_fd_sc_hd__clkbuf_1 _18757_ (.A(_04860_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02113_));
- sky130_fd_sc_hd__mux2_1 _18799_ (.A0(\u_uart_core.u_rxfifo.mem[0][3] ),
-    .A1(_06199_),
-    .S(_04877_),
+ sky130_fd_sc_hd__mux2_1 _18758_ (.A0(\u_uart_core.u_rxfifo.mem[0][3] ),
+    .A1(_06162_),
+    .S(_04857_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04881_));
- sky130_fd_sc_hd__clkbuf_1 _18800_ (.A(_04881_),
+    .X(_04861_));
+ sky130_fd_sc_hd__clkbuf_1 _18759_ (.A(_04861_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02114_));
- sky130_fd_sc_hd__buf_2 _18801_ (.A(_04876_),
+ sky130_fd_sc_hd__clkbuf_2 _18760_ (.A(_04856_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04862_));
+ sky130_fd_sc_hd__mux2_1 _18761_ (.A0(\u_uart_core.u_rxfifo.mem[0][4] ),
+    .A1(_06166_),
+    .S(_04862_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04863_));
+ sky130_fd_sc_hd__clkbuf_1 _18762_ (.A(_04863_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02115_));
+ sky130_fd_sc_hd__mux2_1 _18763_ (.A0(\u_uart_core.u_rxfifo.mem[0][5] ),
+    .A1(_06169_),
+    .S(_04862_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04864_));
+ sky130_fd_sc_hd__clkbuf_1 _18764_ (.A(_04864_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02116_));
+ sky130_fd_sc_hd__mux2_1 _18765_ (.A0(\u_uart_core.u_rxfifo.mem[0][6] ),
+    .A1(_06172_),
+    .S(_04862_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04865_));
+ sky130_fd_sc_hd__clkbuf_1 _18766_ (.A(_04865_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02117_));
+ sky130_fd_sc_hd__mux2_1 _18767_ (.A0(\u_uart_core.u_rxfifo.mem[0][7] ),
+    .A1(_06175_),
+    .S(_04862_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04866_));
+ sky130_fd_sc_hd__clkbuf_1 _18768_ (.A(_04866_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02118_));
+ sky130_fd_sc_hd__nand2_1 _18769_ (.A(_06282_),
+    .B(_06300_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_04867_));
+ sky130_fd_sc_hd__buf_2 _18770_ (.A(_04867_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04868_));
+ sky130_fd_sc_hd__mux2_1 _18771_ (.A0(_07413_),
+    .A1(\u_uart_core.u_rxfifo.mem[10][0] ),
+    .S(_04868_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04869_));
+ sky130_fd_sc_hd__clkbuf_1 _18772_ (.A(_04869_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02119_));
+ sky130_fd_sc_hd__mux2_1 _18773_ (.A0(_07415_),
+    .A1(\u_uart_core.u_rxfifo.mem[10][1] ),
+    .S(_04868_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04870_));
+ sky130_fd_sc_hd__clkbuf_1 _18774_ (.A(_04870_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02120_));
+ sky130_fd_sc_hd__mux2_1 _18775_ (.A0(_07417_),
+    .A1(\u_uart_core.u_rxfifo.mem[10][2] ),
+    .S(_04868_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04871_));
+ sky130_fd_sc_hd__clkbuf_1 _18776_ (.A(_04871_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02121_));
+ sky130_fd_sc_hd__clkbuf_1 _18777_ (.A(_06162_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04872_));
+ sky130_fd_sc_hd__mux2_1 _18778_ (.A0(_04872_),
+    .A1(\u_uart_core.u_rxfifo.mem[10][3] ),
+    .S(_04868_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04873_));
+ sky130_fd_sc_hd__clkbuf_1 _18779_ (.A(_04873_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02122_));
+ sky130_fd_sc_hd__clkbuf_2 _18780_ (.A(_04867_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04874_));
+ sky130_fd_sc_hd__mux2_1 _18781_ (.A0(_07421_),
+    .A1(\u_uart_core.u_rxfifo.mem[10][4] ),
+    .S(_04874_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04875_));
+ sky130_fd_sc_hd__clkbuf_1 _18782_ (.A(_04875_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02123_));
+ sky130_fd_sc_hd__mux2_1 _18783_ (.A0(_07423_),
+    .A1(\u_uart_core.u_rxfifo.mem[10][5] ),
+    .S(_04874_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04876_));
+ sky130_fd_sc_hd__clkbuf_1 _18784_ (.A(_04876_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02124_));
+ sky130_fd_sc_hd__mux2_1 _18785_ (.A0(_07425_),
+    .A1(\u_uart_core.u_rxfifo.mem[10][6] ),
+    .S(_04874_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04877_));
+ sky130_fd_sc_hd__clkbuf_1 _18786_ (.A(_04877_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02125_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _18787_ (.A(_06175_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04878_));
+ sky130_fd_sc_hd__mux2_1 _18788_ (.A0(_04878_),
+    .A1(\u_uart_core.u_rxfifo.mem[10][7] ),
+    .S(_04874_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04879_));
+ sky130_fd_sc_hd__clkbuf_1 _18789_ (.A(_04879_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02126_));
+ sky130_fd_sc_hd__clkbuf_2 _18790_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.slave_wait ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04880_));
+ sky130_fd_sc_hd__xnor2_1 _18791_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[0] ),
+    .B(_04880_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_04881_));
+ sky130_fd_sc_hd__clkbuf_2 _18792_ (.A(_05594_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_04882_));
- sky130_fd_sc_hd__mux2_1 _18802_ (.A0(\u_uart_core.u_rxfifo.mem[0][4] ),
-    .A1(_06203_),
+ sky130_fd_sc_hd__mux2_1 _18793_ (.A0(\u_i2cm.prer[0] ),
+    .A1(_04881_),
     .S(_04882_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_04883_));
- sky130_fd_sc_hd__clkbuf_1 _18803_ (.A(_04883_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02115_));
- sky130_fd_sc_hd__mux2_1 _18804_ (.A0(\u_uart_core.u_rxfifo.mem[0][5] ),
-    .A1(_06206_),
-    .S(_04882_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04884_));
- sky130_fd_sc_hd__clkbuf_1 _18805_ (.A(_04884_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02116_));
- sky130_fd_sc_hd__mux2_1 _18806_ (.A0(\u_uart_core.u_rxfifo.mem[0][6] ),
-    .A1(_06209_),
-    .S(_04882_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04885_));
- sky130_fd_sc_hd__clkbuf_1 _18807_ (.A(_04885_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02117_));
- sky130_fd_sc_hd__mux2_1 _18808_ (.A0(\u_uart_core.u_rxfifo.mem[0][7] ),
-    .A1(_06212_),
-    .S(_04882_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04886_));
- sky130_fd_sc_hd__clkbuf_1 _18809_ (.A(_04886_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02118_));
- sky130_fd_sc_hd__nand2_1 _18810_ (.A(_06270_),
-    .B(_06283_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_04887_));
- sky130_fd_sc_hd__clkbuf_4 _18811_ (.A(_04887_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04888_));
- sky130_fd_sc_hd__mux2_1 _18812_ (.A0(_07403_),
-    .A1(\u_uart_core.u_rxfifo.mem[10][0] ),
-    .S(_04888_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04889_));
- sky130_fd_sc_hd__clkbuf_1 _18813_ (.A(_04889_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02119_));
- sky130_fd_sc_hd__mux2_1 _18814_ (.A0(_07405_),
-    .A1(\u_uart_core.u_rxfifo.mem[10][1] ),
-    .S(_04888_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04890_));
- sky130_fd_sc_hd__clkbuf_1 _18815_ (.A(_04890_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02120_));
- sky130_fd_sc_hd__mux2_1 _18816_ (.A0(_07407_),
-    .A1(\u_uart_core.u_rxfifo.mem[10][2] ),
-    .S(_04888_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04891_));
- sky130_fd_sc_hd__clkbuf_1 _18817_ (.A(_04891_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02121_));
- sky130_fd_sc_hd__clkbuf_1 _18818_ (.A(_06199_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04892_));
- sky130_fd_sc_hd__mux2_1 _18819_ (.A0(_04892_),
-    .A1(\u_uart_core.u_rxfifo.mem[10][3] ),
-    .S(_04888_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04893_));
- sky130_fd_sc_hd__clkbuf_1 _18820_ (.A(_04893_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02122_));
- sky130_fd_sc_hd__buf_2 _18821_ (.A(_04887_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04894_));
- sky130_fd_sc_hd__mux2_1 _18822_ (.A0(_07411_),
-    .A1(\u_uart_core.u_rxfifo.mem[10][4] ),
-    .S(_04894_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04895_));
- sky130_fd_sc_hd__clkbuf_1 _18823_ (.A(_04895_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02123_));
- sky130_fd_sc_hd__mux2_1 _18824_ (.A0(_07413_),
-    .A1(\u_uart_core.u_rxfifo.mem[10][5] ),
-    .S(_04894_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04896_));
- sky130_fd_sc_hd__clkbuf_1 _18825_ (.A(_04896_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02124_));
- sky130_fd_sc_hd__mux2_1 _18826_ (.A0(_07415_),
-    .A1(\u_uart_core.u_rxfifo.mem[10][6] ),
-    .S(_04894_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04897_));
- sky130_fd_sc_hd__clkbuf_1 _18827_ (.A(_04897_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02125_));
- sky130_fd_sc_hd__clkbuf_2 _18828_ (.A(_06212_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04898_));
- sky130_fd_sc_hd__mux2_1 _18829_ (.A0(_04898_),
-    .A1(\u_uart_core.u_rxfifo.mem[10][7] ),
-    .S(_04894_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04899_));
- sky130_fd_sc_hd__clkbuf_1 _18830_ (.A(_04899_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02126_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _18831_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.slave_wait ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04900_));
- sky130_fd_sc_hd__xnor2_1 _18832_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[0] ),
-    .B(_04900_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_04901_));
- sky130_fd_sc_hd__clkbuf_2 _18833_ (.A(_05613_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04902_));
- sky130_fd_sc_hd__mux2_1 _18834_ (.A0(\u_i2cm.prer[0] ),
-    .A1(_04901_),
-    .S(_04902_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04903_));
- sky130_fd_sc_hd__clkbuf_1 _18835_ (.A(_04903_),
+ sky130_fd_sc_hd__clkbuf_1 _18794_ (.A(_04883_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02127_));
- sky130_fd_sc_hd__or3_1 _18836_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[0] ),
+ sky130_fd_sc_hd__or3_1 _18795_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[0] ),
     .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[1] ),
     .C(\u_i2cm.u_byte_ctrl.u_bit_ctrl.slave_wait ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04904_));
- sky130_fd_sc_hd__o21ai_1 _18837_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[0] ),
-    .A2(_04900_),
+    .X(_04884_));
+ sky130_fd_sc_hd__o21ai_1 _18796_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[0] ),
+    .A2(_04880_),
     .B1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04905_));
- sky130_fd_sc_hd__nand2_1 _18838_ (.A(_04904_),
-    .B(_04905_),
+    .Y(_04885_));
+ sky130_fd_sc_hd__nand2_1 _18797_ (.A(_04884_),
+    .B(_04885_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04906_));
- sky130_fd_sc_hd__mux2_1 _18839_ (.A0(\u_i2cm.prer[1] ),
-    .A1(_04906_),
-    .S(_04902_),
+    .Y(_04886_));
+ sky130_fd_sc_hd__mux2_1 _18798_ (.A0(\u_i2cm.prer[1] ),
+    .A1(_04886_),
+    .S(_04882_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04907_));
- sky130_fd_sc_hd__clkbuf_1 _18840_ (.A(_04907_),
+    .X(_04887_));
+ sky130_fd_sc_hd__clkbuf_1 _18799_ (.A(_04887_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02128_));
- sky130_fd_sc_hd__or2_1 _18841_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[2] ),
-    .B(_04904_),
+ sky130_fd_sc_hd__or2_1 _18800_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[2] ),
+    .B(_04884_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04908_));
- sky130_fd_sc_hd__nand2_1 _18842_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[2] ),
-    .B(_04904_),
+    .X(_04888_));
+ sky130_fd_sc_hd__nand2_1 _18801_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[2] ),
+    .B(_04884_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04909_));
- sky130_fd_sc_hd__nand2_1 _18843_ (.A(_04908_),
-    .B(_04909_),
+    .Y(_04889_));
+ sky130_fd_sc_hd__nand2_1 _18802_ (.A(_04888_),
+    .B(_04889_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04910_));
- sky130_fd_sc_hd__mux2_1 _18844_ (.A0(\u_i2cm.prer[2] ),
-    .A1(_04910_),
-    .S(_04902_),
+    .Y(_04890_));
+ sky130_fd_sc_hd__mux2_1 _18803_ (.A0(\u_i2cm.prer[2] ),
+    .A1(_04890_),
+    .S(_04882_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04911_));
- sky130_fd_sc_hd__clkbuf_1 _18845_ (.A(_04911_),
+    .X(_04891_));
+ sky130_fd_sc_hd__clkbuf_1 _18804_ (.A(_04891_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02129_));
- sky130_fd_sc_hd__xnor2_1 _18846_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[3] ),
-    .B(_04908_),
+ sky130_fd_sc_hd__xnor2_1 _18805_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[3] ),
+    .B(_04888_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04912_));
- sky130_fd_sc_hd__mux2_1 _18847_ (.A0(\u_i2cm.prer[3] ),
-    .A1(_04912_),
-    .S(_04902_),
+    .Y(_04892_));
+ sky130_fd_sc_hd__clkbuf_2 _18806_ (.A(_05594_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04913_));
- sky130_fd_sc_hd__clkbuf_1 _18848_ (.A(_04913_),
+    .X(_04893_));
+ sky130_fd_sc_hd__mux2_1 _18807_ (.A0(\u_i2cm.prer[3] ),
+    .A1(_04892_),
+    .S(_04893_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04894_));
+ sky130_fd_sc_hd__clkbuf_1 _18808_ (.A(_04894_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02130_));
- sky130_fd_sc_hd__or3_1 _18849_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[3] ),
+ sky130_fd_sc_hd__or3_1 _18809_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[3] ),
     .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[4] ),
-    .C(_04908_),
+    .C(_04888_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04914_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _18850_ (.A(_04914_),
+    .X(_04895_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _18810_ (.A(_04895_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04915_));
- sky130_fd_sc_hd__o21ai_1 _18851_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[3] ),
-    .A2(_04908_),
+    .X(_04896_));
+ sky130_fd_sc_hd__o21ai_1 _18811_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[3] ),
+    .A2(_04888_),
     .B1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04916_));
- sky130_fd_sc_hd__nand2_1 _18852_ (.A(_04915_),
-    .B(_04916_),
+    .Y(_04897_));
+ sky130_fd_sc_hd__nand2_1 _18812_ (.A(_04896_),
+    .B(_04897_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04917_));
- sky130_fd_sc_hd__clkbuf_2 _18853_ (.A(_05612_),
+    .Y(_04898_));
+ sky130_fd_sc_hd__mux2_1 _18813_ (.A0(\u_i2cm.prer[4] ),
+    .A1(_04898_),
+    .S(_04893_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04918_));
- sky130_fd_sc_hd__mux2_1 _18854_ (.A0(\u_i2cm.prer[4] ),
-    .A1(_04917_),
-    .S(_04918_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04919_));
- sky130_fd_sc_hd__clkbuf_1 _18855_ (.A(_04919_),
+    .X(_04899_));
+ sky130_fd_sc_hd__clkbuf_1 _18814_ (.A(_04899_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02131_));
- sky130_fd_sc_hd__xnor2_1 _18856_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[5] ),
-    .B(_04915_),
+ sky130_fd_sc_hd__xnor2_1 _18815_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[5] ),
+    .B(_04896_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04920_));
- sky130_fd_sc_hd__mux2_1 _18857_ (.A0(\u_i2cm.prer[5] ),
-    .A1(_04920_),
-    .S(_04918_),
+    .Y(_04900_));
+ sky130_fd_sc_hd__mux2_1 _18816_ (.A0(\u_i2cm.prer[5] ),
+    .A1(_04900_),
+    .S(_04893_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04921_));
- sky130_fd_sc_hd__clkbuf_1 _18858_ (.A(_04921_),
+    .X(_04901_));
+ sky130_fd_sc_hd__clkbuf_1 _18817_ (.A(_04901_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02132_));
- sky130_fd_sc_hd__or3_1 _18859_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[5] ),
+ sky130_fd_sc_hd__or3_1 _18818_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[5] ),
     .B(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[6] ),
-    .C(_04914_),
+    .C(_04895_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04922_));
- sky130_fd_sc_hd__o21ai_1 _18860_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[5] ),
-    .A2(_04915_),
+    .X(_04902_));
+ sky130_fd_sc_hd__o21ai_1 _18819_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[5] ),
+    .A2(_04896_),
     .B1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04923_));
- sky130_fd_sc_hd__nand2_1 _18861_ (.A(_04922_),
-    .B(_04923_),
+    .Y(_04903_));
+ sky130_fd_sc_hd__nand2_1 _18820_ (.A(_04902_),
+    .B(_04903_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04924_));
- sky130_fd_sc_hd__mux2_1 _18862_ (.A0(\u_i2cm.prer[6] ),
-    .A1(_04924_),
-    .S(_04918_),
+    .Y(_04904_));
+ sky130_fd_sc_hd__mux2_1 _18821_ (.A0(\u_i2cm.prer[6] ),
+    .A1(_04904_),
+    .S(_04893_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04925_));
- sky130_fd_sc_hd__clkbuf_1 _18863_ (.A(_04925_),
+    .X(_04905_));
+ sky130_fd_sc_hd__clkbuf_1 _18822_ (.A(_04905_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02133_));
- sky130_fd_sc_hd__xnor2_1 _18864_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[7] ),
-    .B(_04922_),
+ sky130_fd_sc_hd__xnor2_1 _18823_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[7] ),
+    .B(_04902_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04926_));
- sky130_fd_sc_hd__mux2_1 _18865_ (.A0(\u_i2cm.prer[7] ),
-    .A1(_04926_),
-    .S(_04918_),
+    .Y(_04906_));
+ sky130_fd_sc_hd__clkbuf_2 _18824_ (.A(_05594_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04927_));
- sky130_fd_sc_hd__clkbuf_1 _18866_ (.A(_04927_),
+    .X(_04907_));
+ sky130_fd_sc_hd__mux2_1 _18825_ (.A0(\u_i2cm.prer[7] ),
+    .A1(_04906_),
+    .S(_04907_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04908_));
+ sky130_fd_sc_hd__clkbuf_1 _18826_ (.A(_04908_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02134_));
- sky130_fd_sc_hd__or2_1 _18867_ (.A(_05606_),
-    .B(_04915_),
+ sky130_fd_sc_hd__or2_1 _18827_ (.A(_05585_),
+    .B(_04896_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04928_));
- sky130_fd_sc_hd__o21ai_1 _18868_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[7] ),
-    .A2(_04922_),
+    .X(_04909_));
+ sky130_fd_sc_hd__o21ai_1 _18828_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[7] ),
+    .A2(_04902_),
     .B1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04929_));
- sky130_fd_sc_hd__nand2_1 _18869_ (.A(_04928_),
-    .B(_04929_),
+    .Y(_04910_));
+ sky130_fd_sc_hd__nand2_1 _18829_ (.A(_04909_),
+    .B(_04910_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04930_));
- sky130_fd_sc_hd__clkbuf_2 _18870_ (.A(_05612_),
+    .Y(_04911_));
+ sky130_fd_sc_hd__mux2_1 _18830_ (.A0(\u_i2cm.prer[8] ),
+    .A1(_04911_),
+    .S(_04907_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04931_));
- sky130_fd_sc_hd__mux2_1 _18871_ (.A0(\u_i2cm.prer[8] ),
-    .A1(_04930_),
-    .S(_04931_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04932_));
- sky130_fd_sc_hd__clkbuf_1 _18872_ (.A(_04932_),
+    .X(_04912_));
+ sky130_fd_sc_hd__clkbuf_1 _18831_ (.A(_04912_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02135_));
- sky130_fd_sc_hd__nand2_1 _18873_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[9] ),
-    .B(_04928_),
+ sky130_fd_sc_hd__nand2_1 _18832_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[9] ),
+    .B(_04909_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04933_));
- sky130_fd_sc_hd__o21ai_1 _18874_ (.A1(_06041_),
-    .A2(_05608_),
-    .B1(_04933_),
+    .Y(_04913_));
+ sky130_fd_sc_hd__o21ai_1 _18833_ (.A1(_06076_),
+    .A2(_05587_),
+    .B1(_04913_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04934_));
- sky130_fd_sc_hd__mux2_1 _18875_ (.A0(\u_i2cm.prer[9] ),
-    .A1(_04934_),
-    .S(_04931_),
+    .Y(_04914_));
+ sky130_fd_sc_hd__mux2_1 _18834_ (.A0(\u_i2cm.prer[9] ),
+    .A1(_04914_),
+    .S(_04907_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04935_));
- sky130_fd_sc_hd__clkbuf_1 _18876_ (.A(_04935_),
+    .X(_04915_));
+ sky130_fd_sc_hd__clkbuf_1 _18835_ (.A(_04915_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02136_));
- sky130_fd_sc_hd__or2_1 _18877_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.slave_wait ),
-    .B(_05609_),
+ sky130_fd_sc_hd__or2_1 _18836_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.slave_wait ),
+    .B(_05588_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04936_));
- sky130_fd_sc_hd__o21ai_1 _18878_ (.A1(_04900_),
-    .A2(_05608_),
+    .X(_04916_));
+ sky130_fd_sc_hd__o21ai_1 _18837_ (.A1(_04880_),
+    .A2(_05587_),
     .B1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[10] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04937_));
- sky130_fd_sc_hd__a21o_1 _18879_ (.A1(_04936_),
-    .A2(_04937_),
+    .Y(_04917_));
+ sky130_fd_sc_hd__a21o_1 _18838_ (.A1(_04916_),
+    .A2(_04917_),
     .B1(_00037_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04938_));
- sky130_fd_sc_hd__a21bo_1 _18880_ (.A1(\u_i2cm.prer[10] ),
+    .X(_04918_));
+ sky130_fd_sc_hd__a21bo_1 _18839_ (.A1(\u_i2cm.prer[10] ),
     .A2(_00037_),
-    .B1_N(_04938_),
+    .B1_N(_04918_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02137_));
- sky130_fd_sc_hd__xnor2_1 _18881_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[11] ),
-    .B(_04936_),
+ sky130_fd_sc_hd__xnor2_1 _18840_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[11] ),
+    .B(_04916_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04939_));
- sky130_fd_sc_hd__mux2_1 _18882_ (.A0(\u_i2cm.prer[11] ),
-    .A1(_04939_),
-    .S(_04931_),
+    .Y(_04919_));
+ sky130_fd_sc_hd__mux2_1 _18841_ (.A0(\u_i2cm.prer[11] ),
+    .A1(_04919_),
+    .S(_04907_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04940_));
- sky130_fd_sc_hd__clkbuf_1 _18883_ (.A(_04940_),
+    .X(_04920_));
+ sky130_fd_sc_hd__clkbuf_1 _18842_ (.A(_04920_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02138_));
- sky130_fd_sc_hd__clkbuf_1 _18884_ (.A(_05613_),
+ sky130_fd_sc_hd__or2_1 _18843_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[11] ),
+    .B(_04916_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04941_));
- sky130_fd_sc_hd__or2_1 _18885_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[11] ),
-    .B(_04936_),
+    .X(_04921_));
+ sky130_fd_sc_hd__xnor2_1 _18844_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[12] ),
+    .B(_04921_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04942_));
- sky130_fd_sc_hd__nand2_1 _18886_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[12] ),
-    .B(_04942_),
+    .Y(_04922_));
+ sky130_fd_sc_hd__mux2_1 _18845_ (.A0(\u_i2cm.prer[12] ),
+    .A1(_04922_),
+    .S(_05595_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04943_));
- sky130_fd_sc_hd__o211a_1 _18887_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[12] ),
-    .A2(_04942_),
-    .B1(_04943_),
-    .C1(_04941_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04944_));
- sky130_fd_sc_hd__o21ba_1 _18888_ (.A1(\u_i2cm.prer[12] ),
-    .A2(_04941_),
-    .B1_N(_04944_),
+    .X(_04923_));
+ sky130_fd_sc_hd__clkbuf_1 _18846_ (.A(_04923_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02139_));
- sky130_fd_sc_hd__o21ai_1 _18889_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[12] ),
-    .A2(_04942_),
+ sky130_fd_sc_hd__o21ai_1 _18847_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[12] ),
+    .A2(_04921_),
     .B1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04945_));
- sky130_fd_sc_hd__o21ai_1 _18890_ (.A1(_04900_),
-    .A2(_05610_),
-    .B1(_04945_),
+    .Y(_04924_));
+ sky130_fd_sc_hd__o21ai_1 _18848_ (.A1(_06076_),
+    .A2(_05589_),
+    .B1(_04924_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04946_));
- sky130_fd_sc_hd__mux2_1 _18891_ (.A0(\u_i2cm.prer[13] ),
-    .A1(_04946_),
-    .S(_04931_),
+    .Y(_04925_));
+ sky130_fd_sc_hd__mux2_1 _18849_ (.A0(\u_i2cm.prer[13] ),
+    .A1(_04925_),
+    .S(_05595_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04947_));
- sky130_fd_sc_hd__clkbuf_1 _18892_ (.A(_04947_),
+    .X(_04926_));
+ sky130_fd_sc_hd__clkbuf_1 _18850_ (.A(_04926_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02140_));
- sky130_fd_sc_hd__a21o_1 _18893_ (.A1(_06041_),
-    .A2(_05613_),
-    .B1(_05610_),
+ sky130_fd_sc_hd__o21ai_1 _18851_ (.A1(_06076_),
+    .A2(_05590_),
+    .B1(_04882_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04948_));
- sky130_fd_sc_hd__nand2_1 _18894_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[14] ),
-    .B(_04948_),
+    .Y(_04927_));
+ sky130_fd_sc_hd__or2_1 _18852_ (.A(\u_i2cm.prer[14] ),
+    .B(_05595_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_04949_));
- sky130_fd_sc_hd__o21a_1 _18895_ (.A1(_06041_),
-    .A2(_05611_),
-    .B1(_04941_),
+    .X(_04928_));
+ sky130_fd_sc_hd__inv_2 _18853_ (.A(_05593_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04950_));
- sky130_fd_sc_hd__o2bb2a_1 _18896_ (.A1_N(_04949_),
-    .A2_N(_04950_),
-    .B1(\u_i2cm.prer[14] ),
-    .B2(_04941_),
+    .Y(_04929_));
+ sky130_fd_sc_hd__or4b_1 _18854_ (.A(_04880_),
+    .B(_04929_),
+    .C(_05589_),
+    .D_N(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[14] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04930_));
+ sky130_fd_sc_hd__o211a_1 _18855_ (.A1(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[14] ),
+    .A2(_04927_),
+    .B1(_04928_),
+    .C1(_04930_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02141_));
- sky130_fd_sc_hd__a22o_1 _18897_ (.A1(\u_i2cm.prer[15] ),
-    .A2(_00037_),
-    .B1(_04950_),
-    .B2(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[15] ),
+ sky130_fd_sc_hd__clkinv_2 _18856_ (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[15] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_04931_));
+ sky130_fd_sc_hd__a2bb2o_1 _18857_ (.A1_N(_04931_),
+    .A2_N(_04927_),
+    .B1(_00037_),
+    .B2(\u_i2cm.prer[15] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02142_));
- sky130_fd_sc_hd__and3_4 _18898_ (.A(_07545_),
-    .B(_07227_),
-    .C(_06088_),
+ sky130_fd_sc_hd__and4_2 _18858_ (.A(_05791_),
+    .B(_05800_),
+    .C(_05668_),
+    .D(_07678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04951_));
- sky130_fd_sc_hd__and2_1 _18899_ (.A(_07036_),
-    .B(_04951_),
+    .X(_04932_));
+ sky130_fd_sc_hd__and2_1 _18859_ (.A(net10),
+    .B(_04932_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04952_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _18900_ (.A(_04952_),
+    .X(_04933_));
+ sky130_fd_sc_hd__clkbuf_2 _18860_ (.A(_04933_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04953_));
- sky130_fd_sc_hd__mux2_1 _18901_ (.A0(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[6].u_bit_reg.data_out ),
-    .A1(_02841_),
-    .S(_04953_),
+    .X(_04934_));
+ sky130_fd_sc_hd__mux2_1 _18861_ (.A0(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[6].u_bit_reg.data_out ),
+    .A1(_02823_),
+    .S(_04934_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04954_));
- sky130_fd_sc_hd__clkbuf_1 _18902_ (.A(_04954_),
+    .X(_04935_));
+ sky130_fd_sc_hd__clkbuf_1 _18862_ (.A(_04935_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02143_));
- sky130_fd_sc_hd__mux2_1 _18903_ (.A0(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[5].u_bit_reg.data_out ),
-    .A1(_02839_),
-    .S(_04953_),
+ sky130_fd_sc_hd__mux2_1 _18863_ (.A0(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[5].u_bit_reg.data_out ),
+    .A1(_02821_),
+    .S(_04934_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04955_));
- sky130_fd_sc_hd__clkbuf_1 _18904_ (.A(_04955_),
+    .X(_04936_));
+ sky130_fd_sc_hd__clkbuf_1 _18864_ (.A(_04936_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02144_));
- sky130_fd_sc_hd__mux2_1 _18905_ (.A0(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[4].u_bit_reg.data_out ),
-    .A1(_07875_),
-    .S(_04953_),
+ sky130_fd_sc_hd__mux2_1 _18865_ (.A0(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[4].u_bit_reg.data_out ),
+    .A1(_02430_),
+    .S(_04934_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04956_));
- sky130_fd_sc_hd__clkbuf_1 _18906_ (.A(_04956_),
+    .X(_04937_));
+ sky130_fd_sc_hd__clkbuf_1 _18866_ (.A(_04937_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02145_));
- sky130_fd_sc_hd__mux2_1 _18907_ (.A0(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[3].u_bit_reg.data_out ),
-    .A1(_07023_),
-    .S(_04953_),
+ sky130_fd_sc_hd__mux2_1 _18867_ (.A0(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[3].u_bit_reg.data_out ),
+    .A1(_07034_),
+    .S(_04934_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04957_));
- sky130_fd_sc_hd__clkbuf_1 _18908_ (.A(_04957_),
+    .X(_04938_));
+ sky130_fd_sc_hd__clkbuf_1 _18868_ (.A(_04938_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02146_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _18909_ (.A(_04952_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _18869_ (.A(_04933_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04958_));
- sky130_fd_sc_hd__mux2_1 _18910_ (.A0(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[2].u_bit_reg.data_out ),
-    .A1(_07373_),
-    .S(_04958_),
+    .X(_04939_));
+ sky130_fd_sc_hd__mux2_1 _18870_ (.A0(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[2].u_bit_reg.data_out ),
+    .A1(_06966_),
+    .S(_04939_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04959_));
- sky130_fd_sc_hd__clkbuf_1 _18911_ (.A(_04959_),
+    .X(_04940_));
+ sky130_fd_sc_hd__clkbuf_1 _18871_ (.A(_04940_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02147_));
- sky130_fd_sc_hd__mux2_1 _18912_ (.A0(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[1].u_bit_reg.data_out ),
-    .A1(_07370_),
-    .S(_04958_),
+ sky130_fd_sc_hd__mux2_1 _18872_ (.A0(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[1].u_bit_reg.data_out ),
+    .A1(_06963_),
+    .S(_04939_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04960_));
- sky130_fd_sc_hd__clkbuf_1 _18913_ (.A(_04960_),
+    .X(_04941_));
+ sky130_fd_sc_hd__clkbuf_1 _18873_ (.A(_04941_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02148_));
- sky130_fd_sc_hd__mux2_1 _18914_ (.A0(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[0].u_bit_reg.data_out ),
-    .A1(_07364_),
-    .S(_04958_),
+ sky130_fd_sc_hd__mux2_1 _18874_ (.A0(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[0].u_bit_reg.data_out ),
+    .A1(_06956_),
+    .S(_04939_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04961_));
- sky130_fd_sc_hd__clkbuf_1 _18915_ (.A(_04961_),
+    .X(_04942_));
+ sky130_fd_sc_hd__clkbuf_1 _18875_ (.A(_04942_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02149_));
- sky130_fd_sc_hd__clkbuf_2 _18916_ (.A(_05952_),
+ sky130_fd_sc_hd__clkbuf_2 _18876_ (.A(_05988_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04962_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _18917_ (.A(_04962_),
+    .X(_04943_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _18877_ (.A(_04943_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04963_));
- sky130_fd_sc_hd__clkbuf_2 _18918_ (.A(_05963_),
+    .X(_04944_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _18878_ (.A(_06001_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04964_));
- sky130_fd_sc_hd__clkbuf_1 _18919_ (.A(_04964_),
+    .X(_04945_));
+ sky130_fd_sc_hd__clkbuf_2 _18879_ (.A(_04945_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04965_));
- sky130_fd_sc_hd__a22o_1 _18920_ (.A1(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[0].u_bit_reg.data_out ),
-    .A2(_05959_),
-    .B1(_04965_),
+    .X(_04946_));
+ sky130_fd_sc_hd__a22o_1 _18880_ (.A1(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[0].u_bit_reg.data_out ),
+    .A2(_07216_),
+    .B1(_04946_),
     .B2(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[0].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .X(_04947_));
+ sky130_fd_sc_hd__a21o_1 _18881_ (.A1(\u_sspim.cfg_dataout[0] ),
+    .A2(_04944_),
+    .B1(_04947_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04948_));
+ sky130_fd_sc_hd__and2_2 _18882_ (.A(_05793_),
+    .B(_00069_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04949_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _18883_ (.A(_04949_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04950_));
+ sky130_fd_sc_hd__buf_2 _18884_ (.A(_04950_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04951_));
+ sky130_fd_sc_hd__mux2_1 _18885_ (.A0(\u_sspim.reg_rdata[0] ),
+    .A1(_04948_),
+    .S(_04951_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04952_));
+ sky130_fd_sc_hd__clkbuf_1 _18886_ (.A(_04952_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02150_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _18887_ (.A(_04945_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04953_));
+ sky130_fd_sc_hd__a22o_1 _18888_ (.A1(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[1].u_bit_reg.data_out ),
+    .A2(_07216_),
+    .B1(_04953_),
+    .B2(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[1].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04954_));
+ sky130_fd_sc_hd__a21o_1 _18889_ (.A1(\u_sspim.cfg_dataout[1] ),
+    .A2(_04944_),
+    .B1(_04954_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04955_));
+ sky130_fd_sc_hd__mux2_1 _18890_ (.A0(\u_sspim.reg_rdata[1] ),
+    .A1(_04955_),
+    .S(_04951_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04956_));
+ sky130_fd_sc_hd__clkbuf_1 _18891_ (.A(_04956_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02151_));
+ sky130_fd_sc_hd__a22o_1 _18892_ (.A1(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[2].u_bit_reg.data_out ),
+    .A2(_07216_),
+    .B1(_04953_),
+    .B2(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[2].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04957_));
+ sky130_fd_sc_hd__a21o_1 _18893_ (.A1(\u_sspim.cfg_dataout[2] ),
+    .A2(_04944_),
+    .B1(_04957_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04958_));
+ sky130_fd_sc_hd__mux2_1 _18894_ (.A0(\u_sspim.reg_rdata[2] ),
+    .A1(_04958_),
+    .S(_04951_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04959_));
+ sky130_fd_sc_hd__clkbuf_1 _18895_ (.A(_04959_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02152_));
+ sky130_fd_sc_hd__clkbuf_2 _18896_ (.A(_07678_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04960_));
+ sky130_fd_sc_hd__clkbuf_1 _18897_ (.A(_04960_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04961_));
+ sky130_fd_sc_hd__a22o_1 _18898_ (.A1(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[3].u_bit_reg.data_out ),
+    .A2(_04961_),
+    .B1(_04953_),
+    .B2(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[3].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04962_));
+ sky130_fd_sc_hd__a21o_1 _18899_ (.A1(\u_sspim.cfg_dataout[3] ),
+    .A2(_04944_),
+    .B1(_04962_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04963_));
+ sky130_fd_sc_hd__mux2_1 _18900_ (.A0(\u_sspim.reg_rdata[3] ),
+    .A1(_04963_),
+    .S(_04951_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04964_));
+ sky130_fd_sc_hd__clkbuf_1 _18901_ (.A(_04964_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02153_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _18902_ (.A(_04943_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04965_));
+ sky130_fd_sc_hd__a22o_1 _18903_ (.A1(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[4].u_bit_reg.data_out ),
+    .A2(_04961_),
+    .B1(_04953_),
+    .B2(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[4].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .X(_04966_));
- sky130_fd_sc_hd__a21o_1 _18921_ (.A1(\u_sspim.cfg_dataout[0] ),
-    .A2(_04963_),
+ sky130_fd_sc_hd__a21o_1 _18904_ (.A1(\u_sspim.cfg_dataout[4] ),
+    .A2(_04965_),
     .B1(_04966_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_04967_));
- sky130_fd_sc_hd__and2b_1 _18922_ (.A_N(_07545_),
-    .B(_00069_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _18905_ (.A(_04950_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_04968_));
- sky130_fd_sc_hd__clkbuf_4 _18923_ (.A(_04968_),
+ sky130_fd_sc_hd__mux2_1 _18906_ (.A0(\u_sspim.reg_rdata[4] ),
+    .A1(_04967_),
+    .S(_04968_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_04969_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _18924_ (.A(_04969_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04970_));
- sky130_fd_sc_hd__mux2_1 _18925_ (.A0(\u_sspim.reg_rdata[0] ),
-    .A1(_04967_),
-    .S(_04970_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04971_));
- sky130_fd_sc_hd__clkbuf_1 _18926_ (.A(_04971_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02150_));
- sky130_fd_sc_hd__a22o_1 _18927_ (.A1(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[1].u_bit_reg.data_out ),
-    .A2(_05959_),
-    .B1(_04965_),
-    .B2(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[1].u_bit_reg.data_out ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04972_));
- sky130_fd_sc_hd__a21o_1 _18928_ (.A1(\u_sspim.cfg_dataout[1] ),
-    .A2(_04963_),
-    .B1(_04972_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04973_));
- sky130_fd_sc_hd__mux2_1 _18929_ (.A0(\u_sspim.reg_rdata[1] ),
-    .A1(_04973_),
-    .S(_04970_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04974_));
- sky130_fd_sc_hd__clkbuf_1 _18930_ (.A(_04974_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02151_));
- sky130_fd_sc_hd__clkbuf_2 _18931_ (.A(_04964_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04975_));
- sky130_fd_sc_hd__buf_2 _18932_ (.A(_05951_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04976_));
- sky130_fd_sc_hd__a22o_1 _18933_ (.A1(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[2].u_bit_reg.data_out ),
-    .A2(_05959_),
-    .B1(_04976_),
-    .B2(\u_sspim.cfg_dataout[2] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04977_));
- sky130_fd_sc_hd__a21o_1 _18934_ (.A1(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[2].u_bit_reg.data_out ),
-    .A2(_04975_),
-    .B1(_04977_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04978_));
- sky130_fd_sc_hd__mux2_1 _18935_ (.A0(\u_sspim.reg_rdata[2] ),
-    .A1(_04978_),
-    .S(_04970_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04979_));
- sky130_fd_sc_hd__clkbuf_1 _18936_ (.A(_04979_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02152_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _18937_ (.A(_05958_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04980_));
- sky130_fd_sc_hd__a22o_1 _18938_ (.A1(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[3].u_bit_reg.data_out ),
-    .A2(_04980_),
-    .B1(_04965_),
-    .B2(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[3].u_bit_reg.data_out ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04981_));
- sky130_fd_sc_hd__a21o_1 _18939_ (.A1(\u_sspim.cfg_dataout[3] ),
-    .A2(_04963_),
-    .B1(_04981_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04982_));
- sky130_fd_sc_hd__mux2_1 _18940_ (.A0(\u_sspim.reg_rdata[3] ),
-    .A1(_04982_),
-    .S(_04970_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04983_));
- sky130_fd_sc_hd__clkbuf_1 _18941_ (.A(_04983_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02153_));
- sky130_fd_sc_hd__a22o_1 _18942_ (.A1(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[4].u_bit_reg.data_out ),
-    .A2(_04980_),
-    .B1(_04965_),
-    .B2(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[4].u_bit_reg.data_out ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04984_));
- sky130_fd_sc_hd__a21o_1 _18943_ (.A1(\u_sspim.cfg_dataout[4] ),
-    .A2(_04963_),
-    .B1(_04984_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04985_));
- sky130_fd_sc_hd__clkbuf_2 _18944_ (.A(_04969_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04986_));
- sky130_fd_sc_hd__mux2_1 _18945_ (.A0(\u_sspim.reg_rdata[4] ),
-    .A1(_04985_),
-    .S(_04986_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04987_));
- sky130_fd_sc_hd__clkbuf_1 _18946_ (.A(_04987_),
+ sky130_fd_sc_hd__clkbuf_1 _18907_ (.A(_04969_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02154_));
- sky130_fd_sc_hd__a22o_1 _18947_ (.A1(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[5].u_bit_reg.data_out ),
-    .A2(_04980_),
-    .B1(_04976_),
-    .B2(\u_sspim.cfg_dataout[5] ),
+ sky130_fd_sc_hd__clkbuf_2 _18908_ (.A(_04945_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04988_));
- sky130_fd_sc_hd__a21o_1 _18948_ (.A1(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[5].u_bit_reg.data_out ),
-    .A2(_04975_),
-    .B1(_04988_),
+    .X(_04970_));
+ sky130_fd_sc_hd__a22o_1 _18909_ (.A1(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[5].u_bit_reg.data_out ),
+    .A2(_04961_),
+    .B1(_04970_),
+    .B2(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[5].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04989_));
- sky130_fd_sc_hd__mux2_1 _18949_ (.A0(\u_sspim.reg_rdata[5] ),
-    .A1(_04989_),
-    .S(_04986_),
+    .X(_04971_));
+ sky130_fd_sc_hd__a21o_1 _18910_ (.A1(\u_sspim.cfg_dataout[5] ),
+    .A2(_04965_),
+    .B1(_04971_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04990_));
- sky130_fd_sc_hd__clkbuf_1 _18950_ (.A(_04990_),
+    .X(_04972_));
+ sky130_fd_sc_hd__mux2_1 _18911_ (.A0(\u_sspim.reg_rdata[5] ),
+    .A1(_04972_),
+    .S(_04968_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04973_));
+ sky130_fd_sc_hd__clkbuf_1 _18912_ (.A(_04973_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02155_));
- sky130_fd_sc_hd__clkbuf_2 _18951_ (.A(_04962_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04991_));
- sky130_fd_sc_hd__clkbuf_2 _18952_ (.A(_05963_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04992_));
- sky130_fd_sc_hd__buf_2 _18953_ (.A(_04992_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_04993_));
- sky130_fd_sc_hd__a22o_1 _18954_ (.A1(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[6].u_bit_reg.data_out ),
-    .A2(_04980_),
-    .B1(_04993_),
+ sky130_fd_sc_hd__a22o_1 _18913_ (.A1(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[6].u_bit_reg.data_out ),
+    .A2(_04961_),
+    .B1(_04970_),
     .B2(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[6].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04994_));
- sky130_fd_sc_hd__a21o_1 _18955_ (.A1(\u_sspim.cfg_dataout[6] ),
-    .A2(_04991_),
-    .B1(_04994_),
+    .X(_04974_));
+ sky130_fd_sc_hd__a21o_1 _18914_ (.A1(\u_sspim.cfg_dataout[6] ),
+    .A2(_04965_),
+    .B1(_04974_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04995_));
- sky130_fd_sc_hd__mux2_1 _18956_ (.A0(\u_sspim.reg_rdata[6] ),
-    .A1(_04995_),
-    .S(_04986_),
+    .X(_04975_));
+ sky130_fd_sc_hd__mux2_1 _18915_ (.A0(\u_sspim.reg_rdata[6] ),
+    .A1(_04975_),
+    .S(_04968_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04996_));
- sky130_fd_sc_hd__clkbuf_1 _18957_ (.A(_04996_),
+    .X(_04976_));
+ sky130_fd_sc_hd__clkbuf_1 _18916_ (.A(_04976_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02156_));
- sky130_fd_sc_hd__clkbuf_4 _18958_ (.A(_05951_),
+ sky130_fd_sc_hd__clkbuf_2 _18917_ (.A(_05988_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04997_));
- sky130_fd_sc_hd__a22o_1 _18959_ (.A1(\u_sspim.cfg_dataout[7] ),
-    .A2(_04997_),
-    .B1(_04993_),
+    .X(_04977_));
+ sky130_fd_sc_hd__a22o_1 _18918_ (.A1(\u_sspim.cfg_dataout[7] ),
+    .A2(_04977_),
+    .B1(_04970_),
     .B2(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[7].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04998_));
- sky130_fd_sc_hd__a21o_1 _18960_ (.A1(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[7].u_bit_reg.data_out ),
-    .A2(_05960_),
-    .B1(_04998_),
+    .X(_04978_));
+ sky130_fd_sc_hd__a21o_1 _18919_ (.A1(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[7].u_bit_reg.data_out ),
+    .A2(_05997_),
+    .B1(_04978_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_04999_));
- sky130_fd_sc_hd__mux2_1 _18961_ (.A0(\u_sspim.reg_rdata[7] ),
-    .A1(_04999_),
-    .S(_04986_),
+    .X(_04979_));
+ sky130_fd_sc_hd__mux2_1 _18920_ (.A0(\u_sspim.reg_rdata[7] ),
+    .A1(_04979_),
+    .S(_04968_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05000_));
- sky130_fd_sc_hd__clkbuf_1 _18962_ (.A(_05000_),
+    .X(_04980_));
+ sky130_fd_sc_hd__clkbuf_1 _18921_ (.A(_04980_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02157_));
- sky130_fd_sc_hd__clkbuf_2 _18963_ (.A(_07227_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _18922_ (.A(_04960_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04981_));
+ sky130_fd_sc_hd__a22o_1 _18923_ (.A1(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[0].u_bit_reg.data_out ),
+    .A2(_04981_),
+    .B1(_04970_),
+    .B2(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[0].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04982_));
+ sky130_fd_sc_hd__a21o_1 _18924_ (.A1(\u_sspim.cfg_dataout[8] ),
+    .A2(_04965_),
+    .B1(_04982_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04983_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _18925_ (.A(_04950_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04984_));
+ sky130_fd_sc_hd__mux2_1 _18926_ (.A0(\u_sspim.reg_rdata[8] ),
+    .A1(_04983_),
+    .S(_04984_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04985_));
+ sky130_fd_sc_hd__clkbuf_1 _18927_ (.A(_04985_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02158_));
+ sky130_fd_sc_hd__clkbuf_2 _18928_ (.A(_04945_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04986_));
+ sky130_fd_sc_hd__a22o_1 _18929_ (.A1(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[1].u_bit_reg.data_out ),
+    .A2(_04981_),
+    .B1(_04943_),
+    .B2(\u_sspim.cfg_dataout[9] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04987_));
+ sky130_fd_sc_hd__a21o_1 _18930_ (.A1(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[1].u_bit_reg.data_out ),
+    .A2(_04986_),
+    .B1(_04987_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04988_));
+ sky130_fd_sc_hd__mux2_1 _18931_ (.A0(\u_sspim.reg_rdata[9] ),
+    .A1(_04988_),
+    .S(_04984_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04989_));
+ sky130_fd_sc_hd__clkbuf_1 _18932_ (.A(_04989_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02159_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _18933_ (.A(_04977_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04990_));
+ sky130_fd_sc_hd__clkbuf_2 _18934_ (.A(_06001_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04991_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _18935_ (.A(_04991_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04992_));
+ sky130_fd_sc_hd__a22o_1 _18936_ (.A1(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[2].u_bit_reg.data_out ),
+    .A2(_04981_),
+    .B1(_04992_),
+    .B2(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[2].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04993_));
+ sky130_fd_sc_hd__a21o_1 _18937_ (.A1(\u_sspim.cfg_dataout[10] ),
+    .A2(_04990_),
+    .B1(_04993_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04994_));
+ sky130_fd_sc_hd__mux2_1 _18938_ (.A0(\u_sspim.reg_rdata[10] ),
+    .A1(_04994_),
+    .S(_04984_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04995_));
+ sky130_fd_sc_hd__clkbuf_1 _18939_ (.A(_04995_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02160_));
+ sky130_fd_sc_hd__a22o_1 _18940_ (.A1(\u_sspim.cfg_dataout[11] ),
+    .A2(_05989_),
+    .B1(_04992_),
+    .B2(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[3].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04996_));
+ sky130_fd_sc_hd__a21o_1 _18941_ (.A1(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[3].u_bit_reg.data_out ),
+    .A2(_05996_),
+    .B1(_04996_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04997_));
+ sky130_fd_sc_hd__mux2_1 _18942_ (.A0(\u_sspim.reg_rdata[11] ),
+    .A1(_04997_),
+    .S(_04984_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04998_));
+ sky130_fd_sc_hd__clkbuf_1 _18943_ (.A(_04998_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02161_));
+ sky130_fd_sc_hd__a22o_1 _18944_ (.A1(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[4].u_bit_reg.data_out ),
+    .A2(_04981_),
+    .B1(_04992_),
+    .B2(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[4].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_04999_));
+ sky130_fd_sc_hd__a21o_1 _18945_ (.A1(\u_sspim.cfg_dataout[12] ),
+    .A2(_04990_),
+    .B1(_04999_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05000_));
+ sky130_fd_sc_hd__clkbuf_2 _18946_ (.A(_04950_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05001_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _18964_ (.A(_05001_),
+ sky130_fd_sc_hd__mux2_1 _18947_ (.A0(\u_sspim.reg_rdata[12] ),
+    .A1(_05000_),
+    .S(_05001_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05002_));
- sky130_fd_sc_hd__clkbuf_2 _18965_ (.A(_05952_),
+ sky130_fd_sc_hd__clkbuf_1 _18948_ (.A(_05002_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02162_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _18949_ (.A(_04960_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05003_));
- sky130_fd_sc_hd__a22o_1 _18966_ (.A1(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[0].u_bit_reg.data_out ),
-    .A2(_05002_),
-    .B1(_05003_),
-    .B2(\u_sspim.cfg_dataout[8] ),
+ sky130_fd_sc_hd__a22o_1 _18950_ (.A1(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[5].u_bit_reg.data_out ),
+    .A2(_05003_),
+    .B1(_04992_),
+    .B2(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[5].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05004_));
- sky130_fd_sc_hd__a21o_1 _18967_ (.A1(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[0].u_bit_reg.data_out ),
-    .A2(_04975_),
+ sky130_fd_sc_hd__a21o_1 _18951_ (.A1(\u_sspim.cfg_dataout[13] ),
+    .A2(_04990_),
     .B1(_05004_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05005_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _18968_ (.A(_04969_),
+ sky130_fd_sc_hd__mux2_1 _18952_ (.A0(\u_sspim.reg_rdata[13] ),
+    .A1(_05005_),
+    .S(_05001_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05006_));
- sky130_fd_sc_hd__mux2_1 _18969_ (.A0(\u_sspim.reg_rdata[8] ),
-    .A1(_05005_),
-    .S(_05006_),
+ sky130_fd_sc_hd__clkbuf_1 _18953_ (.A(_05006_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02163_));
+ sky130_fd_sc_hd__a22o_1 _18954_ (.A1(_06125_),
+    .A2(_05003_),
+    .B1(_04943_),
+    .B2(\u_sspim.cfg_dataout[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05007_));
- sky130_fd_sc_hd__clkbuf_1 _18970_ (.A(_05007_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02158_));
- sky130_fd_sc_hd__a22o_1 _18971_ (.A1(\u_sspim.cfg_dataout[9] ),
-    .A2(_04997_),
-    .B1(_04993_),
-    .B2(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[1].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__a21o_1 _18955_ (.A1(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[6].u_bit_reg.data_out ),
+    .A2(_04986_),
+    .B1(_05007_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05008_));
- sky130_fd_sc_hd__a21o_1 _18972_ (.A1(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[1].u_bit_reg.data_out ),
-    .A2(_06005_),
-    .B1(_05008_),
+ sky130_fd_sc_hd__mux2_1 _18956_ (.A0(\u_sspim.reg_rdata[14] ),
+    .A1(_05008_),
+    .S(_05001_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05009_));
- sky130_fd_sc_hd__mux2_1 _18973_ (.A0(\u_sspim.reg_rdata[9] ),
-    .A1(_05009_),
-    .S(_05006_),
+ sky130_fd_sc_hd__clkbuf_1 _18957_ (.A(_05009_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02164_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _18958_ (.A(_04991_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05010_));
- sky130_fd_sc_hd__clkbuf_1 _18974_ (.A(_05010_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02159_));
- sky130_fd_sc_hd__a22o_1 _18975_ (.A1(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[2].u_bit_reg.data_out ),
-    .A2(_05002_),
-    .B1(_04993_),
-    .B2(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[2].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__a22o_1 _18959_ (.A1(_05958_),
+    .A2(_05003_),
+    .B1(_05010_),
+    .B2(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[7].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05011_));
- sky130_fd_sc_hd__a21o_1 _18976_ (.A1(\u_sspim.cfg_dataout[10] ),
-    .A2(_04991_),
+ sky130_fd_sc_hd__a21o_1 _18960_ (.A1(\u_sspim.cfg_dataout[15] ),
+    .A2(_04990_),
     .B1(_05011_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05012_));
- sky130_fd_sc_hd__mux2_1 _18977_ (.A0(\u_sspim.reg_rdata[10] ),
+ sky130_fd_sc_hd__mux2_1 _18961_ (.A0(\u_sspim.reg_rdata[15] ),
     .A1(_05012_),
-    .S(_05006_),
+    .S(_05001_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05013_));
- sky130_fd_sc_hd__clkbuf_1 _18978_ (.A(_05013_),
+ sky130_fd_sc_hd__clkbuf_1 _18962_ (.A(_05013_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02160_));
- sky130_fd_sc_hd__clkbuf_1 _18979_ (.A(_04992_),
+    .X(_02165_));
+ sky130_fd_sc_hd__clkbuf_1 _18963_ (.A(_04977_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05014_));
- sky130_fd_sc_hd__a22o_1 _18980_ (.A1(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[3].u_bit_reg.data_out ),
-    .A2(_05002_),
-    .B1(_05014_),
-    .B2(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[3].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__a22o_1 _18964_ (.A1(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[0].u_bit_reg.data_out ),
+    .A2(_05003_),
+    .B1(_05010_),
+    .B2(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[0].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05015_));
- sky130_fd_sc_hd__a21o_1 _18981_ (.A1(\u_sspim.cfg_dataout[11] ),
-    .A2(_04991_),
+ sky130_fd_sc_hd__a21o_1 _18965_ (.A1(\u_sspim.cfg_dataout[16] ),
+    .A2(_05014_),
     .B1(_05015_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05016_));
- sky130_fd_sc_hd__mux2_1 _18982_ (.A0(\u_sspim.reg_rdata[11] ),
-    .A1(_05016_),
-    .S(_05006_),
+ sky130_fd_sc_hd__clkbuf_2 _18966_ (.A(_04949_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05017_));
- sky130_fd_sc_hd__clkbuf_1 _18983_ (.A(_05017_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02161_));
- sky130_fd_sc_hd__a22o_1 _18984_ (.A1(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[4].u_bit_reg.data_out ),
-    .A2(_05002_),
-    .B1(_05014_),
-    .B2(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[4].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _18967_ (.A(_05017_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05018_));
- sky130_fd_sc_hd__a21o_1 _18985_ (.A1(\u_sspim.cfg_dataout[12] ),
-    .A2(_04991_),
-    .B1(_05018_),
+ sky130_fd_sc_hd__mux2_1 _18968_ (.A0(\u_sspim.reg_rdata[16] ),
+    .A1(_05016_),
+    .S(_05018_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05019_));
- sky130_fd_sc_hd__clkbuf_2 _18986_ (.A(_04969_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05020_));
- sky130_fd_sc_hd__mux2_1 _18987_ (.A0(\u_sspim.reg_rdata[12] ),
-    .A1(_05019_),
-    .S(_05020_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05021_));
- sky130_fd_sc_hd__clkbuf_1 _18988_ (.A(_05021_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02162_));
- sky130_fd_sc_hd__clkbuf_1 _18989_ (.A(_05001_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05022_));
- sky130_fd_sc_hd__a22o_1 _18990_ (.A1(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[5].u_bit_reg.data_out ),
-    .A2(_05022_),
-    .B1(_05003_),
-    .B2(\u_sspim.cfg_dataout[13] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05023_));
- sky130_fd_sc_hd__a21o_1 _18991_ (.A1(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[5].u_bit_reg.data_out ),
-    .A2(_04975_),
-    .B1(_05023_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05024_));
- sky130_fd_sc_hd__mux2_1 _18992_ (.A0(\u_sspim.reg_rdata[13] ),
-    .A1(_05024_),
-    .S(_05020_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05025_));
- sky130_fd_sc_hd__clkbuf_1 _18993_ (.A(_05025_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02163_));
- sky130_fd_sc_hd__clkbuf_2 _18994_ (.A(_04962_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05026_));
- sky130_fd_sc_hd__a22o_1 _18995_ (.A1(_06090_),
-    .A2(_05022_),
-    .B1(_05014_),
-    .B2(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[6].u_bit_reg.data_out ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05027_));
- sky130_fd_sc_hd__a21o_1 _18996_ (.A1(\u_sspim.cfg_dataout[14] ),
-    .A2(_05026_),
-    .B1(_05027_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05028_));
- sky130_fd_sc_hd__mux2_1 _18997_ (.A0(\u_sspim.reg_rdata[14] ),
-    .A1(_05028_),
-    .S(_05020_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05029_));
- sky130_fd_sc_hd__clkbuf_1 _18998_ (.A(_05029_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02164_));
- sky130_fd_sc_hd__a22o_1 _18999_ (.A1(_05919_),
-    .A2(_05022_),
-    .B1(_05014_),
-    .B2(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[7].u_bit_reg.data_out ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05030_));
- sky130_fd_sc_hd__a21o_1 _19000_ (.A1(\u_sspim.cfg_dataout[15] ),
-    .A2(_05026_),
-    .B1(_05030_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05031_));
- sky130_fd_sc_hd__mux2_1 _19001_ (.A0(\u_sspim.reg_rdata[15] ),
-    .A1(_05031_),
-    .S(_05020_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05032_));
- sky130_fd_sc_hd__clkbuf_1 _19002_ (.A(_05032_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02165_));
- sky130_fd_sc_hd__clkbuf_1 _19003_ (.A(_04992_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05033_));
- sky130_fd_sc_hd__a22o_1 _19004_ (.A1(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[0].u_bit_reg.data_out ),
-    .A2(_05022_),
-    .B1(_05033_),
-    .B2(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[0].u_bit_reg.data_out ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05034_));
- sky130_fd_sc_hd__a21o_1 _19005_ (.A1(\u_sspim.cfg_dataout[16] ),
-    .A2(_05026_),
-    .B1(_05034_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05035_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _19006_ (.A(_04968_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05036_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _19007_ (.A(_05036_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05037_));
- sky130_fd_sc_hd__mux2_1 _19008_ (.A0(\u_sspim.reg_rdata[16] ),
-    .A1(_05035_),
-    .S(_05037_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05038_));
- sky130_fd_sc_hd__clkbuf_1 _19009_ (.A(_05038_),
+ sky130_fd_sc_hd__clkbuf_1 _18969_ (.A(_05019_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02166_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _19010_ (.A(_05001_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _18970_ (.A(_04960_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05039_));
- sky130_fd_sc_hd__a22o_1 _19011_ (.A1(_05926_),
-    .A2(_05039_),
-    .B1(_05033_),
+    .X(_05020_));
+ sky130_fd_sc_hd__a22o_1 _18971_ (.A1(_06124_),
+    .A2(_05020_),
+    .B1(_05010_),
     .B2(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[1].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .X(_05021_));
+ sky130_fd_sc_hd__a21o_1 _18972_ (.A1(\u_sspim.cfg_dataout[17] ),
+    .A2(_05014_),
+    .B1(_05021_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05022_));
+ sky130_fd_sc_hd__mux2_1 _18973_ (.A0(\u_sspim.reg_rdata[17] ),
+    .A1(_05022_),
+    .S(_05018_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05023_));
+ sky130_fd_sc_hd__clkbuf_1 _18974_ (.A(_05023_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02167_));
+ sky130_fd_sc_hd__a22o_1 _18975_ (.A1(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[2].u_bit_reg.data_out ),
+    .A2(_05020_),
+    .B1(_05010_),
+    .B2(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[2].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05024_));
+ sky130_fd_sc_hd__a21o_1 _18976_ (.A1(\u_sspim.cfg_dataout[18] ),
+    .A2(_05014_),
+    .B1(_05024_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05025_));
+ sky130_fd_sc_hd__mux2_1 _18977_ (.A0(\u_sspim.reg_rdata[18] ),
+    .A1(_05025_),
+    .S(_05018_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05026_));
+ sky130_fd_sc_hd__clkbuf_1 _18978_ (.A(_05026_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02168_));
+ sky130_fd_sc_hd__clkbuf_1 _18979_ (.A(_04991_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05027_));
+ sky130_fd_sc_hd__a22o_1 _18980_ (.A1(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[3].u_bit_reg.data_out ),
+    .A2(_05020_),
+    .B1(_05027_),
+    .B2(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[3].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05028_));
+ sky130_fd_sc_hd__a21o_1 _18981_ (.A1(\u_sspim.cfg_dataout[19] ),
+    .A2(_05014_),
+    .B1(_05028_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05029_));
+ sky130_fd_sc_hd__mux2_1 _18982_ (.A0(\u_sspim.reg_rdata[19] ),
+    .A1(_05029_),
+    .S(_05018_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05030_));
+ sky130_fd_sc_hd__clkbuf_1 _18983_ (.A(_05030_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02169_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _18984_ (.A(_04977_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05031_));
+ sky130_fd_sc_hd__a22o_1 _18985_ (.A1(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[4].u_bit_reg.data_out ),
+    .A2(_05020_),
+    .B1(_05027_),
+    .B2(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[4].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05032_));
+ sky130_fd_sc_hd__a21o_1 _18986_ (.A1(\u_sspim.cfg_dataout[20] ),
+    .A2(_05031_),
+    .B1(_05032_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05033_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _18987_ (.A(_05017_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05034_));
+ sky130_fd_sc_hd__mux2_1 _18988_ (.A0(\u_sspim.reg_rdata[20] ),
+    .A1(_05033_),
+    .S(_05034_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05035_));
+ sky130_fd_sc_hd__clkbuf_1 _18989_ (.A(_05035_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02170_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _18990_ (.A(_07678_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05036_));
+ sky130_fd_sc_hd__a22o_1 _18991_ (.A1(_05457_),
+    .A2(_05036_),
+    .B1(_05027_),
+    .B2(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[5].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05037_));
+ sky130_fd_sc_hd__a21o_1 _18992_ (.A1(\u_sspim.cfg_dataout[21] ),
+    .A2(_05031_),
+    .B1(_05037_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05038_));
+ sky130_fd_sc_hd__mux2_1 _18993_ (.A0(\u_sspim.reg_rdata[21] ),
+    .A1(_05038_),
+    .S(_05034_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05039_));
+ sky130_fd_sc_hd__clkbuf_1 _18994_ (.A(_05039_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02171_));
+ sky130_fd_sc_hd__a22o_1 _18995_ (.A1(_05456_),
+    .A2(_05036_),
+    .B1(_05027_),
+    .B2(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[6].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .X(_05040_));
- sky130_fd_sc_hd__a21o_1 _19012_ (.A1(\u_sspim.cfg_dataout[17] ),
-    .A2(_05026_),
+ sky130_fd_sc_hd__a21o_1 _18996_ (.A1(\u_sspim.cfg_dataout[22] ),
+    .A2(_05031_),
     .B1(_05040_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05041_));
- sky130_fd_sc_hd__mux2_1 _19013_ (.A0(\u_sspim.reg_rdata[17] ),
+ sky130_fd_sc_hd__mux2_1 _18997_ (.A0(\u_sspim.reg_rdata[22] ),
     .A1(_05041_),
-    .S(_05037_),
+    .S(_05034_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05042_));
- sky130_fd_sc_hd__clkbuf_1 _19014_ (.A(_05042_),
+ sky130_fd_sc_hd__clkbuf_1 _18998_ (.A(_05042_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02167_));
- sky130_fd_sc_hd__clkbuf_2 _19015_ (.A(_04964_),
+    .X(_02172_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _18999_ (.A(_04991_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05043_));
- sky130_fd_sc_hd__a22o_1 _19016_ (.A1(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[2].u_bit_reg.data_out ),
-    .A2(_05039_),
-    .B1(_05003_),
-    .B2(\u_sspim.cfg_dataout[18] ),
+ sky130_fd_sc_hd__a22o_1 _19000_ (.A1(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[7].u_bit_reg.data_out ),
+    .A2(_05036_),
+    .B1(_05043_),
+    .B2(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[7].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05044_));
- sky130_fd_sc_hd__a21o_1 _19017_ (.A1(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[2].u_bit_reg.data_out ),
-    .A2(_05043_),
+ sky130_fd_sc_hd__a21o_1 _19001_ (.A1(\u_sspim.cfg_dataout[23] ),
+    .A2(_05031_),
     .B1(_05044_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05045_));
- sky130_fd_sc_hd__mux2_1 _19018_ (.A0(\u_sspim.reg_rdata[18] ),
+ sky130_fd_sc_hd__mux2_1 _19002_ (.A0(\u_sspim.reg_rdata[23] ),
     .A1(_05045_),
-    .S(_05037_),
+    .S(_05034_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05046_));
- sky130_fd_sc_hd__clkbuf_1 _19019_ (.A(_05046_),
+ sky130_fd_sc_hd__clkbuf_1 _19003_ (.A(_05046_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02168_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _19020_ (.A(_04997_),
+    .X(_02173_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _19004_ (.A(_05989_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05047_));
- sky130_fd_sc_hd__a22o_1 _19021_ (.A1(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[3].u_bit_reg.data_out ),
-    .A2(_05039_),
-    .B1(_05033_),
-    .B2(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[3].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__a22o_1 _19005_ (.A1(\u_sspim.u_cfg.u_spi_ctrl_be3.gen_bit_reg[0].u_bit_reg.data_out ),
+    .A2(_05036_),
+    .B1(_05043_),
+    .B2(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[0].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05048_));
- sky130_fd_sc_hd__a21o_1 _19022_ (.A1(\u_sspim.cfg_dataout[19] ),
+ sky130_fd_sc_hd__a21o_1 _19006_ (.A1(\u_sspim.cfg_dataout[24] ),
     .A2(_05047_),
     .B1(_05048_),
     .VGND(vssd1),
@@ -231365,2403 +230750,2225 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05049_));
- sky130_fd_sc_hd__mux2_1 _19023_ (.A0(\u_sspim.reg_rdata[19] ),
-    .A1(_05049_),
-    .S(_05037_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _19007_ (.A(_05017_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05050_));
- sky130_fd_sc_hd__clkbuf_1 _19024_ (.A(_05050_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02169_));
- sky130_fd_sc_hd__a22o_1 _19025_ (.A1(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[4].u_bit_reg.data_out ),
-    .A2(_05039_),
-    .B1(_05033_),
-    .B2(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[4].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__mux2_1 _19008_ (.A0(\u_sspim.reg_rdata[24] ),
+    .A1(_05049_),
+    .S(_05050_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05051_));
- sky130_fd_sc_hd__a21o_1 _19026_ (.A1(\u_sspim.cfg_dataout[20] ),
-    .A2(_05047_),
-    .B1(_05051_),
+ sky130_fd_sc_hd__clkbuf_1 _19009_ (.A(_05051_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02174_));
+ sky130_fd_sc_hd__a22o_1 _19010_ (.A1(_07120_),
+    .A2(_05995_),
+    .B1(_05043_),
+    .B2(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[1].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05052_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _19027_ (.A(_05036_),
+ sky130_fd_sc_hd__a21o_1 _19011_ (.A1(\u_sspim.cfg_dataout[25] ),
+    .A2(_05047_),
+    .B1(_05052_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05053_));
- sky130_fd_sc_hd__mux2_1 _19028_ (.A0(\u_sspim.reg_rdata[20] ),
-    .A1(_05052_),
-    .S(_05053_),
+ sky130_fd_sc_hd__mux2_1 _19012_ (.A0(\u_sspim.reg_rdata[25] ),
+    .A1(_05053_),
+    .S(_05050_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05054_));
- sky130_fd_sc_hd__clkbuf_1 _19029_ (.A(_05054_),
+ sky130_fd_sc_hd__clkbuf_1 _19013_ (.A(_05054_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02170_));
- sky130_fd_sc_hd__clkbuf_1 _19030_ (.A(_05001_),
+    .X(_02175_));
+ sky130_fd_sc_hd__a22o_1 _19014_ (.A1(\u_sspim.cfg_dataout[26] ),
+    .A2(_05047_),
+    .B1(_04986_),
+    .B2(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[2].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05055_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _19031_ (.A(_04992_),
+ sky130_fd_sc_hd__mux2_1 _19015_ (.A0(\u_sspim.reg_rdata[26] ),
+    .A1(_05055_),
+    .S(_05050_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05056_));
- sky130_fd_sc_hd__a22o_1 _19032_ (.A1(_05476_),
-    .A2(_05055_),
-    .B1(_05056_),
-    .B2(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[5].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_1 _19016_ (.A(_05056_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02176_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _19017_ (.A(_05989_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05057_));
- sky130_fd_sc_hd__a21o_1 _19033_ (.A1(\u_sspim.cfg_dataout[21] ),
-    .A2(_05047_),
-    .B1(_05057_),
+ sky130_fd_sc_hd__a22o_1 _19018_ (.A1(\u_sspim.cfg_dataout[27] ),
+    .A2(_05057_),
+    .B1(_04986_),
+    .B2(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[3].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05058_));
- sky130_fd_sc_hd__mux2_1 _19034_ (.A0(\u_sspim.reg_rdata[21] ),
+ sky130_fd_sc_hd__mux2_1 _19019_ (.A0(\u_sspim.reg_rdata[27] ),
     .A1(_05058_),
-    .S(_05053_),
+    .S(_05050_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05059_));
- sky130_fd_sc_hd__clkbuf_1 _19035_ (.A(_05059_),
+ sky130_fd_sc_hd__clkbuf_1 _19020_ (.A(_05059_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02171_));
- sky130_fd_sc_hd__a22o_1 _19036_ (.A1(_05475_),
-    .A2(_05055_),
-    .B1(_05056_),
-    .B2(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[6].u_bit_reg.data_out ),
+    .X(_02177_));
+ sky130_fd_sc_hd__a22o_1 _19021_ (.A1(\u_sspim.cfg_dataout[28] ),
+    .A2(_05057_),
+    .B1(_04946_),
+    .B2(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[4].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05060_));
- sky130_fd_sc_hd__a21o_1 _19037_ (.A1(\u_sspim.cfg_dataout[22] ),
-    .A2(_05047_),
-    .B1(_05060_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _19022_ (.A(_05017_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05061_));
- sky130_fd_sc_hd__mux2_1 _19038_ (.A0(\u_sspim.reg_rdata[22] ),
-    .A1(_05061_),
-    .S(_05053_),
+ sky130_fd_sc_hd__mux2_1 _19023_ (.A0(\u_sspim.reg_rdata[28] ),
+    .A1(_05060_),
+    .S(_05061_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05062_));
- sky130_fd_sc_hd__clkbuf_1 _19039_ (.A(_05062_),
+ sky130_fd_sc_hd__clkbuf_1 _19024_ (.A(_05062_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02172_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _19040_ (.A(_05952_),
+    .X(_02178_));
+ sky130_fd_sc_hd__a22o_1 _19025_ (.A1(\u_sspim.cfg_dataout[29] ),
+    .A2(_05057_),
+    .B1(_04946_),
+    .B2(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[5].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05063_));
- sky130_fd_sc_hd__a22o_1 _19041_ (.A1(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[7].u_bit_reg.data_out ),
-    .A2(_05055_),
-    .B1(_05056_),
-    .B2(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[7].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__mux2_1 _19026_ (.A0(\u_sspim.reg_rdata[29] ),
+    .A1(_05063_),
+    .S(_05061_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05064_));
- sky130_fd_sc_hd__a21o_1 _19042_ (.A1(\u_sspim.cfg_dataout[23] ),
-    .A2(_05063_),
-    .B1(_05064_),
+ sky130_fd_sc_hd__clkbuf_1 _19027_ (.A(_05064_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02179_));
+ sky130_fd_sc_hd__a22o_1 _19028_ (.A1(\u_sspim.cfg_dataout[30] ),
+    .A2(_05057_),
+    .B1(_04946_),
+    .B2(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[6].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05065_));
- sky130_fd_sc_hd__mux2_1 _19043_ (.A0(\u_sspim.reg_rdata[23] ),
+ sky130_fd_sc_hd__mux2_1 _19029_ (.A0(\u_sspim.reg_rdata[30] ),
     .A1(_05065_),
-    .S(_05053_),
+    .S(_05061_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05066_));
- sky130_fd_sc_hd__clkbuf_1 _19044_ (.A(_05066_),
+ sky130_fd_sc_hd__clkbuf_1 _19030_ (.A(_05066_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_02173_));
- sky130_fd_sc_hd__a22o_1 _19045_ (.A1(\u_sspim.cfg_dataout[24] ),
-    .A2(_04997_),
-    .B1(_05056_),
-    .B2(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[0].u_bit_reg.data_out ),
+    .X(_02180_));
+ sky130_fd_sc_hd__a22o_1 _19031_ (.A1(\u_sspim.cfg_op_req ),
+    .A2(_05995_),
+    .B1(_05043_),
+    .B2(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[7].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05067_));
- sky130_fd_sc_hd__a21o_1 _19046_ (.A1(\u_sspim.u_cfg.u_spi_ctrl_be3.gen_bit_reg[0].u_bit_reg.data_out ),
-    .A2(_06005_),
+ sky130_fd_sc_hd__a21o_1 _19032_ (.A1(\u_sspim.cfg_dataout[31] ),
+    .A2(_05047_),
     .B1(_05067_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05068_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _19047_ (.A(_05036_),
+ sky130_fd_sc_hd__mux2_1 _19033_ (.A0(\u_sspim.reg_rdata[31] ),
+    .A1(_05068_),
+    .S(_05061_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05069_));
- sky130_fd_sc_hd__mux2_1 _19048_ (.A0(\u_sspim.reg_rdata[24] ),
-    .A1(_05068_),
-    .S(_05069_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05070_));
- sky130_fd_sc_hd__clkbuf_1 _19049_ (.A(_05070_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02174_));
- sky130_fd_sc_hd__a22o_1 _19050_ (.A1(_07116_),
-    .A2(_05055_),
-    .B1(_05003_),
-    .B2(\u_sspim.cfg_dataout[25] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05071_));
- sky130_fd_sc_hd__a21o_1 _19051_ (.A1(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[1].u_bit_reg.data_out ),
-    .A2(_05043_),
-    .B1(_05071_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05072_));
- sky130_fd_sc_hd__mux2_1 _19052_ (.A0(\u_sspim.reg_rdata[25] ),
-    .A1(_05072_),
-    .S(_05069_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05073_));
- sky130_fd_sc_hd__clkbuf_1 _19053_ (.A(_05073_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02175_));
- sky130_fd_sc_hd__a22o_1 _19054_ (.A1(\u_sspim.cfg_dataout[26] ),
-    .A2(_05063_),
-    .B1(_05043_),
-    .B2(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[2].u_bit_reg.data_out ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05074_));
- sky130_fd_sc_hd__mux2_1 _19055_ (.A0(\u_sspim.reg_rdata[26] ),
-    .A1(_05074_),
-    .S(_05069_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05075_));
- sky130_fd_sc_hd__clkbuf_1 _19056_ (.A(_05075_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02176_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _19057_ (.A(_04964_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05076_));
- sky130_fd_sc_hd__a22o_1 _19058_ (.A1(\u_sspim.cfg_dataout[27] ),
-    .A2(_05063_),
-    .B1(_05076_),
-    .B2(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[3].u_bit_reg.data_out ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05077_));
- sky130_fd_sc_hd__mux2_1 _19059_ (.A0(\u_sspim.reg_rdata[27] ),
-    .A1(_05077_),
-    .S(_05069_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05078_));
- sky130_fd_sc_hd__clkbuf_1 _19060_ (.A(_05078_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02177_));
- sky130_fd_sc_hd__a22o_1 _19061_ (.A1(\u_sspim.cfg_dataout[28] ),
-    .A2(_05063_),
-    .B1(_05076_),
-    .B2(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[4].u_bit_reg.data_out ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05079_));
- sky130_fd_sc_hd__clkbuf_2 _19062_ (.A(_05036_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05080_));
- sky130_fd_sc_hd__mux2_1 _19063_ (.A0(\u_sspim.reg_rdata[28] ),
-    .A1(_05079_),
-    .S(_05080_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05081_));
- sky130_fd_sc_hd__clkbuf_1 _19064_ (.A(_05081_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02178_));
- sky130_fd_sc_hd__a22o_1 _19065_ (.A1(\u_sspim.cfg_dataout[29] ),
-    .A2(_04976_),
-    .B1(_05076_),
-    .B2(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[5].u_bit_reg.data_out ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05082_));
- sky130_fd_sc_hd__mux2_1 _19066_ (.A0(\u_sspim.reg_rdata[29] ),
-    .A1(_05082_),
-    .S(_05080_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05083_));
- sky130_fd_sc_hd__clkbuf_1 _19067_ (.A(_05083_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02179_));
- sky130_fd_sc_hd__a22o_1 _19068_ (.A1(\u_sspim.cfg_dataout[30] ),
-    .A2(_04976_),
-    .B1(_05076_),
-    .B2(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[6].u_bit_reg.data_out ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05084_));
- sky130_fd_sc_hd__mux2_1 _19069_ (.A0(\u_sspim.reg_rdata[30] ),
-    .A1(_05084_),
-    .S(_05080_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05085_));
- sky130_fd_sc_hd__clkbuf_1 _19070_ (.A(_05085_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02180_));
- sky130_fd_sc_hd__a22o_1 _19071_ (.A1(\u_sspim.cfg_op_req ),
-    .A2(_05958_),
-    .B1(_04962_),
-    .B2(\u_sspim.cfg_dataout[31] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05086_));
- sky130_fd_sc_hd__a21o_1 _19072_ (.A1(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[7].u_bit_reg.data_out ),
-    .A2(_05043_),
-    .B1(_05086_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05087_));
- sky130_fd_sc_hd__mux2_1 _19073_ (.A0(\u_sspim.reg_rdata[31] ),
-    .A1(_05087_),
-    .S(_05080_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05088_));
- sky130_fd_sc_hd__clkbuf_1 _19074_ (.A(_05088_),
+ sky130_fd_sc_hd__clkbuf_1 _19034_ (.A(_05069_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02181_));
- sky130_fd_sc_hd__and2_1 _19075_ (.A(net11),
-    .B(_04951_),
+ sky130_fd_sc_hd__and2_1 _19035_ (.A(net11),
+    .B(_04932_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05089_));
- sky130_fd_sc_hd__clkbuf_2 _19076_ (.A(_05089_),
+    .X(_05070_));
+ sky130_fd_sc_hd__clkbuf_2 _19036_ (.A(_05070_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05090_));
- sky130_fd_sc_hd__mux2_1 _19077_ (.A0(_06090_),
+    .X(_05071_));
+ sky130_fd_sc_hd__mux2_1 _19037_ (.A0(_06125_),
     .A1(net20),
-    .S(_05090_),
+    .S(_05071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05091_));
- sky130_fd_sc_hd__clkbuf_1 _19078_ (.A(_05091_),
+    .X(_05072_));
+ sky130_fd_sc_hd__clkbuf_1 _19038_ (.A(_05072_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02182_));
- sky130_fd_sc_hd__mux2_1 _19079_ (.A0(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[5].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__mux2_1 _19039_ (.A0(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[5].u_bit_reg.data_out ),
     .A1(net19),
-    .S(_05090_),
+    .S(_05071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05092_));
- sky130_fd_sc_hd__clkbuf_1 _19080_ (.A(_05092_),
+    .X(_05073_));
+ sky130_fd_sc_hd__clkbuf_1 _19040_ (.A(_05073_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02183_));
- sky130_fd_sc_hd__mux2_1 _19081_ (.A0(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[4].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__mux2_1 _19041_ (.A0(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[4].u_bit_reg.data_out ),
     .A1(net18),
-    .S(_05090_),
+    .S(_05071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05093_));
- sky130_fd_sc_hd__clkbuf_1 _19082_ (.A(_05093_),
+    .X(_05074_));
+ sky130_fd_sc_hd__clkbuf_1 _19042_ (.A(_05074_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02184_));
- sky130_fd_sc_hd__mux2_1 _19083_ (.A0(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[3].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__mux2_1 _19043_ (.A0(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[3].u_bit_reg.data_out ),
     .A1(net17),
-    .S(_05090_),
+    .S(_05071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05094_));
- sky130_fd_sc_hd__clkbuf_1 _19084_ (.A(_05094_),
+    .X(_05075_));
+ sky130_fd_sc_hd__clkbuf_1 _19044_ (.A(_05075_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02185_));
- sky130_fd_sc_hd__clkbuf_2 _19085_ (.A(_05089_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _19045_ (.A(_05070_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05095_));
- sky130_fd_sc_hd__mux2_1 _19086_ (.A0(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[2].u_bit_reg.data_out ),
+    .X(_05076_));
+ sky130_fd_sc_hd__mux2_1 _19046_ (.A0(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[2].u_bit_reg.data_out ),
     .A1(net16),
-    .S(_05095_),
+    .S(_05076_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05096_));
- sky130_fd_sc_hd__clkbuf_1 _19087_ (.A(_05096_),
+    .X(_05077_));
+ sky130_fd_sc_hd__clkbuf_1 _19047_ (.A(_05077_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02186_));
- sky130_fd_sc_hd__mux2_1 _19088_ (.A0(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[1].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__mux2_1 _19048_ (.A0(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[1].u_bit_reg.data_out ),
     .A1(net46),
-    .S(_05095_),
+    .S(_05076_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05097_));
- sky130_fd_sc_hd__clkbuf_1 _19089_ (.A(_05097_),
+    .X(_05078_));
+ sky130_fd_sc_hd__clkbuf_1 _19049_ (.A(_05078_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02187_));
- sky130_fd_sc_hd__mux2_1 _19090_ (.A0(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[0].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__mux2_1 _19050_ (.A0(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[0].u_bit_reg.data_out ),
     .A1(net45),
-    .S(_05095_),
+    .S(_05076_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05098_));
- sky130_fd_sc_hd__clkbuf_1 _19091_ (.A(_05098_),
+    .X(_05079_));
+ sky130_fd_sc_hd__clkbuf_1 _19051_ (.A(_05079_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02188_));
- sky130_fd_sc_hd__mux2_1 _19092_ (.A0(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[7].u_bit_reg.data_out ),
-    .A1(_02843_),
-    .S(_04958_),
+ sky130_fd_sc_hd__mux2_1 _19052_ (.A0(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[7].u_bit_reg.data_out ),
+    .A1(_02825_),
+    .S(_04939_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05099_));
- sky130_fd_sc_hd__clkbuf_1 _19093_ (.A(_05099_),
+    .X(_05080_));
+ sky130_fd_sc_hd__clkbuf_1 _19053_ (.A(_05080_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02189_));
- sky130_fd_sc_hd__and2_1 _19094_ (.A(net12),
-    .B(_04951_),
+ sky130_fd_sc_hd__and2_1 _19054_ (.A(net12),
+    .B(_04932_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05100_));
- sky130_fd_sc_hd__clkbuf_2 _19095_ (.A(_05100_),
+    .X(_05081_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _19055_ (.A(_05081_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05101_));
- sky130_fd_sc_hd__mux2_1 _19096_ (.A0(_05475_),
+    .X(_05082_));
+ sky130_fd_sc_hd__mux2_1 _19056_ (.A0(_05456_),
     .A1(net29),
-    .S(_05101_),
+    .S(_05082_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05102_));
- sky130_fd_sc_hd__clkbuf_1 _19097_ (.A(_05102_),
+    .X(_05083_));
+ sky130_fd_sc_hd__clkbuf_1 _19057_ (.A(_05083_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02190_));
- sky130_fd_sc_hd__mux2_1 _19098_ (.A0(_05476_),
+ sky130_fd_sc_hd__mux2_1 _19058_ (.A0(_05457_),
     .A1(net28),
-    .S(_05101_),
+    .S(_05082_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05103_));
- sky130_fd_sc_hd__clkbuf_1 _19099_ (.A(_05103_),
+    .X(_05084_));
+ sky130_fd_sc_hd__clkbuf_1 _19059_ (.A(_05084_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02191_));
- sky130_fd_sc_hd__mux2_1 _19100_ (.A0(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[4].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__mux2_1 _19060_ (.A0(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[4].u_bit_reg.data_out ),
     .A1(net27),
-    .S(_05101_),
+    .S(_05082_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05104_));
- sky130_fd_sc_hd__clkbuf_1 _19101_ (.A(_05104_),
+    .X(_05085_));
+ sky130_fd_sc_hd__clkbuf_1 _19061_ (.A(_05085_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02192_));
- sky130_fd_sc_hd__mux2_1 _19102_ (.A0(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[3].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__mux2_1 _19062_ (.A0(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[3].u_bit_reg.data_out ),
     .A1(net25),
-    .S(_05101_),
+    .S(_05082_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05105_));
- sky130_fd_sc_hd__clkbuf_1 _19103_ (.A(_05105_),
+    .X(_05086_));
+ sky130_fd_sc_hd__clkbuf_1 _19063_ (.A(_05086_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02193_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _19104_ (.A(_05100_),
+ sky130_fd_sc_hd__clkbuf_2 _19064_ (.A(_05081_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05106_));
- sky130_fd_sc_hd__mux2_1 _19105_ (.A0(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[2].u_bit_reg.data_out ),
+    .X(_05087_));
+ sky130_fd_sc_hd__mux2_1 _19065_ (.A0(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[2].u_bit_reg.data_out ),
     .A1(net24),
-    .S(_05106_),
+    .S(_05087_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05107_));
- sky130_fd_sc_hd__clkbuf_1 _19106_ (.A(_05107_),
+    .X(_05088_));
+ sky130_fd_sc_hd__clkbuf_1 _19066_ (.A(_05088_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02194_));
- sky130_fd_sc_hd__mux2_1 _19107_ (.A0(_05926_),
+ sky130_fd_sc_hd__mux2_1 _19067_ (.A0(_06124_),
     .A1(net23),
-    .S(_05106_),
+    .S(_05087_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05108_));
- sky130_fd_sc_hd__clkbuf_1 _19108_ (.A(_05108_),
+    .X(_05089_));
+ sky130_fd_sc_hd__clkbuf_1 _19068_ (.A(_05089_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02195_));
- sky130_fd_sc_hd__mux2_1 _19109_ (.A0(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[0].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__mux2_1 _19069_ (.A0(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[0].u_bit_reg.data_out ),
     .A1(net22),
-    .S(_05106_),
+    .S(_05087_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05109_));
- sky130_fd_sc_hd__clkbuf_1 _19110_ (.A(_05109_),
+    .X(_05090_));
+ sky130_fd_sc_hd__clkbuf_1 _19070_ (.A(_05090_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02196_));
- sky130_fd_sc_hd__mux2_1 _19111_ (.A0(_05919_),
+ sky130_fd_sc_hd__mux2_1 _19071_ (.A0(_05958_),
     .A1(net21),
-    .S(_05095_),
+    .S(_05076_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05110_));
- sky130_fd_sc_hd__clkbuf_1 _19112_ (.A(_05110_),
+    .X(_05091_));
+ sky130_fd_sc_hd__clkbuf_1 _19072_ (.A(_05091_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02197_));
- sky130_fd_sc_hd__and2_2 _19113_ (.A(net13),
-    .B(_04951_),
+ sky130_fd_sc_hd__and2_1 _19073_ (.A(net13),
+    .B(_04932_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05111_));
- sky130_fd_sc_hd__mux2_1 _19114_ (.A0(\u_sspim.u_cfg.u_spi_ctrl_be3.gen_bit_reg[0].u_bit_reg.data_out ),
+    .X(_05092_));
+ sky130_fd_sc_hd__mux2_1 _19074_ (.A0(\u_sspim.u_cfg.u_spi_ctrl_be3.gen_bit_reg[0].u_bit_reg.data_out ),
     .A1(net31),
-    .S(_05111_),
+    .S(_05092_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05112_));
- sky130_fd_sc_hd__clkbuf_1 _19115_ (.A(_05112_),
+    .X(_05093_));
+ sky130_fd_sc_hd__clkbuf_1 _19075_ (.A(_05093_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02198_));
- sky130_fd_sc_hd__mux2_1 _19116_ (.A0(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[7].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__mux2_1 _19076_ (.A0(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[7].u_bit_reg.data_out ),
     .A1(net30),
-    .S(_05106_),
+    .S(_05087_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05113_));
- sky130_fd_sc_hd__clkbuf_1 _19117_ (.A(_05113_),
+    .X(_05094_));
+ sky130_fd_sc_hd__clkbuf_1 _19077_ (.A(_05094_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02199_));
- sky130_fd_sc_hd__mux2_1 _19118_ (.A0(_07142_),
+ sky130_fd_sc_hd__mux2_1 _19078_ (.A0(_07146_),
     .A1(net32),
-    .S(_05111_),
+    .S(_05092_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05114_));
- sky130_fd_sc_hd__clkbuf_1 _19119_ (.A(_05114_),
+    .X(_05095_));
+ sky130_fd_sc_hd__clkbuf_1 _19079_ (.A(_05095_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02200_));
- sky130_fd_sc_hd__and3_4 _19120_ (.A(net47),
-    .B(_05963_),
-    .C(_06088_),
+ sky130_fd_sc_hd__and4_2 _19080_ (.A(_05791_),
+    .B(_05800_),
+    .C(_05668_),
+    .D(_06001_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05115_));
- sky130_fd_sc_hd__and2_1 _19121_ (.A(_07036_),
-    .B(_05115_),
+    .X(_05096_));
+ sky130_fd_sc_hd__and2_1 _19081_ (.A(net10),
+    .B(_05096_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05116_));
- sky130_fd_sc_hd__clkbuf_2 _19122_ (.A(_05116_),
+    .X(_05097_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _19082_ (.A(_05097_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05117_));
- sky130_fd_sc_hd__mux2_1 _19123_ (.A0(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[6].u_bit_reg.data_out ),
-    .A1(_02841_),
-    .S(_05117_),
+    .X(_05098_));
+ sky130_fd_sc_hd__mux2_1 _19083_ (.A0(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[6].u_bit_reg.data_out ),
+    .A1(_02823_),
+    .S(_05098_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05118_));
- sky130_fd_sc_hd__clkbuf_1 _19124_ (.A(_05118_),
+    .X(_05099_));
+ sky130_fd_sc_hd__clkbuf_1 _19084_ (.A(_05099_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02201_));
- sky130_fd_sc_hd__mux2_1 _19125_ (.A0(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[5].u_bit_reg.data_out ),
-    .A1(_02839_),
-    .S(_05117_),
+ sky130_fd_sc_hd__mux2_1 _19085_ (.A0(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[5].u_bit_reg.data_out ),
+    .A1(_02821_),
+    .S(_05098_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05119_));
- sky130_fd_sc_hd__clkbuf_1 _19126_ (.A(_05119_),
+    .X(_05100_));
+ sky130_fd_sc_hd__clkbuf_1 _19086_ (.A(_05100_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02202_));
- sky130_fd_sc_hd__mux2_1 _19127_ (.A0(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[4].u_bit_reg.data_out ),
-    .A1(_06452_),
-    .S(_05117_),
+ sky130_fd_sc_hd__mux2_1 _19087_ (.A0(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[4].u_bit_reg.data_out ),
+    .A1(_07385_),
+    .S(_05098_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05120_));
- sky130_fd_sc_hd__clkbuf_1 _19128_ (.A(_05120_),
+    .X(_05101_));
+ sky130_fd_sc_hd__clkbuf_1 _19088_ (.A(_05101_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02203_));
- sky130_fd_sc_hd__mux2_1 _19129_ (.A0(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[3].u_bit_reg.data_out ),
-    .A1(_07023_),
-    .S(_05117_),
+ sky130_fd_sc_hd__mux2_1 _19089_ (.A0(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[3].u_bit_reg.data_out ),
+    .A1(_07034_),
+    .S(_05098_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05121_));
- sky130_fd_sc_hd__clkbuf_1 _19130_ (.A(_05121_),
+    .X(_05102_));
+ sky130_fd_sc_hd__clkbuf_1 _19090_ (.A(_05102_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02204_));
- sky130_fd_sc_hd__clkbuf_2 _19131_ (.A(_05116_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _19091_ (.A(_05097_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05122_));
- sky130_fd_sc_hd__mux2_1 _19132_ (.A0(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[2].u_bit_reg.data_out ),
-    .A1(_07373_),
-    .S(_05122_),
+    .X(_05103_));
+ sky130_fd_sc_hd__mux2_1 _19092_ (.A0(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[2].u_bit_reg.data_out ),
+    .A1(_06966_),
+    .S(_05103_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05123_));
- sky130_fd_sc_hd__clkbuf_1 _19133_ (.A(_05123_),
+    .X(_05104_));
+ sky130_fd_sc_hd__clkbuf_1 _19093_ (.A(_05104_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02205_));
- sky130_fd_sc_hd__mux2_1 _19134_ (.A0(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[1].u_bit_reg.data_out ),
-    .A1(_07370_),
-    .S(_05122_),
+ sky130_fd_sc_hd__mux2_1 _19094_ (.A0(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[1].u_bit_reg.data_out ),
+    .A1(_06963_),
+    .S(_05103_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05124_));
- sky130_fd_sc_hd__clkbuf_1 _19135_ (.A(_05124_),
+    .X(_05105_));
+ sky130_fd_sc_hd__clkbuf_1 _19095_ (.A(_05105_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02206_));
- sky130_fd_sc_hd__mux2_1 _19136_ (.A0(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[0].u_bit_reg.data_out ),
-    .A1(_07364_),
-    .S(_05122_),
+ sky130_fd_sc_hd__mux2_1 _19096_ (.A0(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[0].u_bit_reg.data_out ),
+    .A1(_06956_),
+    .S(_05103_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05125_));
- sky130_fd_sc_hd__clkbuf_1 _19137_ (.A(_05125_),
+    .X(_05106_));
+ sky130_fd_sc_hd__clkbuf_1 _19097_ (.A(_05106_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02207_));
- sky130_fd_sc_hd__a2bb2o_1 _19138_ (.A1_N(_05913_),
+ sky130_fd_sc_hd__a2bb2o_1 _19098_ (.A1_N(_05953_),
     .A2_N(\u_sspim.hware_op_done ),
-    .B1(_05111_),
-    .B2(_07543_),
+    .B1(_05092_),
+    .B2(_07546_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02208_));
- sky130_fd_sc_hd__and2_1 _19139_ (.A(net11),
-    .B(_05115_),
+ sky130_fd_sc_hd__and2_1 _19099_ (.A(net11),
+    .B(_05096_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05126_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _19140_ (.A(_05126_),
+    .X(_05107_));
+ sky130_fd_sc_hd__clkbuf_2 _19100_ (.A(_05107_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05127_));
- sky130_fd_sc_hd__mux2_1 _19141_ (.A0(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[6].u_bit_reg.data_out ),
+    .X(_05108_));
+ sky130_fd_sc_hd__mux2_1 _19101_ (.A0(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[6].u_bit_reg.data_out ),
     .A1(net20),
-    .S(_05127_),
+    .S(_05108_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05128_));
- sky130_fd_sc_hd__clkbuf_1 _19142_ (.A(_05128_),
+    .X(_05109_));
+ sky130_fd_sc_hd__clkbuf_1 _19102_ (.A(_05109_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02209_));
- sky130_fd_sc_hd__mux2_1 _19143_ (.A0(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[5].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__mux2_1 _19103_ (.A0(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[5].u_bit_reg.data_out ),
     .A1(net19),
-    .S(_05127_),
+    .S(_05108_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05129_));
- sky130_fd_sc_hd__clkbuf_1 _19144_ (.A(_05129_),
+    .X(_05110_));
+ sky130_fd_sc_hd__clkbuf_1 _19104_ (.A(_05110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02210_));
- sky130_fd_sc_hd__mux2_1 _19145_ (.A0(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[4].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__mux2_1 _19105_ (.A0(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[4].u_bit_reg.data_out ),
     .A1(net18),
-    .S(_05127_),
+    .S(_05108_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05130_));
- sky130_fd_sc_hd__clkbuf_1 _19146_ (.A(_05130_),
+    .X(_05111_));
+ sky130_fd_sc_hd__clkbuf_1 _19106_ (.A(_05111_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02211_));
- sky130_fd_sc_hd__mux2_1 _19147_ (.A0(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[3].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__mux2_1 _19107_ (.A0(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[3].u_bit_reg.data_out ),
     .A1(net17),
-    .S(_05127_),
+    .S(_05108_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05131_));
- sky130_fd_sc_hd__clkbuf_1 _19148_ (.A(_05131_),
+    .X(_05112_));
+ sky130_fd_sc_hd__clkbuf_1 _19108_ (.A(_05112_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02212_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _19149_ (.A(_05126_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _19109_ (.A(_05107_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05132_));
- sky130_fd_sc_hd__mux2_1 _19150_ (.A0(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[2].u_bit_reg.data_out ),
+    .X(_05113_));
+ sky130_fd_sc_hd__mux2_1 _19110_ (.A0(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[2].u_bit_reg.data_out ),
     .A1(net16),
-    .S(_05132_),
+    .S(_05113_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05133_));
- sky130_fd_sc_hd__clkbuf_1 _19151_ (.A(_05133_),
+    .X(_05114_));
+ sky130_fd_sc_hd__clkbuf_1 _19111_ (.A(_05114_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02213_));
- sky130_fd_sc_hd__mux2_1 _19152_ (.A0(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[1].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__mux2_1 _19112_ (.A0(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[1].u_bit_reg.data_out ),
     .A1(net46),
-    .S(_05132_),
+    .S(_05113_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05134_));
- sky130_fd_sc_hd__clkbuf_1 _19153_ (.A(_05134_),
+    .X(_05115_));
+ sky130_fd_sc_hd__clkbuf_1 _19113_ (.A(_05115_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02214_));
- sky130_fd_sc_hd__mux2_1 _19154_ (.A0(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[0].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__mux2_1 _19114_ (.A0(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[0].u_bit_reg.data_out ),
     .A1(net45),
-    .S(_05132_),
+    .S(_05113_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05135_));
- sky130_fd_sc_hd__clkbuf_1 _19155_ (.A(_05135_),
+    .X(_05116_));
+ sky130_fd_sc_hd__clkbuf_1 _19115_ (.A(_05116_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02215_));
- sky130_fd_sc_hd__mux2_1 _19156_ (.A0(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[7].u_bit_reg.data_out ),
-    .A1(_02843_),
-    .S(_05122_),
+ sky130_fd_sc_hd__mux2_1 _19116_ (.A0(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[7].u_bit_reg.data_out ),
+    .A1(_02825_),
+    .S(_05103_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05136_));
- sky130_fd_sc_hd__clkbuf_1 _19157_ (.A(_05136_),
+    .X(_05117_));
+ sky130_fd_sc_hd__clkbuf_1 _19117_ (.A(_05117_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02216_));
- sky130_fd_sc_hd__and2_1 _19158_ (.A(net12),
-    .B(_05115_),
+ sky130_fd_sc_hd__and2_1 _19118_ (.A(net12),
+    .B(_05096_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05137_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _19159_ (.A(_05137_),
+    .X(_05118_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _19119_ (.A(_05118_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05138_));
- sky130_fd_sc_hd__mux2_1 _19160_ (.A0(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[6].u_bit_reg.data_out ),
+    .X(_05119_));
+ sky130_fd_sc_hd__mux2_1 _19120_ (.A0(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[6].u_bit_reg.data_out ),
     .A1(net29),
-    .S(_05138_),
+    .S(_05119_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05139_));
- sky130_fd_sc_hd__clkbuf_1 _19161_ (.A(_05139_),
+    .X(_05120_));
+ sky130_fd_sc_hd__clkbuf_1 _19121_ (.A(_05120_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02217_));
- sky130_fd_sc_hd__mux2_1 _19162_ (.A0(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[5].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__mux2_1 _19122_ (.A0(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[5].u_bit_reg.data_out ),
     .A1(net28),
-    .S(_05138_),
+    .S(_05119_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05140_));
- sky130_fd_sc_hd__clkbuf_1 _19163_ (.A(_05140_),
+    .X(_05121_));
+ sky130_fd_sc_hd__clkbuf_1 _19123_ (.A(_05121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02218_));
- sky130_fd_sc_hd__mux2_1 _19164_ (.A0(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[4].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__mux2_1 _19124_ (.A0(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[4].u_bit_reg.data_out ),
     .A1(net27),
-    .S(_05138_),
+    .S(_05119_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05141_));
- sky130_fd_sc_hd__clkbuf_1 _19165_ (.A(_05141_),
+    .X(_05122_));
+ sky130_fd_sc_hd__clkbuf_1 _19125_ (.A(_05122_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02219_));
- sky130_fd_sc_hd__mux2_1 _19166_ (.A0(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[3].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__mux2_1 _19126_ (.A0(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[3].u_bit_reg.data_out ),
     .A1(net25),
-    .S(_05138_),
+    .S(_05119_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05142_));
- sky130_fd_sc_hd__clkbuf_1 _19167_ (.A(_05142_),
+    .X(_05123_));
+ sky130_fd_sc_hd__clkbuf_1 _19127_ (.A(_05123_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02220_));
- sky130_fd_sc_hd__clkbuf_2 _19168_ (.A(_05137_),
+ sky130_fd_sc_hd__clkbuf_2 _19128_ (.A(_05118_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05143_));
- sky130_fd_sc_hd__mux2_1 _19169_ (.A0(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[2].u_bit_reg.data_out ),
+    .X(_05124_));
+ sky130_fd_sc_hd__mux2_1 _19129_ (.A0(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[2].u_bit_reg.data_out ),
     .A1(net24),
-    .S(_05143_),
+    .S(_05124_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05144_));
- sky130_fd_sc_hd__clkbuf_1 _19170_ (.A(_05144_),
+    .X(_05125_));
+ sky130_fd_sc_hd__clkbuf_1 _19130_ (.A(_05125_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02221_));
- sky130_fd_sc_hd__mux2_1 _19171_ (.A0(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[1].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__mux2_1 _19131_ (.A0(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[1].u_bit_reg.data_out ),
     .A1(net23),
-    .S(_05143_),
+    .S(_05124_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05145_));
- sky130_fd_sc_hd__clkbuf_1 _19172_ (.A(_05145_),
+    .X(_05126_));
+ sky130_fd_sc_hd__clkbuf_1 _19132_ (.A(_05126_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02222_));
- sky130_fd_sc_hd__mux2_1 _19173_ (.A0(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[0].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__mux2_1 _19133_ (.A0(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[0].u_bit_reg.data_out ),
     .A1(net22),
-    .S(_05143_),
+    .S(_05124_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05146_));
- sky130_fd_sc_hd__clkbuf_1 _19174_ (.A(_05146_),
+    .X(_05127_));
+ sky130_fd_sc_hd__clkbuf_1 _19134_ (.A(_05127_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02223_));
- sky130_fd_sc_hd__mux2_1 _19175_ (.A0(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[7].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__mux2_1 _19135_ (.A0(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[7].u_bit_reg.data_out ),
     .A1(net21),
-    .S(_05132_),
+    .S(_05113_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05147_));
- sky130_fd_sc_hd__clkbuf_1 _19176_ (.A(_05147_),
+    .X(_05128_));
+ sky130_fd_sc_hd__clkbuf_1 _19136_ (.A(_05128_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02224_));
- sky130_fd_sc_hd__and2_1 _19177_ (.A(net13),
-    .B(_05115_),
+ sky130_fd_sc_hd__and2_1 _19137_ (.A(net13),
+    .B(_05096_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05148_));
- sky130_fd_sc_hd__clkbuf_2 _19178_ (.A(_05148_),
+    .X(_05129_));
+ sky130_fd_sc_hd__clkbuf_2 _19138_ (.A(_05129_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05149_));
- sky130_fd_sc_hd__mux2_1 _19179_ (.A0(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[6].u_bit_reg.data_out ),
+    .X(_05130_));
+ sky130_fd_sc_hd__mux2_1 _19139_ (.A0(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[6].u_bit_reg.data_out ),
     .A1(net38),
-    .S(_05149_),
+    .S(_05130_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05150_));
- sky130_fd_sc_hd__clkbuf_1 _19180_ (.A(_05150_),
+    .X(_05131_));
+ sky130_fd_sc_hd__clkbuf_1 _19140_ (.A(_05131_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02225_));
- sky130_fd_sc_hd__mux2_1 _19181_ (.A0(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[5].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__mux2_1 _19141_ (.A0(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[5].u_bit_reg.data_out ),
     .A1(net36),
-    .S(_05149_),
+    .S(_05130_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05151_));
- sky130_fd_sc_hd__clkbuf_1 _19182_ (.A(_05151_),
+    .X(_05132_));
+ sky130_fd_sc_hd__clkbuf_1 _19142_ (.A(_05132_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02226_));
- sky130_fd_sc_hd__mux2_1 _19183_ (.A0(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[4].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__mux2_1 _19143_ (.A0(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[4].u_bit_reg.data_out ),
     .A1(net35),
-    .S(_05149_),
+    .S(_05130_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05152_));
- sky130_fd_sc_hd__clkbuf_1 _19184_ (.A(_05152_),
+    .X(_05133_));
+ sky130_fd_sc_hd__clkbuf_1 _19144_ (.A(_05133_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02227_));
- sky130_fd_sc_hd__mux2_1 _19185_ (.A0(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[3].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__mux2_1 _19145_ (.A0(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[3].u_bit_reg.data_out ),
     .A1(net34),
-    .S(_05149_),
+    .S(_05130_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05153_));
- sky130_fd_sc_hd__clkbuf_1 _19186_ (.A(_05153_),
+    .X(_05134_));
+ sky130_fd_sc_hd__clkbuf_1 _19146_ (.A(_05134_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02228_));
- sky130_fd_sc_hd__clkbuf_2 _19187_ (.A(_05148_),
+ sky130_fd_sc_hd__clkbuf_2 _19147_ (.A(_05129_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05154_));
- sky130_fd_sc_hd__mux2_1 _19188_ (.A0(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[2].u_bit_reg.data_out ),
+    .X(_05135_));
+ sky130_fd_sc_hd__mux2_1 _19148_ (.A0(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[2].u_bit_reg.data_out ),
     .A1(net33),
-    .S(_05154_),
+    .S(_05135_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05155_));
- sky130_fd_sc_hd__clkbuf_1 _19189_ (.A(_05155_),
+    .X(_05136_));
+ sky130_fd_sc_hd__clkbuf_1 _19149_ (.A(_05136_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02229_));
- sky130_fd_sc_hd__mux2_1 _19190_ (.A0(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[1].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__mux2_1 _19150_ (.A0(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[1].u_bit_reg.data_out ),
     .A1(net32),
-    .S(_05154_),
+    .S(_05135_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05156_));
- sky130_fd_sc_hd__clkbuf_1 _19191_ (.A(_05156_),
+    .X(_05137_));
+ sky130_fd_sc_hd__clkbuf_1 _19151_ (.A(_05137_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02230_));
- sky130_fd_sc_hd__mux2_1 _19192_ (.A0(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[0].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__mux2_1 _19152_ (.A0(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[0].u_bit_reg.data_out ),
     .A1(net31),
-    .S(_05154_),
+    .S(_05135_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05157_));
- sky130_fd_sc_hd__clkbuf_1 _19193_ (.A(_05157_),
+    .X(_05138_));
+ sky130_fd_sc_hd__clkbuf_1 _19153_ (.A(_05138_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02231_));
- sky130_fd_sc_hd__mux2_1 _19194_ (.A0(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[7].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__mux2_1 _19154_ (.A0(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[7].u_bit_reg.data_out ),
     .A1(net30),
-    .S(_05143_),
+    .S(_05124_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05158_));
- sky130_fd_sc_hd__clkbuf_1 _19195_ (.A(_05158_),
+    .X(_05139_));
+ sky130_fd_sc_hd__clkbuf_1 _19155_ (.A(_05139_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02232_));
- sky130_fd_sc_hd__nand2_1 _19196_ (.A(_06270_),
-    .B(_06685_),
+ sky130_fd_sc_hd__nand2_1 _19156_ (.A(_06282_),
+    .B(_06695_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05159_));
- sky130_fd_sc_hd__buf_2 _19197_ (.A(_05159_),
+    .Y(_05140_));
+ sky130_fd_sc_hd__buf_4 _19157_ (.A(_05140_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05160_));
- sky130_fd_sc_hd__mux2_1 _19198_ (.A0(_06244_),
+    .X(_05141_));
+ sky130_fd_sc_hd__mux2_1 _19158_ (.A0(_06257_),
     .A1(\u_uart_core.u_rxfifo.mem[6][0] ),
-    .S(_05160_),
+    .S(_05141_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05161_));
- sky130_fd_sc_hd__clkbuf_1 _19199_ (.A(_05161_),
+    .X(_05142_));
+ sky130_fd_sc_hd__clkbuf_1 _19159_ (.A(_05142_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02233_));
- sky130_fd_sc_hd__mux2_1 _19200_ (.A0(_06253_),
+ sky130_fd_sc_hd__mux2_1 _19160_ (.A0(_06265_),
     .A1(\u_uart_core.u_rxfifo.mem[6][1] ),
-    .S(_05160_),
+    .S(_05141_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05162_));
- sky130_fd_sc_hd__clkbuf_1 _19201_ (.A(_05162_),
+    .X(_05143_));
+ sky130_fd_sc_hd__clkbuf_1 _19161_ (.A(_05143_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02234_));
- sky130_fd_sc_hd__mux2_1 _19202_ (.A0(_06255_),
+ sky130_fd_sc_hd__mux2_1 _19162_ (.A0(_06267_),
     .A1(\u_uart_core.u_rxfifo.mem[6][2] ),
-    .S(_05160_),
+    .S(_05141_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05163_));
- sky130_fd_sc_hd__clkbuf_1 _19203_ (.A(_05163_),
+    .X(_05144_));
+ sky130_fd_sc_hd__clkbuf_1 _19163_ (.A(_05144_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02235_));
- sky130_fd_sc_hd__mux2_1 _19204_ (.A0(_04892_),
+ sky130_fd_sc_hd__mux2_1 _19164_ (.A0(_04872_),
     .A1(\u_uart_core.u_rxfifo.mem[6][3] ),
-    .S(_05160_),
+    .S(_05141_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05164_));
- sky130_fd_sc_hd__clkbuf_1 _19205_ (.A(_05164_),
+    .X(_05145_));
+ sky130_fd_sc_hd__clkbuf_1 _19165_ (.A(_05145_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02236_));
- sky130_fd_sc_hd__buf_2 _19206_ (.A(_05159_),
+ sky130_fd_sc_hd__clkbuf_2 _19166_ (.A(_05140_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05165_));
- sky130_fd_sc_hd__mux2_1 _19207_ (.A0(_06259_),
+    .X(_05146_));
+ sky130_fd_sc_hd__mux2_1 _19167_ (.A0(_06271_),
     .A1(\u_uart_core.u_rxfifo.mem[6][4] ),
-    .S(_05165_),
+    .S(_05146_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05166_));
- sky130_fd_sc_hd__clkbuf_1 _19208_ (.A(_05166_),
+    .X(_05147_));
+ sky130_fd_sc_hd__clkbuf_1 _19168_ (.A(_05147_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02237_));
- sky130_fd_sc_hd__mux2_1 _19209_ (.A0(_06262_),
+ sky130_fd_sc_hd__mux2_1 _19169_ (.A0(_06274_),
     .A1(\u_uart_core.u_rxfifo.mem[6][5] ),
-    .S(_05165_),
+    .S(_05146_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05167_));
- sky130_fd_sc_hd__clkbuf_1 _19210_ (.A(_05167_),
+    .X(_05148_));
+ sky130_fd_sc_hd__clkbuf_1 _19170_ (.A(_05148_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02238_));
- sky130_fd_sc_hd__mux2_1 _19211_ (.A0(_06264_),
+ sky130_fd_sc_hd__mux2_1 _19171_ (.A0(_06276_),
     .A1(\u_uart_core.u_rxfifo.mem[6][6] ),
-    .S(_05165_),
+    .S(_05146_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05168_));
- sky130_fd_sc_hd__clkbuf_1 _19212_ (.A(_05168_),
+    .X(_05149_));
+ sky130_fd_sc_hd__clkbuf_1 _19172_ (.A(_05149_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02239_));
- sky130_fd_sc_hd__mux2_1 _19213_ (.A0(_04898_),
+ sky130_fd_sc_hd__mux2_1 _19173_ (.A0(_04878_),
     .A1(\u_uart_core.u_rxfifo.mem[6][7] ),
-    .S(_05165_),
+    .S(_05146_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05169_));
- sky130_fd_sc_hd__clkbuf_1 _19214_ (.A(_05169_),
+    .X(_05150_));
+ sky130_fd_sc_hd__clkbuf_1 _19174_ (.A(_05150_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02240_));
- sky130_fd_sc_hd__nand2_1 _19215_ (.A(_06282_),
-    .B(_06464_),
+ sky130_fd_sc_hd__nand2_1 _19175_ (.A(_06299_),
+    .B(_06469_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05170_));
- sky130_fd_sc_hd__buf_2 _19216_ (.A(_05170_),
+    .Y(_05151_));
+ sky130_fd_sc_hd__buf_4 _19176_ (.A(_05151_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05171_));
- sky130_fd_sc_hd__mux2_1 _19217_ (.A0(_06244_),
+    .X(_05152_));
+ sky130_fd_sc_hd__mux2_1 _19177_ (.A0(_06257_),
     .A1(\u_uart_core.u_rxfifo.mem[3][0] ),
-    .S(_05171_),
+    .S(_05152_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05172_));
- sky130_fd_sc_hd__clkbuf_1 _19218_ (.A(_05172_),
+    .X(_05153_));
+ sky130_fd_sc_hd__clkbuf_1 _19178_ (.A(_05153_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02241_));
- sky130_fd_sc_hd__mux2_1 _19219_ (.A0(_06253_),
+ sky130_fd_sc_hd__mux2_1 _19179_ (.A0(_06265_),
     .A1(\u_uart_core.u_rxfifo.mem[3][1] ),
-    .S(_05171_),
+    .S(_05152_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05173_));
- sky130_fd_sc_hd__clkbuf_1 _19220_ (.A(_05173_),
+    .X(_05154_));
+ sky130_fd_sc_hd__clkbuf_1 _19180_ (.A(_05154_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02242_));
- sky130_fd_sc_hd__mux2_1 _19221_ (.A0(_06255_),
+ sky130_fd_sc_hd__mux2_1 _19181_ (.A0(_06267_),
     .A1(\u_uart_core.u_rxfifo.mem[3][2] ),
-    .S(_05171_),
+    .S(_05152_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05174_));
- sky130_fd_sc_hd__clkbuf_1 _19222_ (.A(_05174_),
+    .X(_05155_));
+ sky130_fd_sc_hd__clkbuf_1 _19182_ (.A(_05155_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02243_));
- sky130_fd_sc_hd__mux2_1 _19223_ (.A0(_04892_),
+ sky130_fd_sc_hd__mux2_1 _19183_ (.A0(_04872_),
     .A1(\u_uart_core.u_rxfifo.mem[3][3] ),
-    .S(_05171_),
+    .S(_05152_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05175_));
- sky130_fd_sc_hd__clkbuf_1 _19224_ (.A(_05175_),
+    .X(_05156_));
+ sky130_fd_sc_hd__clkbuf_1 _19184_ (.A(_05156_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02244_));
- sky130_fd_sc_hd__buf_2 _19225_ (.A(_05170_),
+ sky130_fd_sc_hd__buf_2 _19185_ (.A(_05151_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05176_));
- sky130_fd_sc_hd__mux2_1 _19226_ (.A0(_06259_),
+    .X(_05157_));
+ sky130_fd_sc_hd__mux2_1 _19186_ (.A0(_06271_),
     .A1(\u_uart_core.u_rxfifo.mem[3][4] ),
-    .S(_05176_),
+    .S(_05157_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05177_));
- sky130_fd_sc_hd__clkbuf_1 _19227_ (.A(_05177_),
+    .X(_05158_));
+ sky130_fd_sc_hd__clkbuf_1 _19187_ (.A(_05158_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02245_));
- sky130_fd_sc_hd__mux2_1 _19228_ (.A0(_06262_),
+ sky130_fd_sc_hd__mux2_1 _19188_ (.A0(_06274_),
     .A1(\u_uart_core.u_rxfifo.mem[3][5] ),
-    .S(_05176_),
+    .S(_05157_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05178_));
- sky130_fd_sc_hd__clkbuf_1 _19229_ (.A(_05178_),
+    .X(_05159_));
+ sky130_fd_sc_hd__clkbuf_1 _19189_ (.A(_05159_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02246_));
- sky130_fd_sc_hd__mux2_1 _19230_ (.A0(_06264_),
+ sky130_fd_sc_hd__mux2_1 _19190_ (.A0(_06276_),
     .A1(\u_uart_core.u_rxfifo.mem[3][6] ),
-    .S(_05176_),
+    .S(_05157_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05179_));
- sky130_fd_sc_hd__clkbuf_1 _19231_ (.A(_05179_),
+    .X(_05160_));
+ sky130_fd_sc_hd__clkbuf_1 _19191_ (.A(_05160_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02247_));
- sky130_fd_sc_hd__mux2_1 _19232_ (.A0(_04898_),
+ sky130_fd_sc_hd__mux2_1 _19192_ (.A0(_04878_),
     .A1(\u_uart_core.u_rxfifo.mem[3][7] ),
-    .S(_05176_),
+    .S(_05157_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05180_));
- sky130_fd_sc_hd__clkbuf_1 _19233_ (.A(_05180_),
+    .X(_05161_));
+ sky130_fd_sc_hd__clkbuf_1 _19193_ (.A(_05161_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02248_));
- sky130_fd_sc_hd__nand2_1 _19234_ (.A(_06462_),
-    .B(_06685_),
+ sky130_fd_sc_hd__nand2_1 _19194_ (.A(_06468_),
+    .B(_06695_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05181_));
- sky130_fd_sc_hd__buf_2 _19235_ (.A(_05181_),
+    .Y(_05162_));
+ sky130_fd_sc_hd__clkbuf_4 _19195_ (.A(_05162_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05182_));
- sky130_fd_sc_hd__mux2_1 _19236_ (.A0(_06244_),
+    .X(_05163_));
+ sky130_fd_sc_hd__mux2_1 _19196_ (.A0(_06257_),
     .A1(\u_uart_core.u_rxfifo.mem[5][0] ),
-    .S(_05182_),
+    .S(_05163_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05183_));
- sky130_fd_sc_hd__clkbuf_1 _19237_ (.A(_05183_),
+    .X(_05164_));
+ sky130_fd_sc_hd__clkbuf_1 _19197_ (.A(_05164_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02249_));
- sky130_fd_sc_hd__mux2_1 _19238_ (.A0(_06253_),
+ sky130_fd_sc_hd__mux2_1 _19198_ (.A0(_06265_),
     .A1(\u_uart_core.u_rxfifo.mem[5][1] ),
-    .S(_05182_),
+    .S(_05163_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05184_));
- sky130_fd_sc_hd__clkbuf_1 _19239_ (.A(_05184_),
+    .X(_05165_));
+ sky130_fd_sc_hd__clkbuf_1 _19199_ (.A(_05165_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02250_));
- sky130_fd_sc_hd__mux2_1 _19240_ (.A0(_06255_),
+ sky130_fd_sc_hd__mux2_1 _19200_ (.A0(_06267_),
     .A1(\u_uart_core.u_rxfifo.mem[5][2] ),
-    .S(_05182_),
+    .S(_05163_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05185_));
- sky130_fd_sc_hd__clkbuf_1 _19241_ (.A(_05185_),
+    .X(_05166_));
+ sky130_fd_sc_hd__clkbuf_1 _19201_ (.A(_05166_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02251_));
- sky130_fd_sc_hd__mux2_1 _19242_ (.A0(_04892_),
+ sky130_fd_sc_hd__mux2_1 _19202_ (.A0(_04872_),
     .A1(\u_uart_core.u_rxfifo.mem[5][3] ),
-    .S(_05182_),
+    .S(_05163_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05186_));
- sky130_fd_sc_hd__clkbuf_1 _19243_ (.A(_05186_),
+    .X(_05167_));
+ sky130_fd_sc_hd__clkbuf_1 _19203_ (.A(_05167_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02252_));
- sky130_fd_sc_hd__clkbuf_2 _19244_ (.A(_05181_),
+ sky130_fd_sc_hd__clkbuf_2 _19204_ (.A(_05162_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05187_));
- sky130_fd_sc_hd__mux2_1 _19245_ (.A0(_06259_),
+    .X(_05168_));
+ sky130_fd_sc_hd__mux2_1 _19205_ (.A0(_06271_),
     .A1(\u_uart_core.u_rxfifo.mem[5][4] ),
-    .S(_05187_),
+    .S(_05168_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05188_));
- sky130_fd_sc_hd__clkbuf_1 _19246_ (.A(_05188_),
+    .X(_05169_));
+ sky130_fd_sc_hd__clkbuf_1 _19206_ (.A(_05169_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02253_));
- sky130_fd_sc_hd__mux2_1 _19247_ (.A0(_06262_),
+ sky130_fd_sc_hd__mux2_1 _19207_ (.A0(_06274_),
     .A1(\u_uart_core.u_rxfifo.mem[5][5] ),
-    .S(_05187_),
+    .S(_05168_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05189_));
- sky130_fd_sc_hd__clkbuf_1 _19248_ (.A(_05189_),
+    .X(_05170_));
+ sky130_fd_sc_hd__clkbuf_1 _19208_ (.A(_05170_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02254_));
- sky130_fd_sc_hd__mux2_1 _19249_ (.A0(_06264_),
+ sky130_fd_sc_hd__mux2_1 _19209_ (.A0(_06276_),
     .A1(\u_uart_core.u_rxfifo.mem[5][6] ),
-    .S(_05187_),
+    .S(_05168_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05190_));
- sky130_fd_sc_hd__clkbuf_1 _19250_ (.A(_05190_),
+    .X(_05171_));
+ sky130_fd_sc_hd__clkbuf_1 _19210_ (.A(_05171_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02255_));
- sky130_fd_sc_hd__mux2_1 _19251_ (.A0(_04898_),
+ sky130_fd_sc_hd__mux2_1 _19211_ (.A0(_04878_),
     .A1(\u_uart_core.u_rxfifo.mem[5][7] ),
-    .S(_05187_),
+    .S(_05168_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05191_));
- sky130_fd_sc_hd__clkbuf_1 _19252_ (.A(_05191_),
+    .X(_05172_));
+ sky130_fd_sc_hd__clkbuf_1 _19212_ (.A(_05172_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02256_));
- sky130_fd_sc_hd__mux2_1 _19253_ (.A0(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[7].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__mux2_1 _19213_ (.A0(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[7].u_bit_reg.data_out ),
     .A1(net39),
-    .S(_05154_),
+    .S(_05135_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05192_));
- sky130_fd_sc_hd__clkbuf_1 _19254_ (.A(_05192_),
+    .X(_05173_));
+ sky130_fd_sc_hd__clkbuf_1 _19214_ (.A(_05173_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02257_));
- sky130_fd_sc_hd__or2_1 _19255_ (.A(_06496_),
-    .B(_07894_),
+ sky130_fd_sc_hd__or2_2 _19215_ (.A(_06501_),
+    .B(_07880_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05193_));
- sky130_fd_sc_hd__clkbuf_2 _19256_ (.A(_05193_),
+    .X(_05174_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _19216_ (.A(_05174_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05194_));
- sky130_fd_sc_hd__mux2_1 _19257_ (.A0(_06476_),
+    .X(_05175_));
+ sky130_fd_sc_hd__mux2_1 _19217_ (.A0(_06481_),
     .A1(\u_sspim.cfg_dataout[0] ),
-    .S(_05194_),
+    .S(_05175_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05195_));
- sky130_fd_sc_hd__clkbuf_1 _19258_ (.A(_05195_),
+    .X(_05176_));
+ sky130_fd_sc_hd__clkbuf_1 _19218_ (.A(_05176_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02258_));
- sky130_fd_sc_hd__mux2_1 _19259_ (.A0(_06500_),
+ sky130_fd_sc_hd__mux2_1 _19219_ (.A0(_06505_),
     .A1(\u_sspim.cfg_dataout[1] ),
-    .S(_05194_),
+    .S(_05175_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05196_));
- sky130_fd_sc_hd__clkbuf_1 _19260_ (.A(_05196_),
+    .X(_05177_));
+ sky130_fd_sc_hd__clkbuf_1 _19220_ (.A(_05177_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02259_));
- sky130_fd_sc_hd__mux2_1 _19261_ (.A0(_06504_),
+ sky130_fd_sc_hd__mux2_1 _19221_ (.A0(_06509_),
     .A1(\u_sspim.cfg_dataout[2] ),
-    .S(_05194_),
+    .S(_05175_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05197_));
- sky130_fd_sc_hd__clkbuf_1 _19262_ (.A(_05197_),
+    .X(_05178_));
+ sky130_fd_sc_hd__clkbuf_1 _19222_ (.A(_05178_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02260_));
- sky130_fd_sc_hd__mux2_1 _19263_ (.A0(_06506_),
+ sky130_fd_sc_hd__mux2_1 _19223_ (.A0(_06511_),
     .A1(\u_sspim.cfg_dataout[3] ),
-    .S(_05194_),
+    .S(_05175_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05198_));
- sky130_fd_sc_hd__clkbuf_1 _19264_ (.A(_05198_),
+    .X(_05179_));
+ sky130_fd_sc_hd__clkbuf_1 _19224_ (.A(_05179_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02261_));
- sky130_fd_sc_hd__clkbuf_2 _19265_ (.A(_05193_),
+ sky130_fd_sc_hd__clkbuf_2 _19225_ (.A(_05174_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05180_));
+ sky130_fd_sc_hd__mux2_1 _19226_ (.A0(_06513_),
+    .A1(\u_sspim.cfg_dataout[4] ),
+    .S(_05180_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05181_));
+ sky130_fd_sc_hd__clkbuf_1 _19227_ (.A(_05181_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02262_));
+ sky130_fd_sc_hd__mux2_1 _19228_ (.A0(_06516_),
+    .A1(\u_sspim.cfg_dataout[5] ),
+    .S(_05180_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05182_));
+ sky130_fd_sc_hd__clkbuf_1 _19229_ (.A(_05182_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02263_));
+ sky130_fd_sc_hd__mux2_1 _19230_ (.A0(_06518_),
+    .A1(\u_sspim.cfg_dataout[6] ),
+    .S(_05180_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05183_));
+ sky130_fd_sc_hd__clkbuf_1 _19231_ (.A(_05183_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02264_));
+ sky130_fd_sc_hd__mux2_1 _19232_ (.A0(_06520_),
+    .A1(\u_sspim.cfg_dataout[7] ),
+    .S(_05180_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05184_));
+ sky130_fd_sc_hd__clkbuf_1 _19233_ (.A(_05184_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02265_));
+ sky130_fd_sc_hd__mux2_1 _19234_ (.A0(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[3].u_bit_reg.data_out ),
+    .A1(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[1].u_bit_reg.data_out ),
+    .S(_07104_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05185_));
+ sky130_fd_sc_hd__mux2_1 _19235_ (.A0(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[2].u_bit_reg.data_out ),
+    .A1(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[0].u_bit_reg.data_out ),
+    .S(_07105_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05186_));
+ sky130_fd_sc_hd__mux4_1 _19236_ (.A0(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[5].u_bit_reg.data_out ),
+    .A1(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[4].u_bit_reg.data_out ),
+    .A2(_05185_),
+    .A3(_05186_),
+    .S0(_05505_),
+    .S1(_07101_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05187_));
+ sky130_fd_sc_hd__mux2_1 _19237_ (.A0(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[7].u_bit_reg.data_out ),
+    .A1(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[6].u_bit_reg.data_out ),
+    .S(_05505_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05188_));
+ sky130_fd_sc_hd__mux2_1 _19238_ (.A0(_05187_),
+    .A1(_05188_),
+    .S(_07115_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05189_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _19239_ (.A(_05474_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05190_));
+ sky130_fd_sc_hd__nor2_1 _19240_ (.A(\u_sspim.u_spi_ctrl.spiif_cs[3] ),
+    .B(_06507_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_05191_));
+ sky130_fd_sc_hd__nor2_1 _19241_ (.A(_05190_),
+    .B(_05191_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_05192_));
+ sky130_fd_sc_hd__mux2_1 _19242_ (.A0(\u_sspim.cs_int_n ),
+    .A1(_05189_),
+    .S(_05192_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05193_));
+ sky130_fd_sc_hd__clkbuf_1 _19243_ (.A(_05193_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02266_));
+ sky130_fd_sc_hd__o21ai_1 _19244_ (.A1(_05458_),
+    .A2(_05509_),
+    .B1(_05454_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_05194_));
+ sky130_fd_sc_hd__o2bb2a_1 _19245_ (.A1_N(_05599_),
+    .A2_N(_05194_),
+    .B1(_05600_),
+    .B2(_07151_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02267_));
+ sky130_fd_sc_hd__inv_2 _19246_ (.A(\u_sspim.u_spi_ctrl.spiif_cs[5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_05195_));
+ sky130_fd_sc_hd__a21boi_1 _19247_ (.A1(_05458_),
+    .A2(_05508_),
+    .B1_N(_05454_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_05196_));
+ sky130_fd_sc_hd__a41o_1 _19248_ (.A1(_05195_),
+    .A2(_05455_),
+    .A3(_05458_),
+    .A4(_05472_),
+    .B1(\u_sspim.shift_in ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_05197_));
+ sky130_fd_sc_hd__o31a_1 _19249_ (.A1(_05195_),
+    .A2(_05480_),
+    .A3(_05196_),
+    .B1(_05197_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_02268_));
+ sky130_fd_sc_hd__inv_2 _19250_ (.A(net50),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_05198_));
+ sky130_fd_sc_hd__a211o_1 _19251_ (.A1(_05617_),
+    .A2(_06494_),
+    .B1(_05615_),
+    .C1(_05198_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05199_));
- sky130_fd_sc_hd__mux2_1 _19266_ (.A0(_06508_),
-    .A1(\u_sspim.cfg_dataout[4] ),
+ sky130_fd_sc_hd__mux2_1 _19252_ (.A0(_05506_),
+    .A1(\u_sspim.hware_op_done ),
     .S(_05199_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_05200_));
- sky130_fd_sc_hd__clkbuf_1 _19267_ (.A(_05200_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02262_));
- sky130_fd_sc_hd__mux2_1 _19268_ (.A0(_06511_),
-    .A1(\u_sspim.cfg_dataout[5] ),
-    .S(_05199_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05201_));
- sky130_fd_sc_hd__clkbuf_1 _19269_ (.A(_05201_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02263_));
- sky130_fd_sc_hd__mux2_1 _19270_ (.A0(_06513_),
-    .A1(\u_sspim.cfg_dataout[6] ),
-    .S(_05199_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05202_));
- sky130_fd_sc_hd__clkbuf_1 _19271_ (.A(_05202_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02264_));
- sky130_fd_sc_hd__mux2_1 _19272_ (.A0(_06515_),
-    .A1(\u_sspim.cfg_dataout[7] ),
-    .S(_05199_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05203_));
- sky130_fd_sc_hd__clkbuf_1 _19273_ (.A(_05203_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02265_));
- sky130_fd_sc_hd__mux2_1 _19274_ (.A0(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[3].u_bit_reg.data_out ),
-    .A1(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[1].u_bit_reg.data_out ),
-    .S(_07100_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05204_));
- sky130_fd_sc_hd__mux2_1 _19275_ (.A0(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[2].u_bit_reg.data_out ),
-    .A1(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[0].u_bit_reg.data_out ),
-    .S(_07101_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05205_));
- sky130_fd_sc_hd__mux4_1 _19276_ (.A0(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[5].u_bit_reg.data_out ),
-    .A1(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[4].u_bit_reg.data_out ),
-    .A2(_05204_),
-    .A3(_05205_),
-    .S0(_05524_),
-    .S1(_07097_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05206_));
- sky130_fd_sc_hd__mux2_1 _19277_ (.A0(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[7].u_bit_reg.data_out ),
-    .A1(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[6].u_bit_reg.data_out ),
-    .S(_05524_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05207_));
- sky130_fd_sc_hd__mux2_1 _19278_ (.A0(_05206_),
-    .A1(_05207_),
-    .S(_07111_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05208_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _19279_ (.A(_05493_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05209_));
- sky130_fd_sc_hd__nor2_1 _19280_ (.A(\u_sspim.u_spi_ctrl.spiif_cs[3] ),
-    .B(_06502_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_05210_));
- sky130_fd_sc_hd__nor2_1 _19281_ (.A(_05209_),
-    .B(_05210_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_05211_));
- sky130_fd_sc_hd__mux2_1 _19282_ (.A0(\u_sspim.cs_int_n ),
-    .A1(_05208_),
-    .S(_05211_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05212_));
- sky130_fd_sc_hd__clkbuf_1 _19283_ (.A(_05212_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02266_));
- sky130_fd_sc_hd__o21ai_1 _19284_ (.A1(_05477_),
-    .A2(_05528_),
-    .B1(_05473_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_05213_));
- sky130_fd_sc_hd__o2bb2a_1 _19285_ (.A1_N(_05617_),
-    .A2_N(_05213_),
-    .B1(_05618_),
-    .B2(_07147_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02267_));
- sky130_fd_sc_hd__inv_2 _19286_ (.A(\u_sspim.u_spi_ctrl.spiif_cs[5] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_05214_));
- sky130_fd_sc_hd__a21boi_1 _19287_ (.A1(_05477_),
-    .A2(_05527_),
-    .B1_N(_05473_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_05215_));
- sky130_fd_sc_hd__a41o_1 _19288_ (.A1(_05214_),
-    .A2(_05474_),
-    .A3(_05477_),
-    .A4(_05491_),
-    .B1(\u_sspim.shift_in ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05216_));
- sky130_fd_sc_hd__o31a_1 _19289_ (.A1(_05214_),
-    .A2(_05499_),
-    .A3(_05215_),
-    .B1(_05216_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_02268_));
- sky130_fd_sc_hd__inv_2 _19290_ (.A(net50),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_05217_));
- sky130_fd_sc_hd__a211o_1 _19291_ (.A1(_05635_),
-    .A2(_06489_),
-    .B1(_05633_),
-    .C1(_05217_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05218_));
- sky130_fd_sc_hd__mux2_1 _19292_ (.A0(_05525_),
-    .A1(\u_sspim.hware_op_done ),
-    .S(_05218_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_05219_));
- sky130_fd_sc_hd__clkbuf_1 _19293_ (.A(_05219_),
+ sky130_fd_sc_hd__clkbuf_1 _19253_ (.A(_05200_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02269_));
- sky130_fd_sc_hd__nor2_1 _19294_ (.A(_05493_),
+ sky130_fd_sc_hd__nor2_1 _19254_ (.A(_05474_),
     .B(\u_sspim.u_spi_ctrl.clr_sck_cnt ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05220_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _19295_ (.A(_05220_),
+    .Y(_05201_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _19255_ (.A(_05201_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05221_));
- sky130_fd_sc_hd__nand2_1 _19296_ (.A(_05526_),
+    .X(_05202_));
+ sky130_fd_sc_hd__nand2_1 _19256_ (.A(_05507_),
     .B(\u_sspim.u_spi_ctrl.sck_cnt[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05222_));
- sky130_fd_sc_hd__o21a_1 _19297_ (.A1(\u_sspim.u_spi_ctrl.sck_cnt[0] ),
-    .A2(_05221_),
-    .B1(_05222_),
+    .Y(_05203_));
+ sky130_fd_sc_hd__o21a_1 _19257_ (.A1(\u_sspim.u_spi_ctrl.sck_cnt[0] ),
+    .A2(_05202_),
+    .B1(_05203_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02270_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _19298_ (.A(\u_sspim.u_spi_ctrl.clr_sck_cnt ),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _19258_ (.A(\u_sspim.u_spi_ctrl.clr_sck_cnt ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05223_));
- sky130_fd_sc_hd__nor2_1 _19299_ (.A(_05479_),
-    .B(_05487_),
+    .X(_05204_));
+ sky130_fd_sc_hd__nor2_1 _19259_ (.A(_05460_),
+    .B(_05468_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05224_));
- sky130_fd_sc_hd__o21a_1 _19300_ (.A1(_05223_),
-    .A2(_05224_),
-    .B1(_05526_),
+    .Y(_05205_));
+ sky130_fd_sc_hd__o21a_1 _19260_ (.A1(_05204_),
+    .A2(_05205_),
+    .B1(_05507_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05225_));
- sky130_fd_sc_hd__a21oi_1 _19301_ (.A1(_05487_),
-    .A2(_05222_),
-    .B1(_05225_),
+    .X(_05206_));
+ sky130_fd_sc_hd__a21oi_1 _19261_ (.A1(_05468_),
+    .A2(_05203_),
+    .B1(_05206_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_02271_));
- sky130_fd_sc_hd__nor2_1 _19302_ (.A(_05484_),
-    .B(_05225_),
+ sky130_fd_sc_hd__nor2_1 _19262_ (.A(_05465_),
+    .B(_05206_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05226_));
- sky130_fd_sc_hd__a31o_1 _19303_ (.A1(_05484_),
-    .A2(_05224_),
-    .A3(_05221_),
-    .B1(_05226_),
+    .Y(_05207_));
+ sky130_fd_sc_hd__a31o_1 _19263_ (.A1(_05465_),
+    .A2(_05205_),
+    .A3(_05202_),
+    .B1(_05207_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02272_));
- sky130_fd_sc_hd__clkbuf_1 _19304_ (.A(_05496_),
+ sky130_fd_sc_hd__clkbuf_1 _19264_ (.A(_05477_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05227_));
- sky130_fd_sc_hd__clkbuf_1 _19305_ (.A(_05497_),
+    .X(_05208_));
+ sky130_fd_sc_hd__clkbuf_1 _19265_ (.A(_05478_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05228_));
- sky130_fd_sc_hd__nand2_1 _19306_ (.A(_05227_),
-    .B(_05228_),
+    .X(_05209_));
+ sky130_fd_sc_hd__nand2_1 _19266_ (.A(_05208_),
+    .B(_05209_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05229_));
- sky130_fd_sc_hd__or2_1 _19307_ (.A(_05227_),
-    .B(_05228_),
+    .Y(_05210_));
+ sky130_fd_sc_hd__or2_1 _19267_ (.A(_05208_),
+    .B(_05209_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05230_));
- sky130_fd_sc_hd__a32o_1 _19308_ (.A1(_05221_),
-    .A2(_05229_),
-    .A3(_05230_),
-    .B1(_05227_),
-    .B2(_05209_),
+    .X(_05211_));
+ sky130_fd_sc_hd__a32o_1 _19268_ (.A1(_05202_),
+    .A2(_05210_),
+    .A3(_05211_),
+    .B1(_05208_),
+    .B2(_05190_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02273_));
- sky130_fd_sc_hd__a31o_1 _19309_ (.A1(_05496_),
-    .A2(_05494_),
-    .A3(_05497_),
-    .B1(_05223_),
+ sky130_fd_sc_hd__a31o_1 _19269_ (.A1(_05477_),
+    .A2(_05475_),
+    .A3(_05478_),
+    .B1(_05204_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05231_));
- sky130_fd_sc_hd__nand2_1 _19310_ (.A(_05526_),
-    .B(_05231_),
+    .X(_05212_));
+ sky130_fd_sc_hd__nand2_1 _19270_ (.A(_05507_),
+    .B(_05212_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05232_));
- sky130_fd_sc_hd__a31o_1 _19311_ (.A1(_05227_),
-    .A2(_05228_),
-    .A3(_05221_),
-    .B1(_05494_),
+    .Y(_05213_));
+ sky130_fd_sc_hd__a31o_1 _19271_ (.A1(_05208_),
+    .A2(_05209_),
+    .A3(_05202_),
+    .B1(_05475_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05233_));
- sky130_fd_sc_hd__and2_1 _19312_ (.A(_05232_),
-    .B(_05233_),
+    .X(_05214_));
+ sky130_fd_sc_hd__and2_1 _19272_ (.A(_05213_),
+    .B(_05214_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05234_));
- sky130_fd_sc_hd__clkbuf_1 _19313_ (.A(_05234_),
+    .X(_05215_));
+ sky130_fd_sc_hd__clkbuf_1 _19273_ (.A(_05215_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02274_));
- sky130_fd_sc_hd__and4_1 _19314_ (.A(_05496_),
-    .B(_05494_),
-    .C(_05228_),
-    .D(_05220_),
+ sky130_fd_sc_hd__and4_1 _19274_ (.A(_05477_),
+    .B(_05475_),
+    .C(_05209_),
+    .D(_05201_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05235_));
- sky130_fd_sc_hd__mux2_1 _19315_ (.A0(_05235_),
-    .A1(_05232_),
+    .X(_05216_));
+ sky130_fd_sc_hd__mux2_1 _19275_ (.A0(_05216_),
+    .A1(_05213_),
     .S(\u_sspim.u_spi_ctrl.sck_cnt[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05236_));
- sky130_fd_sc_hd__clkbuf_1 _19316_ (.A(_05236_),
+    .X(_05217_));
+ sky130_fd_sc_hd__clkbuf_1 _19276_ (.A(_05217_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02275_));
- sky130_fd_sc_hd__o31ai_1 _19317_ (.A1(_05474_),
-    .A2(_05617_),
-    .A3(_05620_),
-    .B1(_05213_),
+ sky130_fd_sc_hd__o31ai_1 _19277_ (.A1(_05455_),
+    .A2(_05599_),
+    .A3(_05602_),
+    .B1(_05194_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05237_));
- sky130_fd_sc_hd__a32o_1 _19318_ (.A1(_05617_),
-    .A2(_05499_),
-    .A3(_05213_),
-    .B1(_05237_),
+    .Y(_05218_));
+ sky130_fd_sc_hd__a32o_1 _19278_ (.A1(_05599_),
+    .A2(_05480_),
+    .A3(_05194_),
+    .B1(_05218_),
     .B2(\u_sspim.u_spi_ctrl.shift_enb ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02276_));
- sky130_fd_sc_hd__or2_1 _19319_ (.A(_05619_),
-    .B(_05223_),
+ sky130_fd_sc_hd__or2_1 _19279_ (.A(_05601_),
+    .B(_05204_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05238_));
- sky130_fd_sc_hd__a32o_1 _19320_ (.A1(_05529_),
-    .A2(_05210_),
-    .A3(_05238_),
-    .B1(_05223_),
-    .B2(_05209_),
+    .X(_05219_));
+ sky130_fd_sc_hd__a32o_1 _19280_ (.A1(_05510_),
+    .A2(_05191_),
+    .A3(_05219_),
+    .B1(_05204_),
+    .B2(_05190_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05239_));
- sky130_fd_sc_hd__a211o_1 _19321_ (.A1(_05527_),
-    .A2(_05211_),
-    .B1(_05239_),
-    .C1(_05530_),
+    .X(_05220_));
+ sky130_fd_sc_hd__a211o_1 _19281_ (.A1(_05508_),
+    .A2(_05192_),
+    .B1(_05220_),
+    .C1(_05511_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02277_));
- sky130_fd_sc_hd__nand2_1 _19322_ (.A(_05620_),
-    .B(_05529_),
+ sky130_fd_sc_hd__nand2_1 _19282_ (.A(_05602_),
+    .B(_05510_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05240_));
- sky130_fd_sc_hd__o2bb2a_1 _19323_ (.A1_N(_05240_),
+    .Y(_05221_));
+ sky130_fd_sc_hd__o2bb2a_1 _19283_ (.A1_N(_05221_),
     .A2_N(\u_sspim.u_spi_ctrl.sck_out_en ),
-    .B1(_05209_),
-    .B2(_05529_),
+    .B1(_05190_),
+    .B2(_05510_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05241_));
- sky130_fd_sc_hd__nor2_1 _19324_ (.A(_05530_),
-    .B(_05241_),
+    .X(_05222_));
+ sky130_fd_sc_hd__nor2_1 _19284_ (.A(_05511_),
+    .B(_05222_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_02278_));
- sky130_fd_sc_hd__mux2_1 _19325_ (.A0(_05635_),
-    .A1(_05633_),
-    .S(_05623_),
+ sky130_fd_sc_hd__mux2_1 _19285_ (.A0(_05617_),
+    .A1(_05615_),
+    .S(_05605_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05242_));
- sky130_fd_sc_hd__clkbuf_1 _19326_ (.A(_05242_),
+    .X(_05223_));
+ sky130_fd_sc_hd__clkbuf_1 _19286_ (.A(_05223_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02279_));
- sky130_fd_sc_hd__a21o_1 _19327_ (.A1(_06482_),
-    .A2(_05632_),
-    .B1(_05625_),
+ sky130_fd_sc_hd__a21o_1 _19287_ (.A1(_06487_),
+    .A2(_05614_),
+    .B1(_05607_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05243_));
- sky130_fd_sc_hd__o311a_1 _19328_ (.A1(_06486_),
-    .A2(_05623_),
-    .A3(_05635_),
-    .B1(_05636_),
-    .C1(_05243_),
+    .X(_05224_));
+ sky130_fd_sc_hd__o311a_1 _19288_ (.A1(_06491_),
+    .A2(_05605_),
+    .A3(_05617_),
+    .B1(_05618_),
+    .C1(_05224_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02280_));
- sky130_fd_sc_hd__a21boi_1 _19329_ (.A1(_07105_),
-    .A2(_06480_),
-    .B1_N(_05636_),
+ sky130_fd_sc_hd__a21boi_1 _19289_ (.A1(_07109_),
+    .A2(_06485_),
+    .B1_N(_05618_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05244_));
- sky130_fd_sc_hd__o21a_1 _19330_ (.A1(_07105_),
-    .A2(_06480_),
-    .B1(_05244_),
+    .Y(_05225_));
+ sky130_fd_sc_hd__o21a_1 _19290_ (.A1(_07109_),
+    .A2(_06485_),
+    .B1(_05225_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02281_));
- sky130_fd_sc_hd__and3_1 _19331_ (.A(_05621_),
+ sky130_fd_sc_hd__and3_1 _19291_ (.A(_05603_),
     .B(\u_sspim.u_spi_ctrl.sck_out_en ),
-    .C(_05928_),
+    .C(_05966_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05245_));
- sky130_fd_sc_hd__o2bb2a_1 _19332_ (.A1_N(\u_sspim.u_spi_ctrl.sck_out_en ),
+    .X(_05226_));
+ sky130_fd_sc_hd__o2bb2a_1 _19292_ (.A1_N(\u_sspim.u_spi_ctrl.sck_out_en ),
     .A2_N(_00076_),
-    .B1(_05245_),
+    .B1(_05226_),
     .B2(net94),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02282_));
- sky130_fd_sc_hd__nand2_1 _19333_ (.A(\u_sspim.shift_in ),
+ sky130_fd_sc_hd__nand2_1 _19293_ (.A(\u_sspim.shift_in ),
     .B(\u_sspim.sck_pe ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_05246_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _19334_ (.A(_05246_),
+    .Y(_05227_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _19294_ (.A(_05227_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05247_));
- sky130_fd_sc_hd__mux2_1 _19335_ (.A0(net51),
+    .X(_05228_));
+ sky130_fd_sc_hd__mux2_1 _19295_ (.A0(net51),
     .A1(\u_sspim.byte_in[0] ),
-    .S(_05247_),
+    .S(_05228_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05248_));
- sky130_fd_sc_hd__clkbuf_1 _19336_ (.A(_05248_),
+    .X(_05229_));
+ sky130_fd_sc_hd__clkbuf_1 _19296_ (.A(_05229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02283_));
- sky130_fd_sc_hd__mux2_1 _19337_ (.A0(\u_sspim.byte_in[0] ),
+ sky130_fd_sc_hd__mux2_1 _19297_ (.A0(\u_sspim.byte_in[0] ),
     .A1(\u_sspim.byte_in[1] ),
-    .S(_05247_),
+    .S(_05228_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05249_));
- sky130_fd_sc_hd__clkbuf_1 _19338_ (.A(_05249_),
+    .X(_05230_));
+ sky130_fd_sc_hd__clkbuf_1 _19298_ (.A(_05230_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02284_));
- sky130_fd_sc_hd__mux2_1 _19339_ (.A0(\u_sspim.byte_in[1] ),
+ sky130_fd_sc_hd__mux2_1 _19299_ (.A0(\u_sspim.byte_in[1] ),
     .A1(\u_sspim.byte_in[2] ),
-    .S(_05247_),
+    .S(_05228_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05250_));
- sky130_fd_sc_hd__clkbuf_1 _19340_ (.A(_05250_),
+    .X(_05231_));
+ sky130_fd_sc_hd__clkbuf_1 _19300_ (.A(_05231_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02285_));
- sky130_fd_sc_hd__mux2_1 _19341_ (.A0(\u_sspim.byte_in[2] ),
+ sky130_fd_sc_hd__mux2_1 _19301_ (.A0(\u_sspim.byte_in[2] ),
     .A1(\u_sspim.byte_in[3] ),
-    .S(_05247_),
+    .S(_05228_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05251_));
- sky130_fd_sc_hd__clkbuf_1 _19342_ (.A(_05251_),
+    .X(_05232_));
+ sky130_fd_sc_hd__clkbuf_1 _19302_ (.A(_05232_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02286_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _19343_ (.A(_05246_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _19303_ (.A(_05227_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05252_));
- sky130_fd_sc_hd__mux2_1 _19344_ (.A0(\u_sspim.byte_in[3] ),
+    .X(_05233_));
+ sky130_fd_sc_hd__mux2_1 _19304_ (.A0(\u_sspim.byte_in[3] ),
     .A1(\u_sspim.byte_in[4] ),
-    .S(_05252_),
+    .S(_05233_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05253_));
- sky130_fd_sc_hd__clkbuf_1 _19345_ (.A(_05253_),
+    .X(_05234_));
+ sky130_fd_sc_hd__clkbuf_1 _19305_ (.A(_05234_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02287_));
- sky130_fd_sc_hd__mux2_1 _19346_ (.A0(\u_sspim.byte_in[4] ),
+ sky130_fd_sc_hd__mux2_1 _19306_ (.A0(\u_sspim.byte_in[4] ),
     .A1(\u_sspim.byte_in[5] ),
-    .S(_05252_),
+    .S(_05233_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05254_));
- sky130_fd_sc_hd__clkbuf_1 _19347_ (.A(_05254_),
+    .X(_05235_));
+ sky130_fd_sc_hd__clkbuf_1 _19307_ (.A(_05235_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02288_));
- sky130_fd_sc_hd__mux2_1 _19348_ (.A0(\u_sspim.byte_in[5] ),
+ sky130_fd_sc_hd__mux2_1 _19308_ (.A0(\u_sspim.byte_in[5] ),
     .A1(\u_sspim.byte_in[6] ),
-    .S(_05252_),
+    .S(_05233_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05255_));
- sky130_fd_sc_hd__clkbuf_1 _19349_ (.A(_05255_),
+    .X(_05236_));
+ sky130_fd_sc_hd__clkbuf_1 _19309_ (.A(_05236_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02289_));
- sky130_fd_sc_hd__mux2_1 _19350_ (.A0(\u_sspim.byte_in[6] ),
+ sky130_fd_sc_hd__mux2_1 _19310_ (.A0(\u_sspim.byte_in[6] ),
     .A1(\u_sspim.byte_in[7] ),
-    .S(_05252_),
+    .S(_05233_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05256_));
- sky130_fd_sc_hd__clkbuf_1 _19351_ (.A(_05256_),
+    .X(_05237_));
+ sky130_fd_sc_hd__clkbuf_1 _19311_ (.A(_05237_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02290_));
- sky130_fd_sc_hd__mux2_1 _19352_ (.A0(\u_sspim.u_spi_if.so_reg[7] ),
+ sky130_fd_sc_hd__mux2_1 _19312_ (.A0(\u_sspim.u_spi_if.so_reg[7] ),
     .A1(net95),
-    .S(_07122_),
+    .S(_07126_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05257_));
- sky130_fd_sc_hd__clkbuf_1 _19353_ (.A(_05257_),
+    .X(_05238_));
+ sky130_fd_sc_hd__clkbuf_1 _19313_ (.A(_05238_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02291_));
- sky130_fd_sc_hd__mux2_1 _19354_ (.A0(\u_usb_host.u_phy.state_q[12] ),
+ sky130_fd_sc_hd__mux2_1 _19314_ (.A0(\u_usb_host.u_phy.state_q[12] ),
     .A1(\u_usb_host.u_phy.state_q[5] ),
-    .S(_05579_),
+    .S(_05558_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05258_));
- sky130_fd_sc_hd__clkbuf_1 _19355_ (.A(_05258_),
+    .X(_05239_));
+ sky130_fd_sc_hd__clkbuf_1 _19315_ (.A(_05239_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02292_));
- sky130_fd_sc_hd__mux2_1 _19356_ (.A0(\u_usb_host.u_phy.state_q[12] ),
+ sky130_fd_sc_hd__mux2_1 _19316_ (.A0(\u_usb_host.u_phy.state_q[12] ),
     .A1(\u_usb_host.u_phy.state_q[3] ),
-    .S(_05593_),
+    .S(_05573_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_05259_));
- sky130_fd_sc_hd__clkbuf_1 _19357_ (.A(_05259_),
+    .X(_05240_));
+ sky130_fd_sc_hd__clkbuf_1 _19317_ (.A(_05240_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_02293_));
- sky130_fd_sc_hd__dfstp_1 _19358_ (.CLK(\clknet_leaf_21_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfstp_1 _19318_ (.CLK(\clknet_leaf_22_u_uart_core.line_clk_16x ),
     .D(_00019_),
     .SET_B(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
@@ -233769,383 +232976,383 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfsm.txstate[0] ));
- sky130_fd_sc_hd__dfrtp_1 _19359_ (.CLK(\clknet_leaf_21_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19319_ (.CLK(\clknet_leaf_22_u_uart_core.line_clk_16x ),
     .D(_00020_),
-    .RESET_B(net308),
+    .RESET_B(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfsm.txstate[1] ));
- sky130_fd_sc_hd__dfrtp_1 _19360_ (.CLK(\clknet_leaf_22_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19320_ (.CLK(\clknet_leaf_22_u_uart_core.line_clk_16x ),
     .D(_00021_),
-    .RESET_B(net308),
+    .RESET_B(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfsm.txstate[2] ));
- sky130_fd_sc_hd__dfrtp_1 _19361_ (.CLK(\clknet_leaf_22_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19321_ (.CLK(\clknet_leaf_22_u_uart_core.line_clk_16x ),
     .D(_00022_),
-    .RESET_B(net324),
+    .RESET_B(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfsm.txstate[3] ));
- sky130_fd_sc_hd__dfrtp_1 _19362_ (.CLK(\clknet_leaf_21_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19322_ (.CLK(\clknet_leaf_22_u_uart_core.line_clk_16x ),
     .D(_00023_),
-    .RESET_B(net308),
+    .RESET_B(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfsm.txstate[4] ));
- sky130_fd_sc_hd__dfrtp_1 _19363_ (.CLK(clknet_leaf_33_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19323_ (.CLK(\clknet_leaf_3_u_uart_core.line_clk_16x ),
     .D(_00105_),
-    .RESET_B(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[0] ));
- sky130_fd_sc_hd__dfrtp_1 _19364_ (.CLK(clknet_leaf_32_app_clk),
+    .Q(\u_uart_core.u_rxfifo.mem[15][0] ));
+ sky130_fd_sc_hd__dfxtp_1 _19324_ (.CLK(\clknet_leaf_2_u_uart_core.line_clk_16x ),
     .D(_00106_),
-    .RESET_B(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[1] ));
- sky130_fd_sc_hd__dfrtp_1 _19365_ (.CLK(clknet_leaf_31_app_clk),
+    .Q(\u_uart_core.u_rxfifo.mem[15][1] ));
+ sky130_fd_sc_hd__dfxtp_1 _19325_ (.CLK(\clknet_leaf_2_u_uart_core.line_clk_16x ),
     .D(_00107_),
-    .RESET_B(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[2] ));
- sky130_fd_sc_hd__dfrtp_1 _19366_ (.CLK(clknet_leaf_31_app_clk),
+    .Q(\u_uart_core.u_rxfifo.mem[15][2] ));
+ sky130_fd_sc_hd__dfxtp_1 _19326_ (.CLK(\clknet_leaf_6_u_uart_core.line_clk_16x ),
     .D(_00108_),
-    .RESET_B(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[3] ));
- sky130_fd_sc_hd__dfrtp_1 _19367_ (.CLK(clknet_leaf_32_app_clk),
+    .Q(\u_uart_core.u_rxfifo.mem[15][3] ));
+ sky130_fd_sc_hd__dfxtp_1 _19327_ (.CLK(\clknet_leaf_7_u_uart_core.line_clk_16x ),
     .D(_00109_),
-    .RESET_B(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[4] ));
- sky130_fd_sc_hd__dfrtp_1 _19368_ (.CLK(clknet_leaf_33_app_clk),
+    .Q(\u_uart_core.u_rxfifo.mem[15][4] ));
+ sky130_fd_sc_hd__dfxtp_1 _19328_ (.CLK(\clknet_leaf_6_u_uart_core.line_clk_16x ),
     .D(_00110_),
-    .RESET_B(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[5] ));
- sky130_fd_sc_hd__dfrtp_1 _19369_ (.CLK(clknet_leaf_31_app_clk),
+    .Q(\u_uart_core.u_rxfifo.mem[15][5] ));
+ sky130_fd_sc_hd__dfxtp_1 _19329_ (.CLK(\clknet_leaf_6_u_uart_core.line_clk_16x ),
     .D(_00111_),
-    .RESET_B(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[6] ));
- sky130_fd_sc_hd__dfrtp_1 _19370_ (.CLK(clknet_leaf_31_app_clk),
+    .Q(\u_uart_core.u_rxfifo.mem[15][6] ));
+ sky130_fd_sc_hd__dfxtp_1 _19330_ (.CLK(\clknet_leaf_9_u_uart_core.line_clk_16x ),
     .D(_00112_),
-    .RESET_B(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[7] ));
- sky130_fd_sc_hd__dfrtp_1 _19371_ (.CLK(clknet_leaf_30_app_clk),
+    .Q(\u_uart_core.u_rxfifo.mem[15][7] ));
+ sky130_fd_sc_hd__dfrtp_1 _19331_ (.CLK(clknet_leaf_32_app_clk),
     .D(_00113_),
     .RESET_B(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[8] ));
- sky130_fd_sc_hd__dfrtp_1 _19372_ (.CLK(clknet_leaf_30_app_clk),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[0] ));
+ sky130_fd_sc_hd__dfrtp_1 _19332_ (.CLK(clknet_leaf_32_app_clk),
     .D(_00114_),
     .RESET_B(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[9] ));
- sky130_fd_sc_hd__dfrtp_1 _19373_ (.CLK(clknet_leaf_30_app_clk),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[1] ));
+ sky130_fd_sc_hd__dfrtp_1 _19333_ (.CLK(clknet_leaf_34_app_clk),
     .D(_00115_),
     .RESET_B(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[10] ));
- sky130_fd_sc_hd__dfrtp_1 _19374_ (.CLK(clknet_leaf_31_app_clk),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[2] ));
+ sky130_fd_sc_hd__dfrtp_1 _19334_ (.CLK(clknet_leaf_32_app_clk),
     .D(_00116_),
     .RESET_B(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[11] ));
- sky130_fd_sc_hd__dfrtp_1 _19375_ (.CLK(clknet_leaf_30_app_clk),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[3] ));
+ sky130_fd_sc_hd__dfrtp_1 _19335_ (.CLK(clknet_leaf_32_app_clk),
     .D(_00117_),
     .RESET_B(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[12] ));
- sky130_fd_sc_hd__dfrtp_1 _19376_ (.CLK(clknet_leaf_30_app_clk),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[4] ));
+ sky130_fd_sc_hd__dfrtp_1 _19336_ (.CLK(clknet_leaf_32_app_clk),
     .D(_00118_),
     .RESET_B(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[13] ));
- sky130_fd_sc_hd__dfrtp_1 _19377_ (.CLK(clknet_leaf_30_app_clk),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[5] ));
+ sky130_fd_sc_hd__dfrtp_1 _19337_ (.CLK(clknet_leaf_32_app_clk),
     .D(_00119_),
     .RESET_B(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[14] ));
- sky130_fd_sc_hd__dfrtp_1 _19378_ (.CLK(clknet_leaf_30_app_clk),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[6] ));
+ sky130_fd_sc_hd__dfrtp_1 _19338_ (.CLK(clknet_leaf_32_app_clk),
     .D(_00120_),
     .RESET_B(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[15] ));
- sky130_fd_sc_hd__dfrtp_1 _19379_ (.CLK(clknet_leaf_32_app_clk),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[7] ));
+ sky130_fd_sc_hd__dfrtp_1 _19339_ (.CLK(clknet_leaf_32_app_clk),
     .D(_00121_),
     .RESET_B(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[16] ));
- sky130_fd_sc_hd__dfxtp_1 _19380_ (.CLK(\clknet_leaf_9_u_uart_core.line_clk_16x ),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[8] ));
+ sky130_fd_sc_hd__dfrtp_1 _19340_ (.CLK(clknet_leaf_32_app_clk),
     .D(_00122_),
+    .RESET_B(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart_core.u_rxfifo.mem[15][0] ));
- sky130_fd_sc_hd__dfxtp_1 _19381_ (.CLK(\clknet_leaf_1_u_uart_core.line_clk_16x ),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[9] ));
+ sky130_fd_sc_hd__dfrtp_1 _19341_ (.CLK(clknet_leaf_32_app_clk),
     .D(_00123_),
+    .RESET_B(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart_core.u_rxfifo.mem[15][1] ));
- sky130_fd_sc_hd__dfxtp_1 _19382_ (.CLK(\clknet_leaf_2_u_uart_core.line_clk_16x ),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[10] ));
+ sky130_fd_sc_hd__dfrtp_1 _19342_ (.CLK(clknet_leaf_32_app_clk),
     .D(_00124_),
+    .RESET_B(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart_core.u_rxfifo.mem[15][2] ));
- sky130_fd_sc_hd__dfxtp_1 _19383_ (.CLK(\clknet_leaf_9_u_uart_core.line_clk_16x ),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[11] ));
+ sky130_fd_sc_hd__dfrtp_1 _19343_ (.CLK(clknet_leaf_33_app_clk),
     .D(_00125_),
+    .RESET_B(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart_core.u_rxfifo.mem[15][3] ));
- sky130_fd_sc_hd__dfxtp_1 _19384_ (.CLK(\clknet_leaf_12_u_uart_core.line_clk_16x ),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[12] ));
+ sky130_fd_sc_hd__dfrtp_1 _19344_ (.CLK(clknet_leaf_33_app_clk),
     .D(_00126_),
+    .RESET_B(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart_core.u_rxfifo.mem[15][4] ));
- sky130_fd_sc_hd__dfxtp_1 _19385_ (.CLK(\clknet_leaf_18_u_uart_core.line_clk_16x ),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[13] ));
+ sky130_fd_sc_hd__dfrtp_1 _19345_ (.CLK(clknet_leaf_33_app_clk),
     .D(_00127_),
+    .RESET_B(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart_core.u_rxfifo.mem[15][5] ));
- sky130_fd_sc_hd__dfxtp_1 _19386_ (.CLK(\clknet_leaf_12_u_uart_core.line_clk_16x ),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[14] ));
+ sky130_fd_sc_hd__dfrtp_1 _19346_ (.CLK(clknet_leaf_33_app_clk),
     .D(_00128_),
+    .RESET_B(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart_core.u_rxfifo.mem[15][6] ));
- sky130_fd_sc_hd__dfxtp_1 _19387_ (.CLK(\clknet_leaf_12_u_uart_core.line_clk_16x ),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[15] ));
+ sky130_fd_sc_hd__dfrtp_1 _19347_ (.CLK(clknet_leaf_33_app_clk),
     .D(_00129_),
+    .RESET_B(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart_core.u_rxfifo.mem[15][7] ));
- sky130_fd_sc_hd__dfrtp_1 _19388_ (.CLK(clknet_leaf_144_usb_clk),
+    .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.c_state[16] ));
+ sky130_fd_sc_hd__dfrtp_1 _19348_ (.CLK(clknet_leaf_21_usb_clk),
     .D(_00130_),
-    .RESET_B(net108),
+    .RESET_B(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.token_q[0] ));
- sky130_fd_sc_hd__dfrtp_1 _19389_ (.CLK(clknet_leaf_23_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19349_ (.CLK(clknet_leaf_21_usb_clk),
     .D(_00131_),
-    .RESET_B(net106),
+    .RESET_B(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.token_q[1] ));
- sky130_fd_sc_hd__dfrtp_1 _19390_ (.CLK(clknet_leaf_22_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19350_ (.CLK(clknet_leaf_20_usb_clk),
     .D(_00132_),
-    .RESET_B(net108),
+    .RESET_B(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.token_q[2] ));
- sky130_fd_sc_hd__dfrtp_1 _19391_ (.CLK(clknet_leaf_23_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19351_ (.CLK(clknet_leaf_21_usb_clk),
     .D(_00133_),
-    .RESET_B(net106),
+    .RESET_B(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.token_q[3] ));
- sky130_fd_sc_hd__dfrtp_1 _19392_ (.CLK(clknet_leaf_144_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19352_ (.CLK(clknet_leaf_20_usb_clk),
     .D(_00134_),
-    .RESET_B(net108),
+    .RESET_B(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.token_q[4] ));
- sky130_fd_sc_hd__dfxtp_1 _19393_ (.CLK(\clknet_leaf_6_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19353_ (.CLK(\clknet_leaf_3_u_uart_core.line_clk_16x ),
     .D(_00135_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[13][0] ));
- sky130_fd_sc_hd__dfxtp_1 _19394_ (.CLK(\clknet_leaf_3_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19354_ (.CLK(\clknet_leaf_0_u_uart_core.line_clk_16x ),
     .D(_00136_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[13][1] ));
- sky130_fd_sc_hd__dfxtp_1 _19395_ (.CLK(\clknet_leaf_2_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19355_ (.CLK(\clknet_leaf_0_u_uart_core.line_clk_16x ),
     .D(_00137_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[13][2] ));
- sky130_fd_sc_hd__dfxtp_1 _19396_ (.CLK(\clknet_leaf_9_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19356_ (.CLK(\clknet_leaf_9_u_uart_core.line_clk_16x ),
     .D(_00138_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[13][3] ));
- sky130_fd_sc_hd__dfxtp_1 _19397_ (.CLK(\clknet_leaf_14_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19357_ (.CLK(\clknet_leaf_7_u_uart_core.line_clk_16x ),
     .D(_00139_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[13][4] ));
- sky130_fd_sc_hd__dfxtp_1 _19398_ (.CLK(\clknet_leaf_15_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19358_ (.CLK(\clknet_leaf_7_u_uart_core.line_clk_16x ),
     .D(_00140_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[13][5] ));
- sky130_fd_sc_hd__dfxtp_1 _19399_ (.CLK(\clknet_leaf_14_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19359_ (.CLK(\clknet_leaf_7_u_uart_core.line_clk_16x ),
     .D(_00141_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[13][6] ));
- sky130_fd_sc_hd__dfxtp_1 _19400_ (.CLK(\clknet_leaf_14_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19360_ (.CLK(\clknet_leaf_7_u_uart_core.line_clk_16x ),
     .D(_00142_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[13][7] ));
- sky130_fd_sc_hd__dfxtp_1 _19401_ (.CLK(\clknet_leaf_9_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19361_ (.CLK(\clknet_leaf_3_u_uart_core.line_clk_16x ),
     .D(_00143_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[14][0] ));
- sky130_fd_sc_hd__dfxtp_1 _19402_ (.CLK(\clknet_leaf_1_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19362_ (.CLK(\clknet_leaf_0_u_uart_core.line_clk_16x ),
     .D(_00144_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[14][1] ));
- sky130_fd_sc_hd__dfxtp_1 _19403_ (.CLK(\clknet_leaf_6_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19363_ (.CLK(\clknet_leaf_0_u_uart_core.line_clk_16x ),
     .D(_00145_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[14][2] ));
- sky130_fd_sc_hd__dfxtp_1 _19404_ (.CLK(\clknet_leaf_9_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19364_ (.CLK(\clknet_leaf_0_u_uart_core.line_clk_16x ),
     .D(_00146_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[14][3] ));
- sky130_fd_sc_hd__dfxtp_1 _19405_ (.CLK(\clknet_leaf_12_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19365_ (.CLK(\clknet_leaf_6_u_uart_core.line_clk_16x ),
     .D(_00147_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[14][4] ));
- sky130_fd_sc_hd__dfxtp_1 _19406_ (.CLK(\clknet_leaf_18_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19366_ (.CLK(\clknet_leaf_9_u_uart_core.line_clk_16x ),
     .D(_00148_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[14][5] ));
- sky130_fd_sc_hd__dfxtp_1 _19407_ (.CLK(\clknet_leaf_12_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19367_ (.CLK(\clknet_leaf_6_u_uart_core.line_clk_16x ),
     .D(_00149_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[14][6] ));
- sky130_fd_sc_hd__dfxtp_1 _19408_ (.CLK(\clknet_leaf_12_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19368_ (.CLK(\clknet_leaf_6_u_uart_core.line_clk_16x ),
     .D(_00150_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[14][7] ));
- sky130_fd_sc_hd__dfrtp_1 _19409_ (.CLK(clknet_leaf_30_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19369_ (.CLK(clknet_leaf_31_app_clk),
     .D(_00007_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -234153,7 +233360,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.core_cmd[1] ));
- sky130_fd_sc_hd__dfrtp_1 _19410_ (.CLK(clknet_leaf_30_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19370_ (.CLK(clknet_leaf_34_app_clk),
     .D(_00008_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -234161,7 +233368,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.core_cmd[2] ));
- sky130_fd_sc_hd__dfrtp_1 _19411_ (.CLK(clknet_leaf_33_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19371_ (.CLK(clknet_leaf_32_app_clk),
     .D(_00009_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -234169,7 +233376,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.core_cmd[3] ));
- sky130_fd_sc_hd__dfrtp_1 _19412_ (.CLK(clknet_leaf_33_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19372_ (.CLK(clknet_leaf_31_app_clk),
     .D(_00010_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -234177,495 +233384,495 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.core_cmd[4] ));
- sky130_fd_sc_hd__dfrtp_1 _19413_ (.CLK(\clknet_leaf_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19373_ (.CLK(\clknet_leaf_17_u_uart_core.line_clk_16x ),
     .D(_00016_),
-    .RESET_B(net343),
+    .RESET_B(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.par_error ));
- sky130_fd_sc_hd__dfrtp_1 _19414_ (.CLK(\clknet_leaf_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19374_ (.CLK(\clknet_leaf_17_u_uart_core.line_clk_16x ),
     .D(_00017_),
-    .RESET_B(net343),
+    .RESET_B(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.frm_error ));
- sky130_fd_sc_hd__dfrtp_1 _19415_ (.CLK(\clknet_leaf_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19375_ (.CLK(\clknet_leaf_19_u_uart_core.line_clk_16x ),
     .D(_00018_),
-    .RESET_B(net343),
+    .RESET_B(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.rx_fifo_full_err ));
- sky130_fd_sc_hd__dfxtp_1 _19416_ (.CLK(\clknet_leaf_6_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19376_ (.CLK(\clknet_leaf_2_u_uart_core.line_clk_16x ),
     .D(_00151_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[11][0] ));
- sky130_fd_sc_hd__dfxtp_1 _19417_ (.CLK(\clknet_leaf_5_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19377_ (.CLK(\clknet_leaf_2_u_uart_core.line_clk_16x ),
     .D(_00152_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[11][1] ));
- sky130_fd_sc_hd__dfxtp_1 _19418_ (.CLK(\clknet_leaf_2_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19378_ (.CLK(\clknet_leaf_2_u_uart_core.line_clk_16x ),
     .D(_00153_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[11][2] ));
- sky130_fd_sc_hd__dfxtp_1 _19419_ (.CLK(\clknet_leaf_6_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19379_ (.CLK(\clknet_leaf_2_u_uart_core.line_clk_16x ),
     .D(_00154_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[11][3] ));
- sky130_fd_sc_hd__dfxtp_1 _19420_ (.CLK(\clknet_leaf_9_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19380_ (.CLK(\clknet_leaf_6_u_uart_core.line_clk_16x ),
     .D(_00155_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[11][4] ));
- sky130_fd_sc_hd__dfxtp_1 _19421_ (.CLK(\clknet_leaf_18_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19381_ (.CLK(\clknet_leaf_6_u_uart_core.line_clk_16x ),
     .D(_00156_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[11][5] ));
- sky130_fd_sc_hd__dfxtp_1 _19422_ (.CLK(\clknet_leaf_17_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19382_ (.CLK(\clknet_leaf_6_u_uart_core.line_clk_16x ),
     .D(_00157_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[11][6] ));
- sky130_fd_sc_hd__dfxtp_1 _19423_ (.CLK(\clknet_leaf_17_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19383_ (.CLK(\clknet_leaf_9_u_uart_core.line_clk_16x ),
     .D(_00158_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[11][7] ));
- sky130_fd_sc_hd__dfxtp_1 _19424_ (.CLK(\clknet_leaf_6_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19384_ (.CLK(\clknet_leaf_4_u_uart_core.line_clk_16x ),
     .D(_00159_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[12][0] ));
- sky130_fd_sc_hd__dfxtp_1 _19425_ (.CLK(\clknet_leaf_2_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19385_ (.CLK(\clknet_leaf_2_u_uart_core.line_clk_16x ),
     .D(_00160_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[12][1] ));
- sky130_fd_sc_hd__dfxtp_1 _19426_ (.CLK(\clknet_leaf_2_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19386_ (.CLK(\clknet_leaf_2_u_uart_core.line_clk_16x ),
     .D(_00161_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[12][2] ));
- sky130_fd_sc_hd__dfxtp_1 _19427_ (.CLK(\clknet_leaf_6_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19387_ (.CLK(\clknet_leaf_2_u_uart_core.line_clk_16x ),
     .D(_00162_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[12][3] ));
- sky130_fd_sc_hd__dfxtp_1 _19428_ (.CLK(\clknet_leaf_14_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19388_ (.CLK(\clknet_leaf_5_u_uart_core.line_clk_16x ),
     .D(_00163_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[12][4] ));
- sky130_fd_sc_hd__dfxtp_1 _19429_ (.CLK(\clknet_leaf_15_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19389_ (.CLK(\clknet_leaf_5_u_uart_core.line_clk_16x ),
     .D(_00164_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[12][5] ));
- sky130_fd_sc_hd__dfxtp_1 _19430_ (.CLK(\clknet_leaf_15_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19390_ (.CLK(\clknet_leaf_5_u_uart_core.line_clk_16x ),
     .D(_00165_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[12][6] ));
- sky130_fd_sc_hd__dfxtp_1 _19431_ (.CLK(\clknet_leaf_13_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19391_ (.CLK(\clknet_leaf_5_u_uart_core.line_clk_16x ),
     .D(_00166_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[12][7] ));
- sky130_fd_sc_hd__dfstp_1 _19432_ (.CLK(clknet_leaf_37_app_clk),
+ sky130_fd_sc_hd__dfstp_1 _19392_ (.CLK(clknet_leaf_55_app_clk),
     .D(\u_uart_core.rx_fifo_full_err ),
-    .SET_B(net104),
+    .SET_B(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo_err.in_data_s ));
- sky130_fd_sc_hd__dfstp_1 _19433_ (.CLK(clknet_leaf_38_app_clk),
-    .D(net347),
-    .SET_B(net104),
+ sky130_fd_sc_hd__dfstp_1 _19393_ (.CLK(clknet_leaf_55_app_clk),
+    .D(net378),
+    .SET_B(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo_err.in_data_2s ));
- sky130_fd_sc_hd__dfstp_1 _19434_ (.CLK(clknet_leaf_37_app_clk),
-    .D(net332),
-    .SET_B(net104),
+ sky130_fd_sc_hd__dfstp_1 _19394_ (.CLK(clknet_leaf_53_app_clk),
+    .D(\u_uart_core.u_rxfifo_err.in_data_2s ),
+    .SET_B(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.rx_fifo_full_err_o ));
- sky130_fd_sc_hd__dfstp_1 _19435_ (.CLK(clknet_leaf_37_app_clk),
+ sky130_fd_sc_hd__dfstp_1 _19395_ (.CLK(clknet_leaf_55_app_clk),
     .D(\u_uart_core.par_error ),
-    .SET_B(net104),
+    .SET_B(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_par_err.in_data_s ));
- sky130_fd_sc_hd__dfstp_1 _19436_ (.CLK(clknet_leaf_38_app_clk),
-    .D(net342),
-    .SET_B(net104),
+ sky130_fd_sc_hd__dfstp_1 _19396_ (.CLK(clknet_leaf_56_app_clk),
+    .D(net341),
+    .SET_B(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_par_err.in_data_2s ));
- sky130_fd_sc_hd__dfstp_1 _19437_ (.CLK(clknet_leaf_37_app_clk),
-    .D(net331),
-    .SET_B(net104),
+ sky130_fd_sc_hd__dfstp_1 _19397_ (.CLK(clknet_leaf_55_app_clk),
+    .D(net349),
+    .SET_B(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.par_error_o ));
- sky130_fd_sc_hd__dfstp_1 _19438_ (.CLK(clknet_leaf_37_app_clk),
+ sky130_fd_sc_hd__dfstp_1 _19398_ (.CLK(clknet_leaf_56_app_clk),
     .D(\u_uart_core.frm_error ),
-    .SET_B(net104),
+    .SET_B(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_frm_err.in_data_s ));
- sky130_fd_sc_hd__dfstp_1 _19439_ (.CLK(clknet_leaf_38_app_clk),
-    .D(net336),
-    .SET_B(net104),
+ sky130_fd_sc_hd__dfstp_1 _19399_ (.CLK(clknet_leaf_56_app_clk),
+    .D(net376),
+    .SET_B(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_frm_err.in_data_2s ));
- sky130_fd_sc_hd__dfstp_1 _19440_ (.CLK(clknet_leaf_37_app_clk),
-    .D(net334),
-    .SET_B(net104),
+ sky130_fd_sc_hd__dfstp_1 _19400_ (.CLK(clknet_leaf_56_app_clk),
+    .D(net375),
+    .SET_B(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.frm_error_o ));
- sky130_fd_sc_hd__dfstp_1 _19441_ (.CLK(\clknet_leaf_17_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfstp_1 _19401_ (.CLK(\clknet_leaf_16_u_uart_core.line_clk_16x ),
     .D(net53),
-    .SET_B(\u_uart_core.line_reset_n ),
+    .SET_B(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_si_sync.in_data_s ));
- sky130_fd_sc_hd__dfstp_2 _19442_ (.CLK(\clknet_leaf_17_u_uart_core.line_clk_16x ),
-    .D(net354),
-    .SET_B(\u_uart_core.line_reset_n ),
+ sky130_fd_sc_hd__dfstp_1 _19402_ (.CLK(\clknet_leaf_16_u_uart_core.line_clk_16x ),
+    .D(net380),
+    .SET_B(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_si_sync.in_data_2s ));
- sky130_fd_sc_hd__dfstp_1 _19443_ (.CLK(\clknet_leaf_9_u_uart_core.line_clk_16x ),
-    .D(net337),
+ sky130_fd_sc_hd__dfstp_1 _19403_ (.CLK(\clknet_leaf_16_u_uart_core.line_clk_16x ),
+    .D(net381),
     .SET_B(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.si_ss ));
- sky130_fd_sc_hd__dfrtp_1 _19444_ (.CLK(\clknet_leaf_22_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19404_ (.CLK(\clknet_leaf_26_u_uart_core.line_clk_16x ),
     .D(\u_uart_core.u_txfifo.grey_wr_ptr[0] ),
-    .RESET_B(net324),
+    .RESET_B(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.sync_wr_ptr_0[0] ));
- sky130_fd_sc_hd__dfrtp_1 _19445_ (.CLK(\clknet_leaf_20_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19405_ (.CLK(\clknet_leaf_24_u_uart_core.line_clk_16x ),
     .D(\u_uart_core.u_txfifo.grey_wr_ptr[1] ),
-    .RESET_B(net308),
+    .RESET_B(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.sync_wr_ptr_0[1] ));
- sky130_fd_sc_hd__dfrtp_1 _19446_ (.CLK(\clknet_leaf_20_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19406_ (.CLK(\clknet_leaf_23_u_uart_core.line_clk_16x ),
     .D(\u_uart_core.u_txfifo.grey_wr_ptr[2] ),
-    .RESET_B(net308),
+    .RESET_B(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.sync_wr_ptr_0[2] ));
- sky130_fd_sc_hd__dfrtp_1 _19447_ (.CLK(\clknet_leaf_23_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19407_ (.CLK(\clknet_leaf_27_u_uart_core.line_clk_16x ),
     .D(\u_uart_core.u_txfifo.grey_wr_ptr[3] ),
-    .RESET_B(net308),
+    .RESET_B(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.sync_wr_ptr_0[3] ));
- sky130_fd_sc_hd__dfrtp_1 _19448_ (.CLK(\clknet_leaf_25_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19408_ (.CLK(\clknet_leaf_27_u_uart_core.line_clk_16x ),
     .D(\u_uart_core.u_txfifo.grey_wr_ptr[4] ),
-    .RESET_B(net324),
+    .RESET_B(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.sync_wr_ptr_0[4] ));
- sky130_fd_sc_hd__dfrtp_1 _19449_ (.CLK(\clknet_leaf_22_u_uart_core.line_clk_16x ),
-    .D(net357),
-    .RESET_B(net324),
+ sky130_fd_sc_hd__dfrtp_2 _19409_ (.CLK(\clknet_leaf_23_u_uart_core.line_clk_16x ),
+    .D(net342),
+    .RESET_B(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.sync_wr_ptr_1[0] ));
- sky130_fd_sc_hd__dfrtp_1 _19450_ (.CLK(\clknet_leaf_20_u_uart_core.line_clk_16x ),
-    .D(net348),
-    .RESET_B(net308),
+ sky130_fd_sc_hd__dfrtp_1 _19410_ (.CLK(\clknet_leaf_24_u_uart_core.line_clk_16x ),
+    .D(net357),
+    .RESET_B(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.sync_wr_ptr_1[1] ));
- sky130_fd_sc_hd__dfrtp_1 _19451_ (.CLK(\clknet_leaf_22_u_uart_core.line_clk_16x ),
-    .D(\u_uart_core.u_txfifo.sync_wr_ptr_0[2] ),
-    .RESET_B(net324),
+ sky130_fd_sc_hd__dfrtp_1 _19411_ (.CLK(\clknet_leaf_23_u_uart_core.line_clk_16x ),
+    .D(net384),
+    .RESET_B(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.sync_wr_ptr_1[2] ));
- sky130_fd_sc_hd__dfrtp_1 _19452_ (.CLK(\clknet_leaf_22_u_uart_core.line_clk_16x ),
-    .D(net333),
-    .RESET_B(net324),
+ sky130_fd_sc_hd__dfrtp_2 _19412_ (.CLK(\clknet_leaf_21_u_uart_core.line_clk_16x ),
+    .D(net347),
+    .RESET_B(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.sync_wr_ptr_1[3] ));
- sky130_fd_sc_hd__dfrtp_1 _19453_ (.CLK(\clknet_leaf_23_u_uart_core.line_clk_16x ),
-    .D(net335),
-    .RESET_B(net308),
+ sky130_fd_sc_hd__dfrtp_2 _19413_ (.CLK(\clknet_leaf_21_u_uart_core.line_clk_16x ),
+    .D(\u_uart_core.u_txfifo.sync_wr_ptr_0[4] ),
+    .RESET_B(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.sync_wr_ptr[4] ));
- sky130_fd_sc_hd__dfrtp_1 _19454_ (.CLK(\clknet_leaf_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19414_ (.CLK(\clknet_leaf_17_u_uart_core.line_clk_16x ),
     .D(_00167_),
-    .RESET_B(net343),
+    .RESET_B(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfsm.rxstate[0] ));
- sky130_fd_sc_hd__dfrtp_1 _19455_ (.CLK(\clknet_leaf_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19415_ (.CLK(\clknet_leaf_17_u_uart_core.line_clk_16x ),
     .D(_00168_),
-    .RESET_B(net343),
+    .RESET_B(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfsm.rxstate[1] ));
- sky130_fd_sc_hd__dfrtp_1 _19456_ (.CLK(\clknet_leaf_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19416_ (.CLK(\clknet_leaf_17_u_uart_core.line_clk_16x ),
     .D(_00169_),
-    .RESET_B(net343),
+    .RESET_B(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfsm.rxstate[2] ));
- sky130_fd_sc_hd__dfrtp_1 _19457_ (.CLK(\clknet_leaf_23_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19417_ (.CLK(\clknet_leaf_26_u_uart_core.line_clk_16x ),
     .D(_00170_),
-    .RESET_B(net308),
+    .RESET_B(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.grey_rd_ptr[0] ));
- sky130_fd_sc_hd__dfrtp_1 _19458_ (.CLK(\clknet_leaf_24_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19418_ (.CLK(\clknet_leaf_26_u_uart_core.line_clk_16x ),
     .D(_00171_),
-    .RESET_B(net308),
+    .RESET_B(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.grey_rd_ptr[1] ));
- sky130_fd_sc_hd__dfrtp_1 _19459_ (.CLK(\clknet_leaf_23_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19419_ (.CLK(\clknet_leaf_24_u_uart_core.line_clk_16x ),
     .D(_00172_),
-    .RESET_B(net308),
+    .RESET_B(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.grey_rd_ptr[2] ));
- sky130_fd_sc_hd__dfrtp_1 _19460_ (.CLK(\clknet_leaf_23_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19420_ (.CLK(\clknet_leaf_24_u_uart_core.line_clk_16x ),
     .D(_00173_),
-    .RESET_B(net308),
+    .RESET_B(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.grey_rd_ptr[3] ));
- sky130_fd_sc_hd__dfrtp_2 _19461_ (.CLK(\clknet_leaf_24_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_2 _19421_ (.CLK(\clknet_leaf_26_u_uart_core.line_clk_16x ),
     .D(_00174_),
-    .RESET_B(net308),
+    .RESET_B(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.rd_ptr[0] ));
- sky130_fd_sc_hd__dfrtp_1 _19462_ (.CLK(\clknet_leaf_24_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19422_ (.CLK(\clknet_leaf_25_u_uart_core.line_clk_16x ),
     .D(_00175_),
-    .RESET_B(net308),
+    .RESET_B(net354),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.rd_ptr[1] ));
- sky130_fd_sc_hd__dfrtp_1 _19463_ (.CLK(\clknet_leaf_24_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19423_ (.CLK(\clknet_leaf_25_u_uart_core.line_clk_16x ),
     .D(_00176_),
-    .RESET_B(net308),
+    .RESET_B(net354),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.rd_ptr[2] ));
- sky130_fd_sc_hd__dfrtp_1 _19464_ (.CLK(\clknet_leaf_24_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19424_ (.CLK(\clknet_leaf_25_u_uart_core.line_clk_16x ),
     .D(_00177_),
-    .RESET_B(net308),
+    .RESET_B(net354),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.rd_ptr[3] ));
- sky130_fd_sc_hd__dfrtp_2 _19465_ (.CLK(\clknet_leaf_24_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_2 _19425_ (.CLK(\clknet_leaf_25_u_uart_core.line_clk_16x ),
     .D(_00178_),
-    .RESET_B(net308),
+    .RESET_B(net354),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.grey_rd_ptr[4] ));
- sky130_fd_sc_hd__dfrtp_1 _19466_ (.CLK(clknet_leaf_59_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19426_ (.CLK(clknet_leaf_59_app_clk),
     .D(\u_uart_core.u_txfifo.grey_rd_ptr[0] ),
-    .RESET_B(net322),
+    .RESET_B(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.sync_rd_ptr_0[0] ));
- sky130_fd_sc_hd__dfrtp_1 _19467_ (.CLK(clknet_leaf_58_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19427_ (.CLK(clknet_leaf_59_app_clk),
     .D(\u_uart_core.u_txfifo.grey_rd_ptr[1] ),
-    .RESET_B(net322),
+    .RESET_B(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.sync_rd_ptr_0[1] ));
- sky130_fd_sc_hd__dfrtp_1 _19468_ (.CLK(clknet_leaf_58_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19428_ (.CLK(clknet_leaf_61_app_clk),
     .D(\u_uart_core.u_txfifo.grey_rd_ptr[2] ),
-    .RESET_B(net322),
+    .RESET_B(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.sync_rd_ptr_0[2] ));
- sky130_fd_sc_hd__dfrtp_1 _19469_ (.CLK(clknet_leaf_58_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19429_ (.CLK(clknet_leaf_57_app_clk),
     .D(\u_uart_core.u_txfifo.grey_rd_ptr[3] ),
-    .RESET_B(net322),
+    .RESET_B(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.sync_rd_ptr_0[3] ));
- sky130_fd_sc_hd__dfrtp_1 _19470_ (.CLK(clknet_leaf_58_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19430_ (.CLK(clknet_leaf_61_app_clk),
     .D(\u_uart_core.u_txfifo.grey_rd_ptr[4] ),
-    .RESET_B(net322),
+    .RESET_B(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.sync_rd_ptr_0[4] ));
- sky130_fd_sc_hd__dfrtp_1 _19471_ (.CLK(clknet_leaf_54_app_clk),
-    .D(\u_uart_core.u_txfifo.sync_rd_ptr_0[0] ),
-    .RESET_B(net322),
+ sky130_fd_sc_hd__dfrtp_1 _19431_ (.CLK(clknet_leaf_59_app_clk),
+    .D(net383),
+    .RESET_B(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.sync_rd_ptr_1[0] ));
- sky130_fd_sc_hd__dfrtp_1 _19472_ (.CLK(clknet_leaf_59_app_clk),
-    .D(net313),
-    .RESET_B(net322),
+ sky130_fd_sc_hd__dfrtp_2 _19432_ (.CLK(clknet_leaf_58_app_clk),
+    .D(net348),
+    .RESET_B(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.sync_rd_ptr_1[1] ));
- sky130_fd_sc_hd__dfrtp_2 _19473_ (.CLK(clknet_leaf_58_app_clk),
-    .D(net367),
-    .RESET_B(net322),
+ sky130_fd_sc_hd__dfrtp_2 _19433_ (.CLK(clknet_leaf_57_app_clk),
+    .D(net339),
+    .RESET_B(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.sync_rd_ptr_1[2] ));
- sky130_fd_sc_hd__dfrtp_1 _19474_ (.CLK(clknet_leaf_58_app_clk),
-    .D(net366),
-    .RESET_B(net322),
+ sky130_fd_sc_hd__dfrtp_1 _19434_ (.CLK(clknet_leaf_57_app_clk),
+    .D(net360),
+    .RESET_B(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.sync_rd_ptr_1[3] ));
- sky130_fd_sc_hd__dfrtp_4 _19475_ (.CLK(clknet_leaf_58_app_clk),
-    .D(net349),
-    .RESET_B(net322),
+ sky130_fd_sc_hd__dfrtp_4 _19435_ (.CLK(clknet_leaf_57_app_clk),
+    .D(net340),
+    .RESET_B(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.sync_rd_ptr[4] ));
- sky130_fd_sc_hd__dfstp_1 _19476_ (.CLK(\clknet_leaf_23_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfstp_1 _19436_ (.CLK(\clknet_leaf_24_u_uart_core.line_clk_16x ),
     .D(_00179_),
     .SET_B(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
@@ -234673,191 +233880,191 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.tx_fifo_rd_empty ));
- sky130_fd_sc_hd__dfrtp_1 _19477_ (.CLK(clknet_leaf_47_app_clk),
+ sky130_fd_sc_hd__dfrtp_2 _19437_ (.CLK(clknet_leaf_46_app_clk),
     .D(_00180_),
-    .RESET_B(net322),
+    .RESET_B(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.wr_ptr[0] ));
- sky130_fd_sc_hd__dfrtp_1 _19478_ (.CLK(clknet_leaf_47_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19438_ (.CLK(clknet_leaf_46_app_clk),
     .D(_00181_),
-    .RESET_B(net322),
+    .RESET_B(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.wr_ptr[1] ));
- sky130_fd_sc_hd__dfrtp_1 _19479_ (.CLK(clknet_leaf_47_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19439_ (.CLK(clknet_leaf_46_app_clk),
     .D(_00182_),
-    .RESET_B(net322),
+    .RESET_B(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.wr_ptr[2] ));
- sky130_fd_sc_hd__dfrtp_1 _19480_ (.CLK(clknet_leaf_47_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19440_ (.CLK(clknet_leaf_46_app_clk),
     .D(_00183_),
-    .RESET_B(net322),
+    .RESET_B(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.wr_ptr[3] ));
- sky130_fd_sc_hd__dfrtp_1 _19481_ (.CLK(clknet_leaf_54_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19441_ (.CLK(clknet_leaf_59_app_clk),
     .D(_00184_),
-    .RESET_B(net322),
+    .RESET_B(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.grey_wr_ptr[0] ));
- sky130_fd_sc_hd__dfrtp_1 _19482_ (.CLK(clknet_leaf_53_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19442_ (.CLK(clknet_leaf_59_app_clk),
     .D(_00185_),
-    .RESET_B(net322),
+    .RESET_B(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.grey_wr_ptr[1] ));
- sky130_fd_sc_hd__dfrtp_1 _19483_ (.CLK(clknet_leaf_53_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19443_ (.CLK(clknet_leaf_59_app_clk),
     .D(_00186_),
-    .RESET_B(net322),
+    .RESET_B(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.grey_wr_ptr[2] ));
- sky130_fd_sc_hd__dfrtp_1 _19484_ (.CLK(clknet_leaf_59_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19444_ (.CLK(clknet_leaf_59_app_clk),
     .D(_00187_),
-    .RESET_B(net322),
+    .RESET_B(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.grey_wr_ptr[3] ));
- sky130_fd_sc_hd__dfrtp_4 _19485_ (.CLK(clknet_leaf_59_app_clk),
+ sky130_fd_sc_hd__dfrtp_2 _19445_ (.CLK(clknet_leaf_47_app_clk),
     .D(_00188_),
-    .RESET_B(net322),
+    .RESET_B(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.grey_wr_ptr[4] ));
- sky130_fd_sc_hd__dfxtp_1 _19486_ (.CLK(clknet_leaf_61_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19446_ (.CLK(clknet_leaf_75_app_clk),
     .D(_00189_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[3][0] ));
- sky130_fd_sc_hd__dfxtp_1 _19487_ (.CLK(clknet_leaf_61_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19447_ (.CLK(clknet_leaf_75_app_clk),
     .D(_00190_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[3][1] ));
- sky130_fd_sc_hd__dfxtp_1 _19488_ (.CLK(clknet_leaf_61_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19448_ (.CLK(clknet_leaf_75_app_clk),
     .D(_00191_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[3][2] ));
- sky130_fd_sc_hd__dfxtp_1 _19489_ (.CLK(clknet_leaf_61_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19449_ (.CLK(clknet_leaf_76_app_clk),
     .D(_00192_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[3][3] ));
- sky130_fd_sc_hd__dfxtp_1 _19490_ (.CLK(clknet_leaf_74_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19450_ (.CLK(clknet_leaf_77_app_clk),
     .D(_00193_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[3][4] ));
- sky130_fd_sc_hd__dfxtp_1 _19491_ (.CLK(clknet_leaf_74_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19451_ (.CLK(clknet_leaf_77_app_clk),
     .D(_00194_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[3][5] ));
- sky130_fd_sc_hd__dfxtp_1 _19492_ (.CLK(clknet_leaf_74_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19452_ (.CLK(clknet_leaf_77_app_clk),
     .D(_00195_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[3][6] ));
- sky130_fd_sc_hd__dfxtp_1 _19493_ (.CLK(clknet_leaf_74_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19453_ (.CLK(clknet_leaf_76_app_clk),
     .D(_00196_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[3][7] ));
- sky130_fd_sc_hd__dfxtp_1 _19494_ (.CLK(\clknet_leaf_5_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19454_ (.CLK(\clknet_leaf_4_u_uart_core.line_clk_16x ),
     .D(_00197_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[1][0] ));
- sky130_fd_sc_hd__dfxtp_1 _19495_ (.CLK(\clknet_leaf_3_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19455_ (.CLK(\clknet_leaf_2_u_uart_core.line_clk_16x ),
     .D(_00198_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[1][1] ));
- sky130_fd_sc_hd__dfxtp_1 _19496_ (.CLK(\clknet_leaf_2_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19456_ (.CLK(\clknet_leaf_2_u_uart_core.line_clk_16x ),
     .D(_00199_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[1][2] ));
- sky130_fd_sc_hd__dfxtp_1 _19497_ (.CLK(\clknet_leaf_7_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19457_ (.CLK(\clknet_leaf_3_u_uart_core.line_clk_16x ),
     .D(_00200_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[1][3] ));
- sky130_fd_sc_hd__dfxtp_1 _19498_ (.CLK(\clknet_leaf_13_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19458_ (.CLK(\clknet_leaf_5_u_uart_core.line_clk_16x ),
     .D(_00201_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[1][4] ));
- sky130_fd_sc_hd__dfxtp_1 _19499_ (.CLK(\clknet_leaf_13_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19459_ (.CLK(\clknet_leaf_5_u_uart_core.line_clk_16x ),
     .D(_00202_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[1][5] ));
- sky130_fd_sc_hd__dfxtp_1 _19500_ (.CLK(\clknet_leaf_12_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19460_ (.CLK(\clknet_leaf_5_u_uart_core.line_clk_16x ),
     .D(_00203_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[1][6] ));
- sky130_fd_sc_hd__dfxtp_1 _19501_ (.CLK(\clknet_leaf_13_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19461_ (.CLK(\clknet_leaf_5_u_uart_core.line_clk_16x ),
     .D(_00204_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[1][7] ));
- sky130_fd_sc_hd__dfrtp_1 _19502_ (.CLK(clknet_leaf_0_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19462_ (.CLK(clknet_leaf_6_app_clk),
     .D(_00205_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -234865,7 +234072,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.cfg_dataout[8] ));
- sky130_fd_sc_hd__dfrtp_1 _19503_ (.CLK(clknet_leaf_0_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19463_ (.CLK(clknet_leaf_5_app_clk),
     .D(_00206_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -234873,7 +234080,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.cfg_dataout[9] ));
- sky130_fd_sc_hd__dfrtp_1 _19504_ (.CLK(clknet_leaf_0_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19464_ (.CLK(clknet_leaf_6_app_clk),
     .D(_00207_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -234881,7 +234088,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.cfg_dataout[10] ));
- sky130_fd_sc_hd__dfrtp_1 _19505_ (.CLK(clknet_leaf_0_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19465_ (.CLK(clknet_leaf_6_app_clk),
     .D(_00208_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -234889,7 +234096,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.cfg_dataout[11] ));
- sky130_fd_sc_hd__dfrtp_1 _19506_ (.CLK(clknet_leaf_3_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19466_ (.CLK(clknet_leaf_19_app_clk),
     .D(_00209_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -234897,7 +234104,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.cfg_dataout[12] ));
- sky130_fd_sc_hd__dfrtp_1 _19507_ (.CLK(clknet_leaf_3_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19467_ (.CLK(clknet_leaf_19_app_clk),
     .D(_00210_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -234905,7 +234112,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.cfg_dataout[13] ));
- sky130_fd_sc_hd__dfrtp_1 _19508_ (.CLK(clknet_leaf_6_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19468_ (.CLK(clknet_leaf_5_app_clk),
     .D(_00211_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -234913,7 +234120,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.cfg_dataout[14] ));
- sky130_fd_sc_hd__dfrtp_1 _19509_ (.CLK(clknet_leaf_7_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19469_ (.CLK(clknet_leaf_19_app_clk),
     .D(_00212_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -234921,39 +234128,39 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.cfg_dataout[15] ));
- sky130_fd_sc_hd__dfrtp_1 _19510_ (.CLK(clknet_leaf_37_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19470_ (.CLK(clknet_leaf_51_app_clk),
     .D(\u_uart_core.u_rxfifo.grey_wr_ptr[0] ),
-    .RESET_B(net104),
+    .RESET_B(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.sync_wr_ptr_0[0] ));
- sky130_fd_sc_hd__dfrtp_1 _19511_ (.CLK(clknet_leaf_37_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19471_ (.CLK(clknet_leaf_51_app_clk),
     .D(\u_uart_core.u_rxfifo.grey_wr_ptr[1] ),
-    .RESET_B(net104),
+    .RESET_B(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.sync_wr_ptr_0[1] ));
- sky130_fd_sc_hd__dfrtp_1 _19512_ (.CLK(clknet_leaf_37_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19472_ (.CLK(clknet_leaf_51_app_clk),
     .D(\u_uart_core.u_rxfifo.grey_wr_ptr[2] ),
-    .RESET_B(net104),
+    .RESET_B(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.sync_wr_ptr_0[2] ));
- sky130_fd_sc_hd__dfrtp_1 _19513_ (.CLK(clknet_leaf_37_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19473_ (.CLK(clknet_leaf_55_app_clk),
     .D(\u_uart_core.u_rxfifo.grey_wr_ptr[3] ),
-    .RESET_B(net104),
+    .RESET_B(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.sync_wr_ptr_0[3] ));
- sky130_fd_sc_hd__dfrtp_1 _19514_ (.CLK(clknet_leaf_37_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19474_ (.CLK(clknet_leaf_51_app_clk),
     .D(\u_uart_core.u_rxfifo.grey_wr_ptr[4] ),
     .RESET_B(net104),
     .VGND(vssd1),
@@ -234961,63 +234168,63 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.sync_wr_ptr_0[4] ));
- sky130_fd_sc_hd__dfrtp_1 _19515_ (.CLK(clknet_leaf_37_app_clk),
-    .D(\u_uart_core.u_rxfifo.sync_wr_ptr_0[0] ),
-    .RESET_B(net104),
+ sky130_fd_sc_hd__dfrtp_1 _19475_ (.CLK(clknet_leaf_51_app_clk),
+    .D(net370),
+    .RESET_B(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.sync_wr_ptr_1[0] ));
- sky130_fd_sc_hd__dfrtp_1 _19516_ (.CLK(clknet_leaf_37_app_clk),
-    .D(net350),
-    .RESET_B(net104),
+ sky130_fd_sc_hd__dfrtp_2 _19476_ (.CLK(clknet_leaf_51_app_clk),
+    .D(net365),
+    .RESET_B(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.sync_wr_ptr_1[1] ));
- sky130_fd_sc_hd__dfrtp_1 _19517_ (.CLK(clknet_leaf_37_app_clk),
-    .D(\u_uart_core.u_rxfifo.sync_wr_ptr_0[2] ),
-    .RESET_B(net104),
+ sky130_fd_sc_hd__dfrtp_2 _19477_ (.CLK(clknet_leaf_55_app_clk),
+    .D(net346),
+    .RESET_B(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.sync_wr_ptr_1[2] ));
- sky130_fd_sc_hd__dfrtp_1 _19518_ (.CLK(clknet_leaf_37_app_clk),
-    .D(net370),
-    .RESET_B(net104),
+ sky130_fd_sc_hd__dfrtp_2 _19478_ (.CLK(clknet_leaf_55_app_clk),
+    .D(net374),
+    .RESET_B(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.sync_wr_ptr_1[3] ));
- sky130_fd_sc_hd__dfrtp_1 _19519_ (.CLK(clknet_leaf_37_app_clk),
-    .D(net368),
+ sky130_fd_sc_hd__dfrtp_4 _19479_ (.CLK(clknet_leaf_51_app_clk),
+    .D(net369),
     .RESET_B(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.sync_wr_ptr[4] ));
- sky130_fd_sc_hd__dfrtp_1 _19520_ (.CLK(clknet_leaf_56_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19480_ (.CLK(clknet_leaf_51_app_clk),
     .D(_00213_),
-    .RESET_B(net325),
+    .RESET_B(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_clk_ctl.low_count[0] ));
- sky130_fd_sc_hd__dfrtp_1 _19521_ (.CLK(clknet_leaf_56_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19481_ (.CLK(clknet_leaf_51_app_clk),
     .D(_00214_),
-    .RESET_B(net325),
+    .RESET_B(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_clk_ctl.low_count[1] ));
- sky130_fd_sc_hd__dfrtp_1 _19522_ (.CLK(clknet_leaf_56_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19482_ (.CLK(clknet_leaf_51_app_clk),
     .D(_00215_),
     .RESET_B(net104),
     .VGND(vssd1),
@@ -235025,31 +234232,31 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_clk_ctl.low_count[2] ));
- sky130_fd_sc_hd__dfrtp_1 _19523_ (.CLK(clknet_leaf_56_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19483_ (.CLK(clknet_leaf_54_app_clk),
     .D(_00216_),
-    .RESET_B(net104),
+    .RESET_B(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_clk_ctl.low_count[3] ));
- sky130_fd_sc_hd__dfrtp_1 _19524_ (.CLK(clknet_leaf_56_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19484_ (.CLK(clknet_leaf_54_app_clk),
     .D(_00217_),
-    .RESET_B(net325),
+    .RESET_B(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_clk_ctl.low_count[4] ));
- sky130_fd_sc_hd__dfrtp_1 _19525_ (.CLK(clknet_leaf_56_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19485_ (.CLK(clknet_leaf_55_app_clk),
     .D(_00218_),
-    .RESET_B(net325),
+    .RESET_B(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_clk_ctl.low_count[5] ));
- sky130_fd_sc_hd__dfrtp_1 _19526_ (.CLK(clknet_leaf_57_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19486_ (.CLK(clknet_leaf_56_app_clk),
     .D(_00219_),
     .RESET_B(net105),
     .VGND(vssd1),
@@ -235057,7 +234264,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_clk_ctl.low_count[6] ));
- sky130_fd_sc_hd__dfrtp_1 _19527_ (.CLK(clknet_leaf_57_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19487_ (.CLK(clknet_leaf_56_app_clk),
     .D(_00220_),
     .RESET_B(net105),
     .VGND(vssd1),
@@ -235065,7 +234272,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_clk_ctl.low_count[7] ));
- sky130_fd_sc_hd__dfrtp_1 _19528_ (.CLK(clknet_leaf_57_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19488_ (.CLK(clknet_leaf_56_app_clk),
     .D(_00221_),
     .RESET_B(net105),
     .VGND(vssd1),
@@ -235073,7 +234280,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_clk_ctl.low_count[8] ));
- sky130_fd_sc_hd__dfrtp_1 _19529_ (.CLK(clknet_leaf_57_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19489_ (.CLK(clknet_leaf_58_app_clk),
     .D(_00222_),
     .RESET_B(net105),
     .VGND(vssd1),
@@ -235081,7 +234288,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_clk_ctl.low_count[9] ));
- sky130_fd_sc_hd__dfrtp_1 _19530_ (.CLK(clknet_leaf_57_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19490_ (.CLK(clknet_leaf_58_app_clk),
     .D(_00223_),
     .RESET_B(net105),
     .VGND(vssd1),
@@ -235089,7 +234296,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_clk_ctl.low_count[10] ));
- sky130_fd_sc_hd__dfrtp_1 _19531_ (.CLK(clknet_leaf_57_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19491_ (.CLK(clknet_leaf_54_app_clk),
     .D(_00224_),
     .RESET_B(net105),
     .VGND(vssd1),
@@ -235097,7 +234304,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_clk_ctl.low_count[11] ));
- sky130_fd_sc_hd__dfrtp_1 _19532_ (.CLK(clknet_leaf_51_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19492_ (.CLK(clknet_leaf_50_app_clk),
     .D(_00225_),
     .RESET_B(net104),
     .VGND(vssd1),
@@ -235105,7 +234312,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.grey_rd_ptr[0] ));
- sky130_fd_sc_hd__dfrtp_1 _19533_ (.CLK(clknet_leaf_50_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19493_ (.CLK(clknet_leaf_50_app_clk),
     .D(_00226_),
     .RESET_B(net104),
     .VGND(vssd1),
@@ -235113,7 +234320,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.grey_rd_ptr[1] ));
- sky130_fd_sc_hd__dfrtp_1 _19534_ (.CLK(clknet_leaf_51_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19494_ (.CLK(clknet_leaf_50_app_clk),
     .D(_00227_),
     .RESET_B(net104),
     .VGND(vssd1),
@@ -235121,7 +234328,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.grey_rd_ptr[2] ));
- sky130_fd_sc_hd__dfrtp_1 _19535_ (.CLK(clknet_leaf_51_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19495_ (.CLK(clknet_leaf_50_app_clk),
     .D(_00228_),
     .RESET_B(net104),
     .VGND(vssd1),
@@ -235129,7 +234336,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.grey_rd_ptr[3] ));
- sky130_fd_sc_hd__dfrtp_1 _19536_ (.CLK(clknet_leaf_50_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19496_ (.CLK(clknet_leaf_36_app_clk),
     .D(_00229_),
     .RESET_B(net104),
     .VGND(vssd1),
@@ -235137,7 +234344,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.rd_ptr[0] ));
- sky130_fd_sc_hd__dfrtp_4 _19537_ (.CLK(clknet_leaf_51_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19497_ (.CLK(clknet_leaf_37_app_clk),
     .D(_00230_),
     .RESET_B(net104),
     .VGND(vssd1),
@@ -235145,7 +234352,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.rd_ptr[1] ));
- sky130_fd_sc_hd__dfrtp_1 _19538_ (.CLK(clknet_leaf_51_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19498_ (.CLK(clknet_leaf_37_app_clk),
     .D(_00231_),
     .RESET_B(net104),
     .VGND(vssd1),
@@ -235153,7 +234360,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.rd_ptr[2] ));
- sky130_fd_sc_hd__dfrtp_4 _19539_ (.CLK(clknet_leaf_51_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19499_ (.CLK(clknet_leaf_37_app_clk),
     .D(_00232_),
     .RESET_B(net104),
     .VGND(vssd1),
@@ -235161,7 +234368,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.rd_ptr[3] ));
- sky130_fd_sc_hd__dfrtp_4 _19540_ (.CLK(clknet_leaf_51_app_clk),
+ sky130_fd_sc_hd__dfrtp_2 _19500_ (.CLK(clknet_leaf_37_app_clk),
     .D(_00233_),
     .RESET_B(net104),
     .VGND(vssd1),
@@ -235169,23 +234376,23 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.grey_rd_ptr[4] ));
- sky130_fd_sc_hd__dfrtp_1 _19541_ (.CLK(\clknet_leaf_11_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19501_ (.CLK(\clknet_2_1_0_u_uart_core.line_clk_16x ),
     .D(\u_uart_core.u_rxfifo.grey_rd_ptr[0] ),
-    .RESET_B(net343),
+    .RESET_B(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.sync_rd_ptr_0[0] ));
- sky130_fd_sc_hd__dfrtp_1 _19542_ (.CLK(\clknet_leaf_11_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19502_ (.CLK(\clknet_leaf_11_u_uart_core.line_clk_16x ),
     .D(\u_uart_core.u_rxfifo.grey_rd_ptr[1] ),
-    .RESET_B(net343),
+    .RESET_B(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.sync_rd_ptr_0[1] ));
- sky130_fd_sc_hd__dfrtp_1 _19543_ (.CLK(\clknet_leaf_18_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19503_ (.CLK(\clknet_leaf_10_u_uart_core.line_clk_16x ),
     .D(\u_uart_core.u_rxfifo.grey_rd_ptr[2] ),
     .RESET_B(net103),
     .VGND(vssd1),
@@ -235193,7 +234400,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.sync_rd_ptr_0[2] ));
- sky130_fd_sc_hd__dfrtp_1 _19544_ (.CLK(\clknet_1_0_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19504_ (.CLK(\clknet_leaf_10_u_uart_core.line_clk_16x ),
     .D(\u_uart_core.u_rxfifo.grey_rd_ptr[3] ),
     .RESET_B(net103),
     .VGND(vssd1),
@@ -235201,55 +234408,55 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.sync_rd_ptr_0[3] ));
- sky130_fd_sc_hd__dfrtp_1 _19545_ (.CLK(\clknet_leaf_11_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19505_ (.CLK(\clknet_leaf_10_u_uart_core.line_clk_16x ),
     .D(\u_uart_core.u_rxfifo.grey_rd_ptr[4] ),
-    .RESET_B(net343),
+    .RESET_B(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.sync_rd_ptr_0[4] ));
- sky130_fd_sc_hd__dfrtp_1 _19546_ (.CLK(\clknet_leaf_11_u_uart_core.line_clk_16x ),
-    .D(net359),
-    .RESET_B(net343),
+ sky130_fd_sc_hd__dfrtp_1 _19506_ (.CLK(\clknet_leaf_19_u_uart_core.line_clk_16x ),
+    .D(net326),
+    .RESET_B(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.sync_rd_ptr_1[0] ));
- sky130_fd_sc_hd__dfrtp_1 _19547_ (.CLK(\clknet_leaf_11_u_uart_core.line_clk_16x ),
-    .D(net360),
-    .RESET_B(net343),
+ sky130_fd_sc_hd__dfrtp_1 _19507_ (.CLK(\clknet_leaf_11_u_uart_core.line_clk_16x ),
+    .D(net356),
+    .RESET_B(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.sync_rd_ptr_1[1] ));
- sky130_fd_sc_hd__dfrtp_1 _19548_ (.CLK(\clknet_leaf_10_u_uart_core.line_clk_16x ),
-    .D(net306),
-    .RESET_B(net343),
+ sky130_fd_sc_hd__dfrtp_1 _19508_ (.CLK(\clknet_leaf_11_u_uart_core.line_clk_16x ),
+    .D(net353),
+    .RESET_B(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.sync_rd_ptr_1[2] ));
- sky130_fd_sc_hd__dfrtp_2 _19549_ (.CLK(\clknet_leaf_10_u_uart_core.line_clk_16x ),
-    .D(net300),
-    .RESET_B(net343),
+ sky130_fd_sc_hd__dfrtp_2 _19509_ (.CLK(\clknet_leaf_10_u_uart_core.line_clk_16x ),
+    .D(net373),
+    .RESET_B(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.sync_rd_ptr_1[3] ));
- sky130_fd_sc_hd__dfrtp_2 _19550_ (.CLK(\clknet_leaf_10_u_uart_core.line_clk_16x ),
-    .D(net321),
-    .RESET_B(net343),
+ sky130_fd_sc_hd__dfrtp_2 _19510_ (.CLK(\clknet_leaf_10_u_uart_core.line_clk_16x ),
+    .D(net367),
+    .RESET_B(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.sync_rd_ptr[4] ));
- sky130_fd_sc_hd__dfstp_1 _19551_ (.CLK(clknet_leaf_51_app_clk),
+ sky130_fd_sc_hd__dfstp_1 _19511_ (.CLK(clknet_leaf_49_app_clk),
     .D(_00234_),
     .SET_B(net104),
     .VGND(vssd1),
@@ -235257,607 +234464,607 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.app_rxfifo_empty ));
- sky130_fd_sc_hd__dfrtp_1 _19552_ (.CLK(\clknet_leaf_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19512_ (.CLK(\clknet_leaf_12_u_uart_core.line_clk_16x ),
     .D(_00235_),
-    .RESET_B(net343),
+    .RESET_B(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.wr_ptr[0] ));
- sky130_fd_sc_hd__dfrtp_1 _19553_ (.CLK(\clknet_leaf_10_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19513_ (.CLK(\clknet_leaf_12_u_uart_core.line_clk_16x ),
     .D(_00236_),
-    .RESET_B(net343),
+    .RESET_B(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.wr_ptr[1] ));
- sky130_fd_sc_hd__dfrtp_1 _19554_ (.CLK(\clknet_leaf_10_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19514_ (.CLK(\clknet_leaf_13_u_uart_core.line_clk_16x ),
     .D(_00237_),
-    .RESET_B(net343),
+    .RESET_B(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.wr_ptr[2] ));
- sky130_fd_sc_hd__dfrtp_1 _19555_ (.CLK(\clknet_leaf_10_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19515_ (.CLK(\clknet_leaf_11_u_uart_core.line_clk_16x ),
     .D(_00238_),
-    .RESET_B(net343),
+    .RESET_B(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.wr_ptr[3] ));
- sky130_fd_sc_hd__dfrtp_1 _19556_ (.CLK(\clknet_leaf_26_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19516_ (.CLK(\clknet_leaf_11_u_uart_core.line_clk_16x ),
     .D(_00239_),
-    .RESET_B(net343),
+    .RESET_B(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.grey_wr_ptr[0] ));
- sky130_fd_sc_hd__dfrtp_1 _19557_ (.CLK(\clknet_leaf_9_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19517_ (.CLK(\clknet_leaf_19_u_uart_core.line_clk_16x ),
     .D(_00240_),
-    .RESET_B(net343),
+    .RESET_B(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.grey_wr_ptr[1] ));
- sky130_fd_sc_hd__dfrtp_1 _19558_ (.CLK(\clknet_leaf_10_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19518_ (.CLK(\clknet_leaf_19_u_uart_core.line_clk_16x ),
     .D(_00241_),
-    .RESET_B(net343),
+    .RESET_B(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.grey_wr_ptr[2] ));
- sky130_fd_sc_hd__dfrtp_1 _19559_ (.CLK(\clknet_leaf_26_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19519_ (.CLK(\clknet_leaf_19_u_uart_core.line_clk_16x ),
     .D(_00242_),
-    .RESET_B(net343),
+    .RESET_B(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.grey_wr_ptr[3] ));
- sky130_fd_sc_hd__dfrtp_4 _19560_ (.CLK(\clknet_leaf_26_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_4 _19520_ (.CLK(\clknet_leaf_11_u_uart_core.line_clk_16x ),
     .D(_00243_),
-    .RESET_B(net343),
+    .RESET_B(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.grey_wr_ptr[4] ));
- sky130_fd_sc_hd__dfrtp_1 _19561_ (.CLK(\clknet_leaf_23_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19521_ (.CLK(\clknet_leaf_23_u_uart_core.line_clk_16x ),
     .D(_00244_),
-    .RESET_B(net308),
+    .RESET_B(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfsm.txdata[0] ));
- sky130_fd_sc_hd__dfrtp_1 _19562_ (.CLK(\clknet_leaf_23_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19522_ (.CLK(\clknet_leaf_23_u_uart_core.line_clk_16x ),
     .D(_00245_),
-    .RESET_B(net308),
+    .RESET_B(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfsm.txdata[1] ));
- sky130_fd_sc_hd__dfrtp_1 _19563_ (.CLK(\clknet_leaf_23_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19523_ (.CLK(\clknet_leaf_24_u_uart_core.line_clk_16x ),
     .D(_00246_),
-    .RESET_B(net308),
+    .RESET_B(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfsm.txdata[2] ));
- sky130_fd_sc_hd__dfrtp_1 _19564_ (.CLK(\clknet_leaf_23_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19524_ (.CLK(\clknet_leaf_26_u_uart_core.line_clk_16x ),
     .D(_00247_),
-    .RESET_B(net308),
+    .RESET_B(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfsm.txdata[3] ));
- sky130_fd_sc_hd__dfrtp_1 _19565_ (.CLK(\clknet_leaf_25_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19525_ (.CLK(\clknet_leaf_25_u_uart_core.line_clk_16x ),
     .D(_00248_),
-    .RESET_B(net324),
+    .RESET_B(net354),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfsm.txdata[4] ));
- sky130_fd_sc_hd__dfrtp_1 _19566_ (.CLK(\clknet_leaf_23_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19526_ (.CLK(\clknet_leaf_24_u_uart_core.line_clk_16x ),
     .D(_00249_),
-    .RESET_B(net308),
+    .RESET_B(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfsm.txdata[5] ));
- sky130_fd_sc_hd__dfrtp_1 _19567_ (.CLK(\clknet_leaf_25_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19527_ (.CLK(\clknet_leaf_24_u_uart_core.line_clk_16x ),
     .D(_00250_),
-    .RESET_B(net324),
+    .RESET_B(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfsm.txdata[6] ));
- sky130_fd_sc_hd__dfrtp_1 _19568_ (.CLK(\clknet_leaf_23_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19528_ (.CLK(\clknet_leaf_24_u_uart_core.line_clk_16x ),
     .D(_00251_),
-    .RESET_B(net308),
+    .RESET_B(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfsm.txdata[7] ));
- sky130_fd_sc_hd__dfxtp_1 _19569_ (.CLK(clknet_leaf_161_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19529_ (.CLK(clknet_leaf_13_usb_clk),
     .D(_00252_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][0] ));
- sky130_fd_sc_hd__dfxtp_1 _19570_ (.CLK(clknet_leaf_156_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19530_ (.CLK(clknet_leaf_0_usb_clk),
     .D(_00253_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][1] ));
- sky130_fd_sc_hd__dfxtp_1 _19571_ (.CLK(clknet_leaf_156_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19531_ (.CLK(clknet_leaf_13_usb_clk),
     .D(_00254_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][2] ));
- sky130_fd_sc_hd__dfxtp_1 _19572_ (.CLK(clknet_leaf_156_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19532_ (.CLK(clknet_leaf_150_usb_clk),
     .D(_00255_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][3] ));
- sky130_fd_sc_hd__dfxtp_1 _19573_ (.CLK(clknet_leaf_154_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19533_ (.CLK(clknet_leaf_0_usb_clk),
     .D(_00256_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][4] ));
- sky130_fd_sc_hd__dfxtp_1 _19574_ (.CLK(clknet_leaf_154_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19534_ (.CLK(clknet_leaf_0_usb_clk),
     .D(_00257_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][5] ));
- sky130_fd_sc_hd__dfxtp_1 _19575_ (.CLK(clknet_leaf_154_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19535_ (.CLK(clknet_leaf_0_usb_clk),
     .D(_00258_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][6] ));
- sky130_fd_sc_hd__dfxtp_1 _19576_ (.CLK(clknet_leaf_160_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19536_ (.CLK(clknet_leaf_0_usb_clk),
     .D(_00259_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][7] ));
- sky130_fd_sc_hd__dfxtp_1 _19577_ (.CLK(clknet_leaf_163_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19537_ (.CLK(clknet_leaf_150_usb_clk),
     .D(_00260_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][8] ));
- sky130_fd_sc_hd__dfxtp_1 _19578_ (.CLK(clknet_leaf_0_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19538_ (.CLK(clknet_leaf_150_usb_clk),
     .D(_00261_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][9] ));
- sky130_fd_sc_hd__dfxtp_1 _19579_ (.CLK(clknet_leaf_0_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19539_ (.CLK(clknet_leaf_0_usb_clk),
     .D(_00262_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][10] ));
- sky130_fd_sc_hd__dfxtp_1 _19580_ (.CLK(clknet_leaf_163_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19540_ (.CLK(clknet_leaf_150_usb_clk),
     .D(_00263_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][11] ));
- sky130_fd_sc_hd__dfxtp_1 _19581_ (.CLK(clknet_leaf_163_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19541_ (.CLK(clknet_leaf_1_usb_clk),
     .D(_00264_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][12] ));
- sky130_fd_sc_hd__dfxtp_1 _19582_ (.CLK(clknet_leaf_163_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19542_ (.CLK(clknet_leaf_2_usb_clk),
     .D(_00265_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][13] ));
- sky130_fd_sc_hd__dfxtp_1 _19583_ (.CLK(clknet_leaf_163_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19543_ (.CLK(clknet_leaf_1_usb_clk),
     .D(_00266_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][14] ));
- sky130_fd_sc_hd__dfxtp_1 _19584_ (.CLK(clknet_leaf_2_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19544_ (.CLK(clknet_leaf_2_usb_clk),
     .D(_00267_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][15] ));
- sky130_fd_sc_hd__dfxtp_1 _19585_ (.CLK(clknet_leaf_2_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19545_ (.CLK(clknet_leaf_3_usb_clk),
     .D(_00268_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][16] ));
- sky130_fd_sc_hd__dfxtp_1 _19586_ (.CLK(clknet_leaf_1_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19546_ (.CLK(clknet_leaf_3_usb_clk),
     .D(_00269_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][17] ));
- sky130_fd_sc_hd__dfxtp_1 _19587_ (.CLK(clknet_leaf_2_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19547_ (.CLK(clknet_leaf_3_usb_clk),
     .D(_00270_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][18] ));
- sky130_fd_sc_hd__dfxtp_1 _19588_ (.CLK(clknet_leaf_1_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19548_ (.CLK(clknet_leaf_3_usb_clk),
     .D(_00271_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][19] ));
- sky130_fd_sc_hd__dfxtp_1 _19589_ (.CLK(clknet_leaf_1_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19549_ (.CLK(clknet_leaf_2_usb_clk),
     .D(_00272_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][20] ));
- sky130_fd_sc_hd__dfxtp_1 _19590_ (.CLK(clknet_leaf_1_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19550_ (.CLK(clknet_leaf_2_usb_clk),
     .D(_00273_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][21] ));
- sky130_fd_sc_hd__dfxtp_1 _19591_ (.CLK(clknet_leaf_1_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19551_ (.CLK(clknet_leaf_2_usb_clk),
     .D(_00274_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][22] ));
- sky130_fd_sc_hd__dfxtp_1 _19592_ (.CLK(clknet_leaf_1_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19552_ (.CLK(clknet_leaf_3_usb_clk),
     .D(_00275_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][23] ));
- sky130_fd_sc_hd__dfxtp_1 _19593_ (.CLK(clknet_leaf_3_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19553_ (.CLK(clknet_leaf_4_usb_clk),
     .D(_00276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][24] ));
- sky130_fd_sc_hd__dfxtp_1 _19594_ (.CLK(clknet_leaf_2_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19554_ (.CLK(clknet_leaf_4_usb_clk),
     .D(_00277_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][25] ));
- sky130_fd_sc_hd__dfxtp_1 _19595_ (.CLK(clknet_leaf_2_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19555_ (.CLK(clknet_leaf_4_usb_clk),
     .D(_00278_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][26] ));
- sky130_fd_sc_hd__dfxtp_1 _19596_ (.CLK(clknet_leaf_3_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19556_ (.CLK(clknet_leaf_1_usb_clk),
     .D(_00279_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][27] ));
- sky130_fd_sc_hd__dfxtp_1 _19597_ (.CLK(clknet_leaf_3_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19557_ (.CLK(clknet_leaf_1_usb_clk),
     .D(_00280_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][28] ));
- sky130_fd_sc_hd__dfxtp_1 _19598_ (.CLK(clknet_leaf_0_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19558_ (.CLK(clknet_leaf_0_usb_clk),
     .D(_00281_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][29] ));
- sky130_fd_sc_hd__dfxtp_1 _19599_ (.CLK(clknet_leaf_3_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19559_ (.CLK(clknet_leaf_1_usb_clk),
     .D(_00282_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][30] ));
- sky130_fd_sc_hd__dfxtp_1 _19600_ (.CLK(clknet_leaf_160_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19560_ (.CLK(clknet_leaf_1_usb_clk),
     .D(_00283_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[1][31] ));
- sky130_fd_sc_hd__dfrtp_1 _19601_ (.CLK(\clknet_leaf_10_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19561_ (.CLK(\clknet_leaf_19_u_uart_core.line_clk_16x ),
     .D(_00284_),
-    .RESET_B(net343),
+    .RESET_B(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.rx_fifo_wr_full ));
- sky130_fd_sc_hd__dfrtp_1 _19602_ (.CLK(\clknet_leaf_2_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19562_ (.CLK(\clknet_leaf_3_u_uart_core.line_clk_16x ),
     .D(_00285_),
-    .RESET_B(net343),
+    .RESET_B(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.rx_fifo_wr_data[0] ));
- sky130_fd_sc_hd__dfrtp_1 _19603_ (.CLK(\clknet_leaf_1_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19563_ (.CLK(\clknet_leaf_0_u_uart_core.line_clk_16x ),
     .D(_00286_),
-    .RESET_B(net343),
+    .RESET_B(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.rx_fifo_wr_data[1] ));
- sky130_fd_sc_hd__dfrtp_1 _19604_ (.CLK(\clknet_leaf_1_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19564_ (.CLK(\clknet_leaf_0_u_uart_core.line_clk_16x ),
     .D(_00287_),
-    .RESET_B(net343),
+    .RESET_B(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.rx_fifo_wr_data[2] ));
- sky130_fd_sc_hd__dfrtp_1 _19605_ (.CLK(\clknet_leaf_9_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19565_ (.CLK(\clknet_leaf_11_u_uart_core.line_clk_16x ),
     .D(_00288_),
-    .RESET_B(net343),
+    .RESET_B(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.rx_fifo_wr_data[3] ));
- sky130_fd_sc_hd__dfrtp_1 _19606_ (.CLK(\clknet_leaf_10_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19566_ (.CLK(\clknet_leaf_15_u_uart_core.line_clk_16x ),
     .D(_00289_),
-    .RESET_B(net343),
+    .RESET_B(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.rx_fifo_wr_data[4] ));
- sky130_fd_sc_hd__dfrtp_1 _19607_ (.CLK(\clknet_leaf_12_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19567_ (.CLK(\clknet_leaf_15_u_uart_core.line_clk_16x ),
     .D(_00290_),
-    .RESET_B(net343),
+    .RESET_B(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.rx_fifo_wr_data[5] ));
- sky130_fd_sc_hd__dfrtp_1 _19608_ (.CLK(\clknet_leaf_12_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19568_ (.CLK(\clknet_leaf_15_u_uart_core.line_clk_16x ),
     .D(_00291_),
-    .RESET_B(net343),
+    .RESET_B(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.rx_fifo_wr_data[6] ));
- sky130_fd_sc_hd__dfrtp_2 _19609_ (.CLK(\clknet_leaf_9_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19569_ (.CLK(\clknet_leaf_12_u_uart_core.line_clk_16x ),
     .D(_00292_),
-    .RESET_B(net343),
+    .RESET_B(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.rx_fifo_wr_data[7] ));
- sky130_fd_sc_hd__dfrtp_1 _19610_ (.CLK(\clknet_leaf_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19570_ (.CLK(\clknet_leaf_12_u_uart_core.line_clk_16x ),
     .D(_00293_),
-    .RESET_B(net343),
+    .RESET_B(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.rx_fifo_wr ));
- sky130_fd_sc_hd__dfrtp_1 _19611_ (.CLK(\clknet_leaf_1_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19571_ (.CLK(\clknet_leaf_17_u_uart_core.line_clk_16x ),
     .D(_00096_),
-    .RESET_B(net343),
+    .RESET_B(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfsm.offset[0] ));
- sky130_fd_sc_hd__dfrtp_1 _19612_ (.CLK(\clknet_leaf_1_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19572_ (.CLK(\clknet_leaf_17_u_uart_core.line_clk_16x ),
     .D(_00097_),
-    .RESET_B(net343),
+    .RESET_B(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfsm.offset[1] ));
- sky130_fd_sc_hd__dfrtp_1 _19613_ (.CLK(\clknet_leaf_1_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19573_ (.CLK(\clknet_leaf_17_u_uart_core.line_clk_16x ),
     .D(_00098_),
-    .RESET_B(net343),
+    .RESET_B(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfsm.offset[2] ));
- sky130_fd_sc_hd__dfrtp_1 _19614_ (.CLK(\clknet_leaf_2_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19574_ (.CLK(\clknet_leaf_17_u_uart_core.line_clk_16x ),
     .D(_00099_),
-    .RESET_B(net343),
+    .RESET_B(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfsm.offset[3] ));
- sky130_fd_sc_hd__dfrtp_1 _19615_ (.CLK(\clknet_leaf_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19575_ (.CLK(\clknet_leaf_16_u_uart_core.line_clk_16x ),
     .D(_00294_),
-    .RESET_B(net343),
+    .RESET_B(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfsm.cnt[0] ));
- sky130_fd_sc_hd__dfrtp_1 _19616_ (.CLK(\clknet_leaf_1_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19576_ (.CLK(\clknet_leaf_15_u_uart_core.line_clk_16x ),
     .D(_00295_),
-    .RESET_B(net343),
+    .RESET_B(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfsm.cnt[1] ));
- sky130_fd_sc_hd__dfrtp_1 _19617_ (.CLK(\clknet_leaf_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19577_ (.CLK(\clknet_leaf_16_u_uart_core.line_clk_16x ),
     .D(_00296_),
-    .RESET_B(net343),
+    .RESET_B(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfsm.cnt[2] ));
- sky130_fd_sc_hd__dfrtp_1 _19618_ (.CLK(\clknet_leaf_1_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19578_ (.CLK(\clknet_leaf_17_u_uart_core.line_clk_16x ),
     .D(_00297_),
-    .RESET_B(net343),
+    .RESET_B(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfsm.rxpos[0] ));
- sky130_fd_sc_hd__dfrtp_1 _19619_ (.CLK(\clknet_leaf_1_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19579_ (.CLK(\clknet_leaf_17_u_uart_core.line_clk_16x ),
     .D(_00298_),
-    .RESET_B(net343),
+    .RESET_B(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfsm.rxpos[1] ));
- sky130_fd_sc_hd__dfrtp_1 _19620_ (.CLK(\clknet_leaf_1_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19580_ (.CLK(\clknet_leaf_17_u_uart_core.line_clk_16x ),
     .D(_00299_),
-    .RESET_B(net343),
+    .RESET_B(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfsm.rxpos[2] ));
- sky130_fd_sc_hd__dfrtp_1 _19621_ (.CLK(\clknet_leaf_2_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19581_ (.CLK(\clknet_leaf_17_u_uart_core.line_clk_16x ),
     .D(_00300_),
-    .RESET_B(net343),
+    .RESET_B(net103),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfsm.rxpos[3] ));
- sky130_fd_sc_hd__dfxtp_1 _19622_ (.CLK(clknet_leaf_64_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19582_ (.CLK(clknet_leaf_67_app_clk),
     .D(_00301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[14][0] ));
- sky130_fd_sc_hd__dfxtp_1 _19623_ (.CLK(clknet_leaf_64_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19583_ (.CLK(clknet_leaf_67_app_clk),
     .D(_00302_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[14][1] ));
- sky130_fd_sc_hd__dfxtp_1 _19624_ (.CLK(clknet_leaf_64_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19584_ (.CLK(clknet_leaf_67_app_clk),
     .D(_00303_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[14][2] ));
- sky130_fd_sc_hd__dfxtp_1 _19625_ (.CLK(clknet_leaf_65_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19585_ (.CLK(clknet_leaf_67_app_clk),
     .D(_00304_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[14][3] ));
- sky130_fd_sc_hd__dfxtp_1 _19626_ (.CLK(clknet_leaf_45_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19586_ (.CLK(clknet_leaf_65_app_clk),
     .D(_00305_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[14][4] ));
- sky130_fd_sc_hd__dfxtp_1 _19627_ (.CLK(clknet_leaf_44_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19587_ (.CLK(clknet_leaf_64_app_clk),
     .D(_00306_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[14][5] ));
- sky130_fd_sc_hd__dfxtp_1 _19628_ (.CLK(clknet_leaf_44_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19588_ (.CLK(clknet_3_4_0_app_clk),
     .D(_00307_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[14][6] ));
- sky130_fd_sc_hd__dfxtp_1 _19629_ (.CLK(clknet_leaf_46_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19589_ (.CLK(clknet_leaf_66_app_clk),
     .D(_00308_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[14][7] ));
- sky130_fd_sc_hd__dfrtp_1 _19630_ (.CLK(clknet_leaf_48_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19590_ (.CLK(clknet_leaf_48_app_clk),
     .D(_00309_),
-    .RESET_B(net322),
+    .RESET_B(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.app_tx_fifo_full ));
- sky130_fd_sc_hd__dfrtp_1 _19631_ (.CLK(\clknet_leaf_22_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19591_ (.CLK(\clknet_leaf_23_u_uart_core.line_clk_16x ),
     .D(_00310_),
-    .RESET_B(net324),
+    .RESET_B(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.tx_fifo_rd ));
- sky130_fd_sc_hd__dfstp_2 _19632_ (.CLK(\clknet_leaf_21_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfstp_2 _19592_ (.CLK(\clknet_leaf_22_u_uart_core.line_clk_16x ),
     .D(_00311_),
     .SET_B(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
@@ -235865,63 +235072,63 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net97));
- sky130_fd_sc_hd__dfrtp_1 _19633_ (.CLK(\clknet_leaf_22_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19593_ (.CLK(\clknet_leaf_22_u_uart_core.line_clk_16x ),
     .D(_00312_),
-    .RESET_B(net324),
+    .RESET_B(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfsm.cnt[0] ));
- sky130_fd_sc_hd__dfrtp_2 _19634_ (.CLK(\clknet_leaf_22_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_2 _19594_ (.CLK(\clknet_leaf_23_u_uart_core.line_clk_16x ),
     .D(_00313_),
-    .RESET_B(net324),
+    .RESET_B(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfsm.cnt[1] ));
- sky130_fd_sc_hd__dfrtp_1 _19635_ (.CLK(\clknet_leaf_22_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19595_ (.CLK(\clknet_leaf_22_u_uart_core.line_clk_16x ),
     .D(_00314_),
-    .RESET_B(net324),
+    .RESET_B(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfsm.cnt[2] ));
- sky130_fd_sc_hd__dfrtp_1 _19636_ (.CLK(\clknet_leaf_20_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19596_ (.CLK(\clknet_leaf_22_u_uart_core.line_clk_16x ),
     .D(_00100_),
-    .RESET_B(net308),
+    .RESET_B(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfsm.divcnt[0] ));
- sky130_fd_sc_hd__dfrtp_1 _19637_ (.CLK(\clknet_leaf_20_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19597_ (.CLK(\clknet_leaf_22_u_uart_core.line_clk_16x ),
     .D(_00101_),
-    .RESET_B(net308),
+    .RESET_B(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfsm.divcnt[1] ));
- sky130_fd_sc_hd__dfrtp_1 _19638_ (.CLK(\clknet_leaf_21_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19598_ (.CLK(\clknet_leaf_18_u_uart_core.line_clk_16x ),
     .D(_00102_),
-    .RESET_B(net308),
+    .RESET_B(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfsm.divcnt[2] ));
- sky130_fd_sc_hd__dfrtp_1 _19639_ (.CLK(\clknet_leaf_20_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19599_ (.CLK(\clknet_leaf_18_u_uart_core.line_clk_16x ),
     .D(_00103_),
-    .RESET_B(net308),
+    .RESET_B(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfsm.divcnt[3] ));
- sky130_fd_sc_hd__dfrtp_1 _19640_ (.CLK(\clknet_leaf_22_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _19600_ (.CLK(\clknet_leaf_25_u_uart_core.line_clk_16x ),
     .D(net115),
     .RESET_B(net52),
     .VGND(vssd1),
@@ -235929,15 +235136,15 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_line_rst.in_data_s ));
- sky130_fd_sc_hd__dfrtp_4 _19641_ (.CLK(\clknet_leaf_22_u_uart_core.line_clk_16x ),
-    .D(net364),
+ sky130_fd_sc_hd__dfrtp_4 _19601_ (.CLK(\clknet_leaf_25_u_uart_core.line_clk_16x ),
+    .D(net372),
     .RESET_B(net52),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.line_reset_n ));
- sky130_fd_sc_hd__dfrtp_1 _19642_ (.CLK(clknet_leaf_58_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19602_ (.CLK(clknet_leaf_62_app_clk),
     .D(net116),
     .RESET_B(net52),
     .VGND(vssd1),
@@ -235945,79 +235152,79 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_app_rst.in_data_s ));
- sky130_fd_sc_hd__dfrtp_4 _19643_ (.CLK(clknet_leaf_57_app_clk),
-    .D(net330),
+ sky130_fd_sc_hd__dfrtp_4 _19603_ (.CLK(clknet_leaf_62_app_clk),
+    .D(net359),
     .RESET_B(net52),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.app_reset_n ));
- sky130_fd_sc_hd__dfxtp_1 _19644_ (.CLK(clknet_leaf_65_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19604_ (.CLK(clknet_leaf_46_app_clk),
     .D(_00315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[13][0] ));
- sky130_fd_sc_hd__dfxtp_1 _19645_ (.CLK(clknet_leaf_65_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19605_ (.CLK(clknet_leaf_46_app_clk),
     .D(_00316_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[13][1] ));
- sky130_fd_sc_hd__dfxtp_1 _19646_ (.CLK(clknet_leaf_64_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19606_ (.CLK(clknet_leaf_46_app_clk),
     .D(_00317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[13][2] ));
- sky130_fd_sc_hd__dfxtp_1 _19647_ (.CLK(clknet_leaf_46_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19607_ (.CLK(clknet_leaf_67_app_clk),
     .D(_00318_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[13][3] ));
- sky130_fd_sc_hd__dfxtp_1 _19648_ (.CLK(clknet_leaf_45_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19608_ (.CLK(clknet_leaf_67_app_clk),
     .D(_00319_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[13][4] ));
- sky130_fd_sc_hd__dfxtp_1 _19649_ (.CLK(clknet_leaf_45_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19609_ (.CLK(clknet_leaf_66_app_clk),
     .D(_00320_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[13][5] ));
- sky130_fd_sc_hd__dfxtp_1 _19650_ (.CLK(clknet_leaf_45_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19610_ (.CLK(clknet_leaf_66_app_clk),
     .D(_00321_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[13][6] ));
- sky130_fd_sc_hd__dfxtp_1 _19651_ (.CLK(clknet_leaf_46_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19611_ (.CLK(clknet_leaf_59_app_clk),
     .D(_00322_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[13][7] ));
- sky130_fd_sc_hd__dfrtp_1 _19652_ (.CLK(clknet_leaf_54_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19612_ (.CLK(clknet_leaf_52_app_clk),
     .D(_00323_),
-    .RESET_B(net105),
+    .RESET_B(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[3].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _19653_ (.CLK(clknet_leaf_52_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19613_ (.CLK(clknet_leaf_50_app_clk),
     .D(_00324_),
     .RESET_B(net104),
     .VGND(vssd1),
@@ -236025,7 +235232,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_clk_ctl.high_count[0] ));
- sky130_fd_sc_hd__dfrtp_1 _19654_ (.CLK(clknet_leaf_51_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19614_ (.CLK(clknet_leaf_50_app_clk),
     .D(_00325_),
     .RESET_B(net104),
     .VGND(vssd1),
@@ -236033,7 +235240,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_clk_ctl.high_count[1] ));
- sky130_fd_sc_hd__dfrtp_1 _19655_ (.CLK(clknet_leaf_51_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19615_ (.CLK(clknet_leaf_50_app_clk),
     .D(_00326_),
     .RESET_B(net104),
     .VGND(vssd1),
@@ -236041,7 +235248,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_clk_ctl.high_count[2] ));
- sky130_fd_sc_hd__dfrtp_1 _19656_ (.CLK(clknet_leaf_55_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19616_ (.CLK(clknet_leaf_50_app_clk),
     .D(_00327_),
     .RESET_B(net104),
     .VGND(vssd1),
@@ -236049,7 +235256,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_clk_ctl.high_count[3] ));
- sky130_fd_sc_hd__dfrtp_1 _19657_ (.CLK(clknet_leaf_55_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19617_ (.CLK(clknet_leaf_50_app_clk),
     .D(_00328_),
     .RESET_B(net104),
     .VGND(vssd1),
@@ -236057,7 +235264,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_clk_ctl.high_count[4] ));
- sky130_fd_sc_hd__dfrtp_1 _19658_ (.CLK(clknet_leaf_52_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19618_ (.CLK(clknet_leaf_50_app_clk),
     .D(_00329_),
     .RESET_B(net104),
     .VGND(vssd1),
@@ -236065,7 +235272,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_clk_ctl.high_count[5] ));
- sky130_fd_sc_hd__dfrtp_1 _19659_ (.CLK(clknet_leaf_55_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19619_ (.CLK(clknet_leaf_52_app_clk),
     .D(_00330_),
     .RESET_B(net104),
     .VGND(vssd1),
@@ -236073,15 +235280,15 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_clk_ctl.high_count[6] ));
- sky130_fd_sc_hd__dfrtp_1 _19660_ (.CLK(clknet_leaf_56_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19620_ (.CLK(clknet_leaf_54_app_clk),
     .D(_00331_),
-    .RESET_B(net325),
+    .RESET_B(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_clk_ctl.high_count[7] ));
- sky130_fd_sc_hd__dfrtp_1 _19661_ (.CLK(clknet_leaf_55_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19621_ (.CLK(clknet_leaf_54_app_clk),
     .D(_00332_),
     .RESET_B(net105),
     .VGND(vssd1),
@@ -236089,39 +235296,39 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_clk_ctl.high_count[8] ));
- sky130_fd_sc_hd__dfrtp_1 _19662_ (.CLK(clknet_leaf_56_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19622_ (.CLK(clknet_leaf_55_app_clk),
     .D(_00333_),
-    .RESET_B(net325),
+    .RESET_B(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_clk_ctl.high_count[9] ));
- sky130_fd_sc_hd__dfrtp_1 _19663_ (.CLK(clknet_leaf_56_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19623_ (.CLK(clknet_leaf_54_app_clk),
     .D(_00334_),
-    .RESET_B(net325),
+    .RESET_B(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_clk_ctl.high_count[10] ));
- sky130_fd_sc_hd__dfrtp_1 _19664_ (.CLK(clknet_leaf_56_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19624_ (.CLK(clknet_leaf_53_app_clk),
     .D(_00335_),
-    .RESET_B(net325),
+    .RESET_B(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_clk_ctl.high_count[11] ));
- sky130_fd_sc_hd__dfrtp_1 _19665_ (.CLK(clknet_leaf_56_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19625_ (.CLK(clknet_leaf_37_app_clk),
     .D(_00079_),
-    .RESET_B(net325),
+    .RESET_B(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.line_clk_16x_in ));
- sky130_fd_sc_hd__dfrtp_1 _19666_ (.CLK(clknet_leaf_2_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19626_ (.CLK(clknet_leaf_0_app_clk),
     .D(_00336_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -236129,7 +235336,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_spi_if.so_reg[0] ));
- sky130_fd_sc_hd__dfrtp_1 _19667_ (.CLK(clknet_leaf_72_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19627_ (.CLK(clknet_leaf_81_app_clk),
     .D(_00337_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -236137,7 +235344,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_spi_if.so_reg[1] ));
- sky130_fd_sc_hd__dfrtp_1 _19668_ (.CLK(clknet_leaf_10_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19628_ (.CLK(clknet_leaf_1_app_clk),
     .D(_00338_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -236145,7 +235352,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_spi_if.so_reg[2] ));
- sky130_fd_sc_hd__dfrtp_1 _19669_ (.CLK(clknet_leaf_9_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19629_ (.CLK(clknet_leaf_1_app_clk),
     .D(_00339_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -236153,7 +235360,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_spi_if.so_reg[3] ));
- sky130_fd_sc_hd__dfrtp_1 _19670_ (.CLK(clknet_leaf_72_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19630_ (.CLK(clknet_leaf_81_app_clk),
     .D(_00340_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -236161,7 +235368,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_spi_if.so_reg[4] ));
- sky130_fd_sc_hd__dfrtp_1 _19671_ (.CLK(clknet_leaf_10_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19631_ (.CLK(clknet_leaf_81_app_clk),
     .D(_00341_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -236169,7 +235376,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_spi_if.so_reg[5] ));
- sky130_fd_sc_hd__dfrtp_1 _19672_ (.CLK(clknet_leaf_79_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19632_ (.CLK(clknet_leaf_82_app_clk),
     .D(_00342_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -236177,7 +235384,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_spi_if.so_reg[6] ));
- sky130_fd_sc_hd__dfrtp_1 _19673_ (.CLK(clknet_leaf_78_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19633_ (.CLK(clknet_leaf_82_app_clk),
     .D(_00343_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -236185,119 +235392,119 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_spi_if.so_reg[7] ));
- sky130_fd_sc_hd__dfrtp_1 _19674_ (.CLK(clknet_leaf_45_app_clk),
+ sky130_fd_sc_hd__dfrtp_4 _19634_ (.CLK(clknet_leaf_45_app_clk),
     .D(_00078_),
-    .RESET_B(net322),
+    .RESET_B(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.reg_ack ));
- sky130_fd_sc_hd__dfrtp_2 _19675_ (.CLK(clknet_leaf_48_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19635_ (.CLK(clknet_leaf_58_app_clk),
     .D(_00344_),
-    .RESET_B(net322),
+    .RESET_B(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_cfg.u_uart_ctrl_be0.gen_bit_reg[3].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_2 _19676_ (.CLK(clknet_leaf_38_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19636_ (.CLK(clknet_leaf_58_app_clk),
     .D(_00345_),
-    .RESET_B(net104),
+    .RESET_B(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.cfg_stop_bit ));
- sky130_fd_sc_hd__dfrtp_4 _19677_ (.CLK(clknet_leaf_49_app_clk),
+ sky130_fd_sc_hd__dfrtp_4 _19637_ (.CLK(clknet_leaf_53_app_clk),
     .D(_00346_),
-    .RESET_B(net104),
+    .RESET_B(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.cfg_rx_enable ));
- sky130_fd_sc_hd__dfrtp_4 _19678_ (.CLK(clknet_leaf_49_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19638_ (.CLK(clknet_leaf_53_app_clk),
     .D(_00347_),
-    .RESET_B(net322),
+    .RESET_B(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.cfg_tx_enable ));
- sky130_fd_sc_hd__dfrtp_1 _19679_ (.CLK(clknet_leaf_38_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19639_ (.CLK(clknet_leaf_53_app_clk),
     .D(_00348_),
-    .RESET_B(net104),
+    .RESET_B(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_cfg.u_intr_bit2.data_out ));
- sky130_fd_sc_hd__dfrtp_4 _19680_ (.CLK(clknet_leaf_50_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19640_ (.CLK(clknet_leaf_49_app_clk),
     .D(_00349_),
-    .RESET_B(net104),
+    .RESET_B(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.reg_rdata[0] ));
- sky130_fd_sc_hd__dfrtp_4 _19681_ (.CLK(clknet_leaf_48_app_clk),
+ sky130_fd_sc_hd__dfrtp_4 _19641_ (.CLK(clknet_leaf_49_app_clk),
     .D(_00350_),
-    .RESET_B(net322),
+    .RESET_B(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.reg_rdata[1] ));
- sky130_fd_sc_hd__dfrtp_4 _19682_ (.CLK(clknet_leaf_49_app_clk),
+ sky130_fd_sc_hd__dfrtp_4 _19642_ (.CLK(clknet_leaf_48_app_clk),
     .D(_00351_),
-    .RESET_B(net322),
+    .RESET_B(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.reg_rdata[2] ));
- sky130_fd_sc_hd__dfrtp_4 _19683_ (.CLK(clknet_leaf_49_app_clk),
+ sky130_fd_sc_hd__dfrtp_4 _19643_ (.CLK(clknet_leaf_49_app_clk),
     .D(_00352_),
-    .RESET_B(net322),
+    .RESET_B(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.reg_rdata[3] ));
- sky130_fd_sc_hd__dfrtp_4 _19684_ (.CLK(clknet_leaf_50_app_clk),
+ sky130_fd_sc_hd__dfrtp_2 _19644_ (.CLK(clknet_leaf_49_app_clk),
     .D(_00353_),
-    .RESET_B(net104),
+    .RESET_B(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.reg_rdata[4] ));
- sky130_fd_sc_hd__dfrtp_4 _19685_ (.CLK(clknet_leaf_50_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19645_ (.CLK(clknet_leaf_38_app_clk),
     .D(_00354_),
-    .RESET_B(net104),
+    .RESET_B(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.reg_rdata[5] ));
- sky130_fd_sc_hd__dfrtp_4 _19686_ (.CLK(clknet_leaf_50_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19646_ (.CLK(clknet_leaf_38_app_clk),
     .D(_00355_),
-    .RESET_B(net104),
+    .RESET_B(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.reg_rdata[6] ));
- sky130_fd_sc_hd__dfrtp_4 _19687_ (.CLK(clknet_leaf_50_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19647_ (.CLK(clknet_leaf_38_app_clk),
     .D(_00356_),
-    .RESET_B(net104),
+    .RESET_B(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.reg_rdata[7] ));
- sky130_fd_sc_hd__dfrtp_1 _19688_ (.CLK(clknet_leaf_38_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19648_ (.CLK(clknet_leaf_52_app_clk),
     .D(_00357_),
     .RESET_B(net104),
     .VGND(vssd1),
@@ -236305,7 +235512,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_cfg.u_intr_bit0.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _19689_ (.CLK(clknet_leaf_50_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19649_ (.CLK(clknet_leaf_53_app_clk),
     .D(_00358_),
     .RESET_B(net104),
     .VGND(vssd1),
@@ -236313,215 +235520,215 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_cfg.u_intr_bit1.data_out ));
- sky130_fd_sc_hd__dfxtp_1 _19690_ (.CLK(clknet_leaf_59_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19650_ (.CLK(clknet_leaf_67_app_clk),
     .D(_00359_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[12][0] ));
- sky130_fd_sc_hd__dfxtp_1 _19691_ (.CLK(clknet_leaf_59_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19651_ (.CLK(clknet_leaf_46_app_clk),
     .D(_00360_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[12][1] ));
- sky130_fd_sc_hd__dfxtp_1 _19692_ (.CLK(clknet_leaf_59_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19652_ (.CLK(clknet_leaf_46_app_clk),
     .D(_00361_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[12][2] ));
- sky130_fd_sc_hd__dfxtp_1 _19693_ (.CLK(clknet_leaf_65_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19653_ (.CLK(clknet_leaf_67_app_clk),
     .D(_00362_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[12][3] ));
- sky130_fd_sc_hd__dfxtp_1 _19694_ (.CLK(clknet_leaf_45_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19654_ (.CLK(clknet_leaf_66_app_clk),
     .D(_00363_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[12][4] ));
- sky130_fd_sc_hd__dfxtp_1 _19695_ (.CLK(clknet_leaf_45_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19655_ (.CLK(clknet_leaf_66_app_clk),
     .D(_00364_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[12][5] ));
- sky130_fd_sc_hd__dfxtp_1 _19696_ (.CLK(clknet_leaf_45_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19656_ (.CLK(clknet_leaf_66_app_clk),
     .D(_00365_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[12][6] ));
- sky130_fd_sc_hd__dfxtp_1 _19697_ (.CLK(clknet_leaf_46_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19657_ (.CLK(clknet_leaf_66_app_clk),
     .D(_00366_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[12][7] ));
- sky130_fd_sc_hd__dfrtp_1 _19698_ (.CLK(clknet_leaf_51_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19658_ (.CLK(clknet_leaf_48_app_clk),
     .D(_00367_),
-    .RESET_B(net325),
+    .RESET_B(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[6].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _19699_ (.CLK(clknet_leaf_51_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19659_ (.CLK(clknet_leaf_49_app_clk),
     .D(_00368_),
-    .RESET_B(net325),
+    .RESET_B(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[5].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _19700_ (.CLK(clknet_leaf_51_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19660_ (.CLK(clknet_leaf_49_app_clk),
     .D(_00369_),
-    .RESET_B(net325),
+    .RESET_B(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[4].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _19701_ (.CLK(clknet_leaf_53_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19661_ (.CLK(clknet_leaf_49_app_clk),
     .D(_00370_),
-    .RESET_B(net105),
+    .RESET_B(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[3].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _19702_ (.CLK(clknet_leaf_53_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19662_ (.CLK(clknet_leaf_47_app_clk),
     .D(_00371_),
-    .RESET_B(net105),
+    .RESET_B(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[2].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _19703_ (.CLK(clknet_leaf_53_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19663_ (.CLK(clknet_leaf_47_app_clk),
     .D(_00372_),
-    .RESET_B(net105),
+    .RESET_B(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[1].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _19704_ (.CLK(clknet_leaf_52_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19664_ (.CLK(clknet_leaf_52_app_clk),
     .D(_00373_),
-    .RESET_B(net105),
+    .RESET_B(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[0].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_2 _19705_ (.CLK(clknet_leaf_48_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19665_ (.CLK(clknet_leaf_58_app_clk),
     .D(_00374_),
-    .RESET_B(net322),
+    .RESET_B(net105),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_cfg.u_uart_ctrl_be0.gen_bit_reg[4].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_2 _19706_ (.CLK(clknet_leaf_55_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19666_ (.CLK(clknet_leaf_52_app_clk),
     .D(_00375_),
-    .RESET_B(net105),
+    .RESET_B(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[2].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _19707_ (.CLK(clknet_leaf_55_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19667_ (.CLK(clknet_leaf_47_app_clk),
     .D(_00376_),
-    .RESET_B(net105),
+    .RESET_B(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[1].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _19708_ (.CLK(clknet_leaf_55_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19668_ (.CLK(clknet_leaf_52_app_clk),
     .D(_00377_),
-    .RESET_B(net105),
+    .RESET_B(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_cfg.u_uart_ctrl_reg3.gen_bit_reg[0].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _19709_ (.CLK(clknet_leaf_52_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19669_ (.CLK(clknet_leaf_52_app_clk),
     .D(_00378_),
-    .RESET_B(net105),
+    .RESET_B(net104),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_cfg.u_uart_ctrl_reg2.gen_bit_reg[7].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfxtp_1 _19710_ (.CLK(\clknet_leaf_5_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19670_ (.CLK(\clknet_leaf_3_u_uart_core.line_clk_16x ),
     .D(_00379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[7][0] ));
- sky130_fd_sc_hd__dfxtp_1 _19711_ (.CLK(\clknet_leaf_4_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19671_ (.CLK(\clknet_leaf_1_u_uart_core.line_clk_16x ),
     .D(_00380_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[7][1] ));
- sky130_fd_sc_hd__dfxtp_1 _19712_ (.CLK(\clknet_leaf_3_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19672_ (.CLK(\clknet_leaf_0_u_uart_core.line_clk_16x ),
     .D(_00381_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[7][2] ));
- sky130_fd_sc_hd__dfxtp_1 _19713_ (.CLK(\clknet_leaf_7_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19673_ (.CLK(\clknet_leaf_11_u_uart_core.line_clk_16x ),
     .D(_00382_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[7][3] ));
- sky130_fd_sc_hd__dfxtp_1 _19714_ (.CLK(\clknet_leaf_8_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19674_ (.CLK(\clknet_leaf_13_u_uart_core.line_clk_16x ),
     .D(_00383_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[7][4] ));
- sky130_fd_sc_hd__dfxtp_1 _19715_ (.CLK(\clknet_leaf_17_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19675_ (.CLK(\clknet_leaf_13_u_uart_core.line_clk_16x ),
     .D(_00384_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[7][5] ));
- sky130_fd_sc_hd__dfxtp_1 _19716_ (.CLK(\clknet_leaf_16_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19676_ (.CLK(\clknet_leaf_13_u_uart_core.line_clk_16x ),
     .D(_00385_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[7][6] ));
- sky130_fd_sc_hd__dfxtp_1 _19717_ (.CLK(\clknet_leaf_15_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19677_ (.CLK(\clknet_leaf_13_u_uart_core.line_clk_16x ),
     .D(_00386_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[7][7] ));
- sky130_fd_sc_hd__dfstp_1 _19718_ (.CLK(clknet_leaf_80_app_clk),
+ sky130_fd_sc_hd__dfstp_1 _19678_ (.CLK(clknet_leaf_83_app_clk),
     .D(_00000_),
     .SET_B(net50),
     .VGND(vssd1),
@@ -236529,7 +235736,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_spi_ctrl.spiif_cs[0] ));
- sky130_fd_sc_hd__dfrtp_1 _19719_ (.CLK(clknet_leaf_77_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19679_ (.CLK(clknet_leaf_82_app_clk),
     .D(_00011_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -236537,7 +235744,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_spi_ctrl.spiif_cs[1] ));
- sky130_fd_sc_hd__dfrtp_1 _19720_ (.CLK(clknet_leaf_78_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19680_ (.CLK(clknet_leaf_82_app_clk),
     .D(_00012_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -236545,7 +235752,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_spi_ctrl.spiif_cs[2] ));
- sky130_fd_sc_hd__dfrtp_1 _19721_ (.CLK(clknet_leaf_77_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19681_ (.CLK(clknet_leaf_83_app_clk),
     .D(_00013_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -236553,7 +235760,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_spi_ctrl.spiif_cs[3] ));
- sky130_fd_sc_hd__dfrtp_1 _19722_ (.CLK(clknet_leaf_80_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19682_ (.CLK(clknet_leaf_83_app_clk),
     .D(_00014_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -236561,7 +235768,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_spi_ctrl.spiif_cs[4] ));
- sky130_fd_sc_hd__dfrtp_1 _19723_ (.CLK(clknet_leaf_77_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19683_ (.CLK(clknet_leaf_82_app_clk),
     .D(_00015_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -236569,231 +235776,231 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_spi_ctrl.spiif_cs[5] ));
- sky130_fd_sc_hd__dfxtp_1 _19724_ (.CLK(clknet_leaf_161_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19684_ (.CLK(clknet_leaf_13_usb_clk),
     .D(_00387_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][0] ));
- sky130_fd_sc_hd__dfxtp_1 _19725_ (.CLK(clknet_leaf_161_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19685_ (.CLK(clknet_leaf_0_usb_clk),
     .D(_00388_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][1] ));
- sky130_fd_sc_hd__dfxtp_1 _19726_ (.CLK(clknet_leaf_161_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19686_ (.CLK(clknet_leaf_13_usb_clk),
     .D(_00389_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][2] ));
- sky130_fd_sc_hd__dfxtp_1 _19727_ (.CLK(clknet_leaf_156_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19687_ (.CLK(clknet_leaf_150_usb_clk),
     .D(_00390_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][3] ));
- sky130_fd_sc_hd__dfxtp_1 _19728_ (.CLK(clknet_leaf_153_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19688_ (.CLK(clknet_leaf_150_usb_clk),
     .D(_00391_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][4] ));
- sky130_fd_sc_hd__dfxtp_1 _19729_ (.CLK(clknet_leaf_155_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19689_ (.CLK(clknet_leaf_150_usb_clk),
     .D(_00392_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][5] ));
- sky130_fd_sc_hd__dfxtp_1 _19730_ (.CLK(clknet_leaf_154_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19690_ (.CLK(clknet_leaf_0_usb_clk),
     .D(_00393_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][6] ));
- sky130_fd_sc_hd__dfxtp_1 _19731_ (.CLK(clknet_leaf_160_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19691_ (.CLK(clknet_leaf_0_usb_clk),
     .D(_00394_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][7] ));
- sky130_fd_sc_hd__dfxtp_1 _19732_ (.CLK(clknet_leaf_163_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19692_ (.CLK(clknet_leaf_150_usb_clk),
     .D(_00395_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][8] ));
- sky130_fd_sc_hd__dfxtp_1 _19733_ (.CLK(clknet_leaf_0_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19693_ (.CLK(clknet_leaf_150_usb_clk),
     .D(_00396_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][9] ));
- sky130_fd_sc_hd__dfxtp_1 _19734_ (.CLK(clknet_leaf_0_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19694_ (.CLK(clknet_leaf_150_usb_clk),
     .D(_00397_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][10] ));
- sky130_fd_sc_hd__dfxtp_1 _19735_ (.CLK(clknet_leaf_163_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19695_ (.CLK(clknet_leaf_1_usb_clk),
     .D(_00398_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][11] ));
- sky130_fd_sc_hd__dfxtp_1 _19736_ (.CLK(clknet_leaf_163_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19696_ (.CLK(clknet_leaf_1_usb_clk),
     .D(_00399_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][12] ));
- sky130_fd_sc_hd__dfxtp_1 _19737_ (.CLK(clknet_leaf_163_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19697_ (.CLK(clknet_leaf_2_usb_clk),
     .D(_00400_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][13] ));
- sky130_fd_sc_hd__dfxtp_1 _19738_ (.CLK(clknet_leaf_163_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19698_ (.CLK(clknet_leaf_2_usb_clk),
     .D(_00401_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][14] ));
- sky130_fd_sc_hd__dfxtp_1 _19739_ (.CLK(clknet_leaf_2_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19699_ (.CLK(clknet_leaf_2_usb_clk),
     .D(_00402_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][15] ));
- sky130_fd_sc_hd__dfxtp_1 _19740_ (.CLK(clknet_leaf_1_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19700_ (.CLK(clknet_leaf_4_usb_clk),
     .D(_00403_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][16] ));
- sky130_fd_sc_hd__dfxtp_1 _19741_ (.CLK(clknet_leaf_1_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19701_ (.CLK(clknet_leaf_3_usb_clk),
     .D(_00404_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][17] ));
- sky130_fd_sc_hd__dfxtp_1 _19742_ (.CLK(clknet_leaf_2_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19702_ (.CLK(clknet_leaf_3_usb_clk),
     .D(_00405_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][18] ));
- sky130_fd_sc_hd__dfxtp_1 _19743_ (.CLK(clknet_leaf_1_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19703_ (.CLK(clknet_leaf_3_usb_clk),
     .D(_00406_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][19] ));
- sky130_fd_sc_hd__dfxtp_1 _19744_ (.CLK(clknet_leaf_1_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19704_ (.CLK(clknet_leaf_2_usb_clk),
     .D(_00407_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][20] ));
- sky130_fd_sc_hd__dfxtp_1 _19745_ (.CLK(clknet_leaf_1_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19705_ (.CLK(clknet_leaf_2_usb_clk),
     .D(_00408_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][21] ));
- sky130_fd_sc_hd__dfxtp_1 _19746_ (.CLK(clknet_leaf_1_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19706_ (.CLK(clknet_leaf_2_usb_clk),
     .D(_00409_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][22] ));
- sky130_fd_sc_hd__dfxtp_1 _19747_ (.CLK(clknet_leaf_1_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19707_ (.CLK(clknet_leaf_3_usb_clk),
     .D(_00410_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][23] ));
- sky130_fd_sc_hd__dfxtp_1 _19748_ (.CLK(clknet_leaf_3_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19708_ (.CLK(clknet_leaf_3_usb_clk),
     .D(_00411_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][24] ));
- sky130_fd_sc_hd__dfxtp_1 _19749_ (.CLK(clknet_leaf_2_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19709_ (.CLK(clknet_leaf_3_usb_clk),
     .D(_00412_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][25] ));
- sky130_fd_sc_hd__dfxtp_1 _19750_ (.CLK(clknet_leaf_2_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19710_ (.CLK(clknet_leaf_4_usb_clk),
     .D(_00413_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][26] ));
- sky130_fd_sc_hd__dfxtp_1 _19751_ (.CLK(clknet_leaf_3_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19711_ (.CLK(clknet_leaf_1_usb_clk),
     .D(_00414_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][27] ));
- sky130_fd_sc_hd__dfxtp_1 _19752_ (.CLK(clknet_leaf_0_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19712_ (.CLK(clknet_leaf_1_usb_clk),
     .D(_00415_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][28] ));
- sky130_fd_sc_hd__dfxtp_1 _19753_ (.CLK(clknet_leaf_0_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19713_ (.CLK(clknet_leaf_1_usb_clk),
     .D(_00416_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][29] ));
- sky130_fd_sc_hd__dfxtp_1 _19754_ (.CLK(clknet_leaf_3_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19714_ (.CLK(clknet_leaf_1_usb_clk),
     .D(_00417_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][30] ));
- sky130_fd_sc_hd__dfxtp_1 _19755_ (.CLK(clknet_leaf_160_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19715_ (.CLK(clknet_leaf_0_usb_clk),
     .D(_00418_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.mem[0][31] ));
- sky130_fd_sc_hd__dfstp_1 _19756_ (.CLK(clknet_leaf_29_app_clk),
+ sky130_fd_sc_hd__dfstp_1 _19716_ (.CLK(clknet_leaf_35_app_clk),
     .D(_00001_),
     .SET_B(net1),
     .VGND(vssd1),
@@ -236801,7 +236008,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.c_state[0] ));
- sky130_fd_sc_hd__dfrtp_1 _19757_ (.CLK(clknet_leaf_29_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19717_ (.CLK(clknet_leaf_31_app_clk),
     .D(_00002_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -236809,7 +236016,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.c_state[1] ));
- sky130_fd_sc_hd__dfrtp_1 _19758_ (.CLK(clknet_leaf_30_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19718_ (.CLK(clknet_leaf_30_app_clk),
     .D(_00003_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -236817,7 +236024,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.c_state[2] ));
- sky130_fd_sc_hd__dfrtp_1 _19759_ (.CLK(clknet_leaf_29_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19719_ (.CLK(clknet_leaf_30_app_clk),
     .D(_00004_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -236825,7 +236032,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.c_state[3] ));
- sky130_fd_sc_hd__dfrtp_1 _19760_ (.CLK(clknet_leaf_29_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19720_ (.CLK(clknet_leaf_30_app_clk),
     .D(_00005_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -236833,7 +236040,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.c_state[4] ));
- sky130_fd_sc_hd__dfrtp_1 _19761_ (.CLK(clknet_leaf_29_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19721_ (.CLK(clknet_leaf_30_app_clk),
     .D(_00006_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -236841,364 +236048,364 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.c_state[5] ));
- sky130_fd_sc_hd__dfxtp_1 _19762_ (.CLK(\clknet_leaf_6_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19722_ (.CLK(\clknet_leaf_3_u_uart_core.line_clk_16x ),
     .D(_00419_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[8][0] ));
- sky130_fd_sc_hd__dfxtp_1 _19763_ (.CLK(\clknet_leaf_4_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19723_ (.CLK(\clknet_leaf_3_u_uart_core.line_clk_16x ),
     .D(_00420_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[8][1] ));
- sky130_fd_sc_hd__dfxtp_1 _19764_ (.CLK(\clknet_leaf_2_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19724_ (.CLK(\clknet_leaf_3_u_uart_core.line_clk_16x ),
     .D(_00421_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[8][2] ));
- sky130_fd_sc_hd__dfxtp_1 _19765_ (.CLK(\clknet_leaf_7_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19725_ (.CLK(\clknet_leaf_9_u_uart_core.line_clk_16x ),
     .D(_00422_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[8][3] ));
- sky130_fd_sc_hd__dfxtp_1 _19766_ (.CLK(\clknet_leaf_13_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19726_ (.CLK(\clknet_leaf_9_u_uart_core.line_clk_16x ),
     .D(_00423_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[8][4] ));
- sky130_fd_sc_hd__dfxtp_1 _19767_ (.CLK(\clknet_leaf_17_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19727_ (.CLK(\clknet_leaf_9_u_uart_core.line_clk_16x ),
     .D(_00424_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[8][5] ));
- sky130_fd_sc_hd__dfxtp_1 _19768_ (.CLK(\clknet_leaf_17_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19728_ (.CLK(\clknet_leaf_8_u_uart_core.line_clk_16x ),
     .D(_00425_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[8][6] ));
- sky130_fd_sc_hd__dfxtp_1 _19769_ (.CLK(\clknet_leaf_16_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _19729_ (.CLK(\clknet_leaf_9_u_uart_core.line_clk_16x ),
     .D(_00426_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[8][7] ));
- sky130_fd_sc_hd__dfxtp_1 _19770_ (.CLK(clknet_leaf_43_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19730_ (.CLK(clknet_leaf_43_app_clk),
     .D(_00427_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][4] ));
- sky130_fd_sc_hd__dfxtp_1 _19771_ (.CLK(clknet_leaf_42_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19731_ (.CLK(clknet_leaf_24_app_clk),
     .D(_00428_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][5] ));
- sky130_fd_sc_hd__dfxtp_1 _19772_ (.CLK(clknet_leaf_42_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19732_ (.CLK(clknet_leaf_24_app_clk),
     .D(_00429_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][6] ));
- sky130_fd_sc_hd__dfxtp_1 _19773_ (.CLK(clknet_leaf_43_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19733_ (.CLK(clknet_leaf_13_app_clk),
     .D(_00430_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][7] ));
- sky130_fd_sc_hd__dfxtp_1 _19774_ (.CLK(clknet_leaf_70_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19734_ (.CLK(clknet_leaf_72_app_clk),
     .D(_00431_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][8] ));
- sky130_fd_sc_hd__dfxtp_1 _19775_ (.CLK(clknet_leaf_70_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19735_ (.CLK(clknet_leaf_74_app_clk),
     .D(_00432_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][9] ));
- sky130_fd_sc_hd__dfxtp_1 _19776_ (.CLK(clknet_leaf_69_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19736_ (.CLK(clknet_leaf_74_app_clk),
     .D(_00433_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][10] ));
- sky130_fd_sc_hd__dfxtp_1 _19777_ (.CLK(clknet_leaf_70_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19737_ (.CLK(clknet_leaf_74_app_clk),
     .D(_00434_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][11] ));
- sky130_fd_sc_hd__dfxtp_1 _19778_ (.CLK(clknet_leaf_71_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19738_ (.CLK(clknet_leaf_79_app_clk),
     .D(_00435_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][12] ));
- sky130_fd_sc_hd__dfxtp_1 _19779_ (.CLK(clknet_leaf_11_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19739_ (.CLK(clknet_leaf_78_app_clk),
     .D(_00436_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][13] ));
- sky130_fd_sc_hd__dfxtp_1 _19780_ (.CLK(clknet_leaf_72_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19740_ (.CLK(clknet_leaf_78_app_clk),
     .D(_00437_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][14] ));
- sky130_fd_sc_hd__dfxtp_1 _19781_ (.CLK(clknet_leaf_13_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19741_ (.CLK(clknet_leaf_79_app_clk),
     .D(_00438_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][15] ));
- sky130_fd_sc_hd__dfxtp_1 _19782_ (.CLK(clknet_leaf_10_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19742_ (.CLK(clknet_leaf_80_app_clk),
     .D(_00439_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][16] ));
- sky130_fd_sc_hd__dfxtp_1 _19783_ (.CLK(clknet_leaf_10_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19743_ (.CLK(clknet_leaf_80_app_clk),
     .D(_00440_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][17] ));
- sky130_fd_sc_hd__dfxtp_1 _19784_ (.CLK(clknet_leaf_11_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19744_ (.CLK(clknet_leaf_73_app_clk),
     .D(_00441_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][18] ));
- sky130_fd_sc_hd__dfxtp_1 _19785_ (.CLK(clknet_leaf_10_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19745_ (.CLK(clknet_leaf_73_app_clk),
     .D(_00442_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][19] ));
- sky130_fd_sc_hd__dfxtp_1 _19786_ (.CLK(clknet_leaf_8_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19746_ (.CLK(clknet_leaf_15_app_clk),
     .D(_00443_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][20] ));
- sky130_fd_sc_hd__dfxtp_1 _19787_ (.CLK(clknet_leaf_12_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19747_ (.CLK(clknet_leaf_14_app_clk),
     .D(_00444_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][21] ));
- sky130_fd_sc_hd__dfxtp_1 _19788_ (.CLK(clknet_leaf_7_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19748_ (.CLK(clknet_leaf_14_app_clk),
     .D(_00445_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][22] ));
- sky130_fd_sc_hd__dfxtp_1 _19789_ (.CLK(clknet_leaf_8_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19749_ (.CLK(clknet_leaf_15_app_clk),
     .D(_00446_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][23] ));
- sky130_fd_sc_hd__dfxtp_1 _19790_ (.CLK(clknet_leaf_17_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19750_ (.CLK(clknet_leaf_16_app_clk),
     .D(_00447_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][24] ));
- sky130_fd_sc_hd__dfxtp_1 _19791_ (.CLK(clknet_leaf_17_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19751_ (.CLK(clknet_leaf_16_app_clk),
     .D(_00448_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][25] ));
- sky130_fd_sc_hd__dfxtp_1 _19792_ (.CLK(clknet_leaf_16_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19752_ (.CLK(clknet_leaf_17_app_clk),
     .D(_00449_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][26] ));
- sky130_fd_sc_hd__dfxtp_1 _19793_ (.CLK(clknet_leaf_16_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19753_ (.CLK(clknet_leaf_18_app_clk),
     .D(_00450_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][27] ));
- sky130_fd_sc_hd__dfxtp_1 _19794_ (.CLK(clknet_leaf_15_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19754_ (.CLK(clknet_leaf_7_app_clk),
     .D(_00451_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][32] ));
- sky130_fd_sc_hd__dfxtp_1 _19795_ (.CLK(clknet_leaf_15_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19755_ (.CLK(clknet_leaf_7_app_clk),
     .D(_00452_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][33] ));
- sky130_fd_sc_hd__dfxtp_1 _19796_ (.CLK(clknet_leaf_12_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19756_ (.CLK(clknet_leaf_10_app_clk),
     .D(_00453_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][34] ));
- sky130_fd_sc_hd__dfxtp_1 _19797_ (.CLK(clknet_leaf_12_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19757_ (.CLK(clknet_leaf_10_app_clk),
     .D(_00454_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][35] ));
- sky130_fd_sc_hd__dfxtp_1 _19798_ (.CLK(clknet_leaf_13_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19758_ (.CLK(clknet_leaf_13_app_clk),
     .D(_00455_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][36] ));
- sky130_fd_sc_hd__dfxtp_1 _19799_ (.CLK(clknet_leaf_13_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19759_ (.CLK(clknet_leaf_13_app_clk),
     .D(_00456_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][37] ));
- sky130_fd_sc_hd__dfxtp_1 _19800_ (.CLK(clknet_leaf_13_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19760_ (.CLK(clknet_leaf_13_app_clk),
     .D(_00457_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][38] ));
- sky130_fd_sc_hd__dfxtp_1 _19801_ (.CLK(clknet_leaf_13_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19761_ (.CLK(clknet_leaf_13_app_clk),
     .D(_00458_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][39] ));
- sky130_fd_sc_hd__dfxtp_1 _19802_ (.CLK(clknet_leaf_24_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19762_ (.CLK(clknet_leaf_12_app_clk),
     .D(_00459_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][40] ));
- sky130_fd_sc_hd__dfxtp_1 _19803_ (.CLK(clknet_leaf_24_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19763_ (.CLK(clknet_leaf_24_app_clk),
     .D(_00460_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][41] ));
- sky130_fd_sc_hd__dfxtp_1 _19804_ (.CLK(clknet_leaf_69_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19764_ (.CLK(clknet_leaf_13_app_clk),
     .D(_00461_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[2][42] ));
- sky130_fd_sc_hd__dfxtp_1 _19805_ (.CLK(clknet_leaf_64_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19765_ (.CLK(clknet_leaf_42_app_clk),
     .D(_00462_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[11][0] ));
- sky130_fd_sc_hd__dfxtp_1 _19806_ (.CLK(clknet_leaf_64_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19766_ (.CLK(clknet_leaf_42_app_clk),
     .D(_00463_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[11][1] ));
- sky130_fd_sc_hd__dfxtp_1 _19807_ (.CLK(clknet_leaf_64_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19767_ (.CLK(clknet_leaf_42_app_clk),
     .D(_00464_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[11][2] ));
- sky130_fd_sc_hd__dfxtp_1 _19808_ (.CLK(clknet_leaf_65_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19768_ (.CLK(clknet_leaf_42_app_clk),
     .D(_00465_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[11][3] ));
- sky130_fd_sc_hd__dfxtp_1 _19809_ (.CLK(clknet_leaf_44_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19769_ (.CLK(clknet_leaf_43_app_clk),
     .D(_00466_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[11][4] ));
- sky130_fd_sc_hd__dfxtp_1 _19810_ (.CLK(clknet_leaf_44_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19770_ (.CLK(clknet_leaf_43_app_clk),
     .D(_00467_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[11][5] ));
- sky130_fd_sc_hd__dfxtp_1 _19811_ (.CLK(clknet_leaf_44_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19771_ (.CLK(clknet_leaf_44_app_clk),
     .D(_00468_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[11][6] ));
- sky130_fd_sc_hd__dfxtp_1 _19812_ (.CLK(clknet_leaf_66_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19772_ (.CLK(clknet_leaf_44_app_clk),
     .D(_00469_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[11][7] ));
- sky130_fd_sc_hd__dfrtp_1 _19813_ (.CLK(clknet_leaf_29_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19773_ (.CLK(clknet_leaf_35_app_clk),
     .D(_00043_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237206,7 +236413,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.done ));
- sky130_fd_sc_hd__dfrtp_1 _19814_ (.CLK(clknet_leaf_38_app_clk),
+ sky130_fd_sc_hd__dfrtp_2 _19774_ (.CLK(clknet_leaf_42_app_clk),
     .D(_00470_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237214,7 +236421,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.txr[0] ));
- sky130_fd_sc_hd__dfrtp_1 _19815_ (.CLK(clknet_leaf_38_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19775_ (.CLK(clknet_leaf_26_app_clk),
     .D(_00471_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237222,7 +236429,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.txr[1] ));
- sky130_fd_sc_hd__dfrtp_1 _19816_ (.CLK(clknet_leaf_38_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19776_ (.CLK(clknet_leaf_42_app_clk),
     .D(_00472_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237230,7 +236437,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.txr[2] ));
- sky130_fd_sc_hd__dfrtp_1 _19817_ (.CLK(clknet_leaf_39_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19777_ (.CLK(clknet_leaf_26_app_clk),
     .D(_00473_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237238,7 +236445,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.txr[3] ));
- sky130_fd_sc_hd__dfrtp_1 _19818_ (.CLK(clknet_leaf_39_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19778_ (.CLK(clknet_leaf_45_app_clk),
     .D(_00474_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237246,7 +236453,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.txr[4] ));
- sky130_fd_sc_hd__dfrtp_1 _19819_ (.CLK(clknet_leaf_40_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19779_ (.CLK(clknet_leaf_49_app_clk),
     .D(_00475_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237254,7 +236461,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.txr[5] ));
- sky130_fd_sc_hd__dfrtp_1 _19820_ (.CLK(clknet_leaf_40_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19780_ (.CLK(clknet_leaf_49_app_clk),
     .D(_00476_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237262,7 +236469,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.txr[6] ));
- sky130_fd_sc_hd__dfrtp_1 _19821_ (.CLK(clknet_leaf_40_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19781_ (.CLK(clknet_leaf_38_app_clk),
     .D(_00477_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237270,7 +236477,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.txr[7] ));
- sky130_fd_sc_hd__dfrtp_1 _19822_ (.CLK(clknet_leaf_29_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19782_ (.CLK(clknet_leaf_34_app_clk),
     .D(_00044_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237278,7 +236485,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.core_txd ));
- sky130_fd_sc_hd__dfrtp_1 _19823_ (.CLK(clknet_leaf_34_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19783_ (.CLK(clknet_leaf_39_app_clk),
     .D(_00046_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237286,7 +236493,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.shift ));
- sky130_fd_sc_hd__dfrtp_1 _19824_ (.CLK(clknet_leaf_33_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19784_ (.CLK(clknet_leaf_35_app_clk),
     .D(_00045_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237294,7 +236501,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.ld ));
- sky130_fd_sc_hd__dfrtp_1 _19825_ (.CLK(clknet_leaf_33_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19785_ (.CLK(clknet_leaf_35_app_clk),
     .D(_00478_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237302,7 +236509,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.irxack ));
- sky130_fd_sc_hd__dfrtp_1 _19826_ (.CLK(clknet_leaf_35_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19786_ (.CLK(clknet_leaf_39_app_clk),
     .D(_00479_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237310,7 +236517,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.dcnt[0] ));
- sky130_fd_sc_hd__dfrtp_1 _19827_ (.CLK(clknet_leaf_34_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19787_ (.CLK(clknet_leaf_39_app_clk),
     .D(_00480_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237318,7 +236525,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.dcnt[1] ));
- sky130_fd_sc_hd__dfrtp_1 _19828_ (.CLK(clknet_leaf_34_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19788_ (.CLK(clknet_leaf_35_app_clk),
     .D(_00481_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237326,7 +236533,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.dcnt[2] ));
- sky130_fd_sc_hd__dfrtp_1 _19829_ (.CLK(clknet_leaf_34_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19789_ (.CLK(clknet_leaf_35_app_clk),
     .D(_00066_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237334,7 +236541,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.slave_wait ));
- sky130_fd_sc_hd__dfstp_2 _19830_ (.CLK(clknet_leaf_29_app_clk),
+ sky130_fd_sc_hd__dfstp_1 _19790_ (.CLK(clknet_leaf_30_app_clk),
     .D(_00037_),
     .SET_B(net1),
     .VGND(vssd1),
@@ -237342,7 +236549,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.clk_en ));
- sky130_fd_sc_hd__dfstp_1 _19831_ (.CLK(clknet_leaf_36_app_clk),
+ sky130_fd_sc_hd__dfstp_1 _19791_ (.CLK(clknet_leaf_37_app_clk),
     .D(_00482_),
     .SET_B(net1),
     .VGND(vssd1),
@@ -237350,7 +236557,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSDA[0] ));
- sky130_fd_sc_hd__dfstp_1 _19832_ (.CLK(clknet_leaf_35_app_clk),
+ sky130_fd_sc_hd__dfstp_1 _19792_ (.CLK(clknet_leaf_36_app_clk),
     .D(_00483_),
     .SET_B(net1),
     .VGND(vssd1),
@@ -237358,7 +236565,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSDA[1] ));
- sky130_fd_sc_hd__dfstp_1 _19833_ (.CLK(clknet_leaf_35_app_clk),
+ sky130_fd_sc_hd__dfstp_1 _19793_ (.CLK(clknet_leaf_36_app_clk),
     .D(_00484_),
     .SET_B(net1),
     .VGND(vssd1),
@@ -237366,7 +236573,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSDA[2] ));
- sky130_fd_sc_hd__dfrtp_1 _19834_ (.CLK(clknet_leaf_57_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19794_ (.CLK(clknet_leaf_56_app_clk),
     .D(net49),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237374,15 +236581,15 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cSDA[0] ));
- sky130_fd_sc_hd__dfrtp_4 _19835_ (.CLK(clknet_leaf_57_app_clk),
-    .D(net356),
+ sky130_fd_sc_hd__dfrtp_4 _19795_ (.CLK(clknet_leaf_56_app_clk),
+    .D(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cSDA[0] ),
     .RESET_B(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cSDA[1] ));
- sky130_fd_sc_hd__dfrtp_1 _19836_ (.CLK(clknet_leaf_57_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19796_ (.CLK(clknet_leaf_56_app_clk),
     .D(net48),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237390,15 +236597,15 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cSCL[0] ));
- sky130_fd_sc_hd__dfrtp_4 _19837_ (.CLK(clknet_leaf_57_app_clk),
-    .D(net351),
+ sky130_fd_sc_hd__dfrtp_4 _19797_ (.CLK(clknet_leaf_56_app_clk),
+    .D(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cSCL[0] ),
     .RESET_B(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cSCL[1] ));
- sky130_fd_sc_hd__dfrtp_1 _19838_ (.CLK(clknet_leaf_26_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19798_ (.CLK(clknet_leaf_27_app_clk),
     .D(_00050_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237406,7 +236613,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[0] ));
- sky130_fd_sc_hd__dfrtp_1 _19839_ (.CLK(clknet_leaf_26_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19799_ (.CLK(clknet_leaf_27_app_clk),
     .D(_00055_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237414,7 +236621,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[1] ));
- sky130_fd_sc_hd__dfrtp_1 _19840_ (.CLK(clknet_leaf_25_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19800_ (.CLK(clknet_leaf_27_app_clk),
     .D(_00056_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237422,7 +236629,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[2] ));
- sky130_fd_sc_hd__dfrtp_1 _19841_ (.CLK(clknet_leaf_26_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19801_ (.CLK(clknet_leaf_29_app_clk),
     .D(_00057_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237430,7 +236637,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[3] ));
- sky130_fd_sc_hd__dfrtp_1 _19842_ (.CLK(clknet_leaf_26_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19802_ (.CLK(clknet_leaf_29_app_clk),
     .D(_00058_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237438,7 +236645,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[4] ));
- sky130_fd_sc_hd__dfrtp_1 _19843_ (.CLK(clknet_3_6_0_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19803_ (.CLK(clknet_leaf_28_app_clk),
     .D(_00059_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237446,7 +236653,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[5] ));
- sky130_fd_sc_hd__dfrtp_1 _19844_ (.CLK(clknet_leaf_26_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19804_ (.CLK(clknet_leaf_27_app_clk),
     .D(_00060_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237454,7 +236661,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[6] ));
- sky130_fd_sc_hd__dfrtp_1 _19845_ (.CLK(clknet_leaf_28_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19805_ (.CLK(clknet_leaf_28_app_clk),
     .D(_00061_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237462,7 +236669,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[7] ));
- sky130_fd_sc_hd__dfrtp_1 _19846_ (.CLK(clknet_leaf_28_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19806_ (.CLK(clknet_leaf_28_app_clk),
     .D(_00062_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237470,7 +236677,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[8] ));
- sky130_fd_sc_hd__dfrtp_1 _19847_ (.CLK(clknet_leaf_26_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19807_ (.CLK(clknet_leaf_26_app_clk),
     .D(_00063_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237478,7 +236685,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[9] ));
- sky130_fd_sc_hd__dfrtp_1 _19848_ (.CLK(clknet_leaf_26_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19808_ (.CLK(clknet_leaf_26_app_clk),
     .D(_00051_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237486,7 +236693,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[10] ));
- sky130_fd_sc_hd__dfrtp_1 _19849_ (.CLK(clknet_leaf_26_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19809_ (.CLK(clknet_leaf_26_app_clk),
     .D(_00052_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237494,7 +236701,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[11] ));
- sky130_fd_sc_hd__dfrtp_1 _19850_ (.CLK(clknet_leaf_26_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19810_ (.CLK(clknet_leaf_26_app_clk),
     .D(_00053_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237502,7 +236709,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[12] ));
- sky130_fd_sc_hd__dfrtp_1 _19851_ (.CLK(clknet_leaf_40_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19811_ (.CLK(clknet_leaf_26_app_clk),
     .D(_00054_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237510,7 +236717,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.filter_cnt[13] ));
- sky130_fd_sc_hd__dfstp_1 _19852_ (.CLK(clknet_leaf_36_app_clk),
+ sky130_fd_sc_hd__dfstp_1 _19812_ (.CLK(clknet_leaf_37_app_clk),
     .D(_00485_),
     .SET_B(net1),
     .VGND(vssd1),
@@ -237518,7 +236725,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSCL[0] ));
- sky130_fd_sc_hd__dfstp_1 _19853_ (.CLK(clknet_leaf_35_app_clk),
+ sky130_fd_sc_hd__dfstp_1 _19813_ (.CLK(clknet_leaf_37_app_clk),
     .D(_00486_),
     .SET_B(net1),
     .VGND(vssd1),
@@ -237526,7 +236733,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSCL[1] ));
- sky130_fd_sc_hd__dfstp_1 _19854_ (.CLK(clknet_leaf_35_app_clk),
+ sky130_fd_sc_hd__dfstp_1 _19814_ (.CLK(clknet_leaf_37_app_clk),
     .D(_00487_),
     .SET_B(net1),
     .VGND(vssd1),
@@ -237534,7 +236741,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.fSCL[2] ));
- sky130_fd_sc_hd__dfrtp_1 _19855_ (.CLK(clknet_leaf_33_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19815_ (.CLK(clknet_leaf_34_app_clk),
     .D(_00488_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237542,15 +236749,15 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cmd_stop ));
- sky130_fd_sc_hd__dfstp_1 _19856_ (.CLK(clknet_leaf_33_app_clk),
-    .D(net363),
+ sky130_fd_sc_hd__dfstp_1 _19816_ (.CLK(clknet_leaf_36_app_clk),
+    .D(\u_i2cm.u_byte_ctrl.u_bit_ctrl.sSDA ),
     .SET_B(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.dSDA ));
- sky130_fd_sc_hd__dfstp_1 _19857_ (.CLK(clknet_leaf_34_app_clk),
+ sky130_fd_sc_hd__dfstp_1 _19817_ (.CLK(clknet_leaf_36_app_clk),
     .D(\u_i2cm.u_byte_ctrl.u_bit_ctrl.sSCL ),
     .SET_B(net1),
     .VGND(vssd1),
@@ -237558,7 +236765,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.dSCL ));
- sky130_fd_sc_hd__dfstp_1 _19858_ (.CLK(clknet_leaf_36_app_clk),
+ sky130_fd_sc_hd__dfstp_1 _19818_ (.CLK(clknet_leaf_36_app_clk),
     .D(_00065_),
     .SET_B(net1),
     .VGND(vssd1),
@@ -237566,7 +236773,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.sSDA ));
- sky130_fd_sc_hd__dfstp_1 _19859_ (.CLK(clknet_leaf_34_app_clk),
+ sky130_fd_sc_hd__dfstp_1 _19819_ (.CLK(clknet_leaf_39_app_clk),
     .D(_00064_),
     .SET_B(net1),
     .VGND(vssd1),
@@ -237574,7 +236781,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.sSCL ));
- sky130_fd_sc_hd__dfrtp_1 _19860_ (.CLK(clknet_leaf_35_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19820_ (.CLK(clknet_leaf_36_app_clk),
     .D(_00068_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237582,7 +236789,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.sto_condition ));
- sky130_fd_sc_hd__dfrtp_1 _19861_ (.CLK(clknet_leaf_35_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19821_ (.CLK(clknet_leaf_36_app_clk),
     .D(_00067_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237590,7 +236797,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.sta_condition ));
- sky130_fd_sc_hd__dfrtp_1 _19862_ (.CLK(clknet_leaf_35_app_clk),
+ sky130_fd_sc_hd__dfrtp_2 _19822_ (.CLK(clknet_leaf_36_app_clk),
     .D(_00048_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237598,14 +236805,14 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.i2c_busy ));
- sky130_fd_sc_hd__dfxtp_1 _19863_ (.CLK(clknet_leaf_33_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19823_ (.CLK(clknet_leaf_36_app_clk),
     .D(_00489_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.core_rxd ));
- sky130_fd_sc_hd__dfrtp_1 _19864_ (.CLK(clknet_leaf_33_app_clk),
+ sky130_fd_sc_hd__dfrtp_2 _19824_ (.CLK(clknet_leaf_36_app_clk),
     .D(_00047_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237613,7 +236820,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.i2c_al ));
- sky130_fd_sc_hd__dfrtp_1 _19865_ (.CLK(clknet_leaf_32_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19825_ (.CLK(clknet_leaf_33_app_clk),
     .D(_00490_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237621,7 +236828,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.sda_chk ));
- sky130_fd_sc_hd__dfstp_4 _19866_ (.CLK(clknet_leaf_33_app_clk),
+ sky130_fd_sc_hd__dfstp_4 _19826_ (.CLK(clknet_leaf_33_app_clk),
     .D(_00491_),
     .SET_B(net1),
     .VGND(vssd1),
@@ -237629,7 +236836,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net93));
- sky130_fd_sc_hd__dfstp_4 _19867_ (.CLK(clknet_leaf_32_app_clk),
+ sky130_fd_sc_hd__dfstp_4 _19827_ (.CLK(clknet_leaf_36_app_clk),
     .D(_00492_),
     .SET_B(net1),
     .VGND(vssd1),
@@ -237637,7 +236844,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net92));
- sky130_fd_sc_hd__dfrtp_1 _19868_ (.CLK(clknet_leaf_38_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19828_ (.CLK(clknet_leaf_39_app_clk),
     .D(_00493_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237645,7 +236852,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.rxr[0] ));
- sky130_fd_sc_hd__dfrtp_1 _19869_ (.CLK(clknet_leaf_38_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19829_ (.CLK(clknet_leaf_39_app_clk),
     .D(_00494_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237653,7 +236860,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.rxr[1] ));
- sky130_fd_sc_hd__dfrtp_1 _19870_ (.CLK(clknet_leaf_38_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19830_ (.CLK(clknet_leaf_39_app_clk),
     .D(_00495_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237661,7 +236868,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.rxr[2] ));
- sky130_fd_sc_hd__dfrtp_1 _19871_ (.CLK(clknet_leaf_39_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19831_ (.CLK(clknet_leaf_39_app_clk),
     .D(_00496_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237669,7 +236876,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.rxr[3] ));
- sky130_fd_sc_hd__dfrtp_1 _19872_ (.CLK(clknet_leaf_39_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19832_ (.CLK(clknet_leaf_38_app_clk),
     .D(_00497_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237677,7 +236884,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.rxr[4] ));
- sky130_fd_sc_hd__dfrtp_1 _19873_ (.CLK(clknet_leaf_39_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19833_ (.CLK(clknet_leaf_38_app_clk),
     .D(_00498_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237685,7 +236892,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.rxr[5] ));
- sky130_fd_sc_hd__dfrtp_1 _19874_ (.CLK(clknet_leaf_40_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19834_ (.CLK(clknet_leaf_38_app_clk),
     .D(_00499_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237693,7 +236900,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.rxr[6] ));
- sky130_fd_sc_hd__dfrtp_2 _19875_ (.CLK(clknet_leaf_40_app_clk),
+ sky130_fd_sc_hd__dfrtp_2 _19835_ (.CLK(clknet_leaf_38_app_clk),
     .D(_00500_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237701,7 +236908,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.rxr[7] ));
- sky130_fd_sc_hd__dfrtp_1 _19876_ (.CLK(clknet_leaf_30_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19836_ (.CLK(clknet_leaf_34_app_clk),
     .D(_00049_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237709,189 +236916,189 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.core_ack ));
- sky130_fd_sc_hd__dfxtp_1 _19877_ (.CLK(clknet_leaf_63_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19837_ (.CLK(clknet_leaf_43_app_clk),
     .D(_00501_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[10][0] ));
- sky130_fd_sc_hd__dfxtp_1 _19878_ (.CLK(clknet_leaf_63_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19838_ (.CLK(clknet_leaf_24_app_clk),
     .D(_00502_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[10][1] ));
- sky130_fd_sc_hd__dfxtp_1 _19879_ (.CLK(clknet_leaf_66_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19839_ (.CLK(clknet_leaf_24_app_clk),
     .D(_00503_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[10][2] ));
- sky130_fd_sc_hd__dfxtp_1 _19880_ (.CLK(clknet_leaf_66_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19840_ (.CLK(clknet_leaf_42_app_clk),
     .D(_00504_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[10][3] ));
- sky130_fd_sc_hd__dfxtp_1 _19881_ (.CLK(clknet_leaf_42_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19841_ (.CLK(clknet_leaf_42_app_clk),
     .D(_00505_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[10][4] ));
- sky130_fd_sc_hd__dfxtp_1 _19882_ (.CLK(clknet_leaf_42_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19842_ (.CLK(clknet_leaf_42_app_clk),
     .D(_00506_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[10][5] ));
- sky130_fd_sc_hd__dfxtp_1 _19883_ (.CLK(clknet_leaf_42_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19843_ (.CLK(clknet_leaf_41_app_clk),
     .D(_00507_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[10][6] ));
- sky130_fd_sc_hd__dfxtp_1 _19884_ (.CLK(clknet_leaf_42_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19844_ (.CLK(clknet_leaf_42_app_clk),
     .D(_00508_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[10][7] ));
- sky130_fd_sc_hd__dfxtp_1 _19885_ (.CLK(clknet_leaf_62_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19845_ (.CLK(clknet_leaf_69_app_clk),
     .D(_00509_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[0][0] ));
- sky130_fd_sc_hd__dfxtp_1 _19886_ (.CLK(clknet_leaf_62_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19846_ (.CLK(clknet_leaf_69_app_clk),
     .D(_00510_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[0][1] ));
- sky130_fd_sc_hd__dfxtp_1 _19887_ (.CLK(clknet_leaf_62_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19847_ (.CLK(clknet_leaf_69_app_clk),
     .D(_00511_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[0][2] ));
- sky130_fd_sc_hd__dfxtp_1 _19888_ (.CLK(clknet_leaf_67_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19848_ (.CLK(clknet_leaf_69_app_clk),
     .D(_00512_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[0][3] ));
- sky130_fd_sc_hd__dfxtp_1 _19889_ (.CLK(clknet_leaf_67_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19849_ (.CLK(clknet_leaf_71_app_clk),
     .D(_00513_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[0][4] ));
- sky130_fd_sc_hd__dfxtp_1 _19890_ (.CLK(clknet_leaf_67_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19850_ (.CLK(clknet_leaf_68_app_clk),
     .D(_00514_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[0][5] ));
- sky130_fd_sc_hd__dfxtp_1 _19891_ (.CLK(clknet_leaf_67_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19851_ (.CLK(clknet_leaf_68_app_clk),
     .D(_00515_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[0][6] ));
- sky130_fd_sc_hd__dfxtp_1 _19892_ (.CLK(clknet_leaf_67_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19852_ (.CLK(clknet_leaf_71_app_clk),
     .D(_00516_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[0][7] ));
- sky130_fd_sc_hd__dfxtp_1 _19893_ (.CLK(clknet_leaf_34_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19853_ (.CLK(clknet_leaf_35_app_clk),
     .D(net92),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.dscl_oen ));
- sky130_fd_sc_hd__dfxtp_1 _19894_ (.CLK(clknet_leaf_42_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19854_ (.CLK(clknet_leaf_44_app_clk),
     .D(_00041_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.wb_ack_o ));
- sky130_fd_sc_hd__dfxtp_1 _19895_ (.CLK(clknet_leaf_42_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19855_ (.CLK(clknet_leaf_41_app_clk),
     .D(_02294_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.wb_dat_o[0] ));
- sky130_fd_sc_hd__dfxtp_1 _19896_ (.CLK(clknet_leaf_25_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19856_ (.CLK(clknet_leaf_24_app_clk),
     .D(_02295_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.wb_dat_o[1] ));
- sky130_fd_sc_hd__dfxtp_1 _19897_ (.CLK(clknet_leaf_25_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19857_ (.CLK(clknet_leaf_24_app_clk),
     .D(_02296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.wb_dat_o[2] ));
- sky130_fd_sc_hd__dfxtp_1 _19898_ (.CLK(clknet_leaf_42_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19858_ (.CLK(clknet_leaf_24_app_clk),
     .D(_02297_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.wb_dat_o[3] ));
- sky130_fd_sc_hd__dfxtp_1 _19899_ (.CLK(clknet_leaf_42_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19859_ (.CLK(clknet_leaf_41_app_clk),
     .D(_02298_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.wb_dat_o[4] ));
- sky130_fd_sc_hd__dfxtp_1 _19900_ (.CLK(clknet_leaf_25_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19860_ (.CLK(clknet_leaf_41_app_clk),
     .D(_02299_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.wb_dat_o[5] ));
- sky130_fd_sc_hd__dfxtp_1 _19901_ (.CLK(clknet_leaf_25_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19861_ (.CLK(clknet_leaf_41_app_clk),
     .D(_02300_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.wb_dat_o[6] ));
- sky130_fd_sc_hd__dfxtp_1 _19902_ (.CLK(clknet_leaf_25_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19862_ (.CLK(clknet_leaf_40_app_clk),
     .D(_02301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.wb_dat_o[7] ));
- sky130_fd_sc_hd__dfrtp_1 _19903_ (.CLK(clknet_leaf_41_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19863_ (.CLK(clknet_leaf_41_app_clk),
     .D(_00517_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237899,7 +237106,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.ctr[0] ));
- sky130_fd_sc_hd__dfrtp_1 _19904_ (.CLK(clknet_leaf_41_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19864_ (.CLK(clknet_leaf_41_app_clk),
     .D(_00518_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237907,7 +237114,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.ctr[1] ));
- sky130_fd_sc_hd__dfrtp_1 _19905_ (.CLK(clknet_leaf_41_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19865_ (.CLK(clknet_leaf_41_app_clk),
     .D(_00519_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237915,7 +237122,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.ctr[2] ));
- sky130_fd_sc_hd__dfrtp_1 _19906_ (.CLK(clknet_leaf_41_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19866_ (.CLK(clknet_leaf_40_app_clk),
     .D(_00520_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237923,7 +237130,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.ctr[3] ));
- sky130_fd_sc_hd__dfrtp_1 _19907_ (.CLK(clknet_leaf_41_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19867_ (.CLK(clknet_leaf_41_app_clk),
     .D(_00521_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237931,7 +237138,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.ctr[4] ));
- sky130_fd_sc_hd__dfrtp_1 _19908_ (.CLK(clknet_leaf_40_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19868_ (.CLK(clknet_leaf_41_app_clk),
     .D(_00522_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237939,7 +237146,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.ctr[5] ));
- sky130_fd_sc_hd__dfrtp_1 _19909_ (.CLK(clknet_leaf_41_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19869_ (.CLK(clknet_leaf_41_app_clk),
     .D(_00523_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237947,7 +237154,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.ctr[6] ));
- sky130_fd_sc_hd__dfrtp_2 _19910_ (.CLK(clknet_leaf_41_app_clk),
+ sky130_fd_sc_hd__dfrtp_4 _19870_ (.CLK(clknet_leaf_26_app_clk),
     .D(_00524_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -237955,7 +237162,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.core_en ));
- sky130_fd_sc_hd__dfstp_1 _19911_ (.CLK(clknet_leaf_42_app_clk),
+ sky130_fd_sc_hd__dfstp_1 _19871_ (.CLK(clknet_leaf_26_app_clk),
     .D(_00525_),
     .SET_B(net1),
     .VGND(vssd1),
@@ -237963,7 +237170,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.prer[0] ));
- sky130_fd_sc_hd__dfstp_1 _19912_ (.CLK(clknet_leaf_41_app_clk),
+ sky130_fd_sc_hd__dfstp_1 _19872_ (.CLK(clknet_leaf_40_app_clk),
     .D(_00526_),
     .SET_B(net1),
     .VGND(vssd1),
@@ -237971,7 +237178,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.prer[1] ));
- sky130_fd_sc_hd__dfstp_2 _19913_ (.CLK(clknet_leaf_41_app_clk),
+ sky130_fd_sc_hd__dfstp_2 _19873_ (.CLK(clknet_leaf_26_app_clk),
     .D(_00527_),
     .SET_B(net1),
     .VGND(vssd1),
@@ -237979,7 +237186,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.prer[2] ));
- sky130_fd_sc_hd__dfstp_2 _19914_ (.CLK(clknet_leaf_42_app_clk),
+ sky130_fd_sc_hd__dfstp_2 _19874_ (.CLK(clknet_leaf_26_app_clk),
     .D(_00528_),
     .SET_B(net1),
     .VGND(vssd1),
@@ -237987,7 +237194,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.prer[3] ));
- sky130_fd_sc_hd__dfstp_2 _19915_ (.CLK(clknet_leaf_25_app_clk),
+ sky130_fd_sc_hd__dfstp_2 _19875_ (.CLK(clknet_leaf_26_app_clk),
     .D(_00529_),
     .SET_B(net1),
     .VGND(vssd1),
@@ -237995,7 +237202,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.prer[4] ));
- sky130_fd_sc_hd__dfstp_2 _19916_ (.CLK(clknet_leaf_25_app_clk),
+ sky130_fd_sc_hd__dfstp_2 _19876_ (.CLK(clknet_leaf_41_app_clk),
     .D(_00530_),
     .SET_B(net1),
     .VGND(vssd1),
@@ -238003,7 +237210,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.prer[5] ));
- sky130_fd_sc_hd__dfstp_2 _19917_ (.CLK(clknet_leaf_25_app_clk),
+ sky130_fd_sc_hd__dfstp_2 _19877_ (.CLK(clknet_leaf_42_app_clk),
     .D(_00531_),
     .SET_B(net1),
     .VGND(vssd1),
@@ -238011,7 +237218,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.prer[6] ));
- sky130_fd_sc_hd__dfstp_2 _19918_ (.CLK(clknet_leaf_25_app_clk),
+ sky130_fd_sc_hd__dfstp_2 _19878_ (.CLK(clknet_leaf_26_app_clk),
     .D(_00532_),
     .SET_B(net1),
     .VGND(vssd1),
@@ -238019,7 +237226,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.prer[7] ));
- sky130_fd_sc_hd__dfrtp_2 _19919_ (.CLK(clknet_leaf_34_app_clk),
+ sky130_fd_sc_hd__dfrtp_2 _19879_ (.CLK(clknet_leaf_40_app_clk),
     .D(_00533_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -238027,7 +237234,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.ack ));
- sky130_fd_sc_hd__dfrtp_1 _19920_ (.CLK(clknet_leaf_39_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19880_ (.CLK(clknet_leaf_38_app_clk),
     .D(_00040_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -238035,7 +237242,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.irq_flag ));
- sky130_fd_sc_hd__dfrtp_1 _19921_ (.CLK(clknet_leaf_35_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19881_ (.CLK(clknet_leaf_39_app_clk),
     .D(_00036_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -238043,15 +237250,15 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.tip ));
- sky130_fd_sc_hd__dfrtp_1 _19922_ (.CLK(clknet_leaf_33_app_clk),
-    .D(\u_i2cm.irxack ),
+ sky130_fd_sc_hd__dfrtp_1 _19882_ (.CLK(clknet_leaf_39_app_clk),
+    .D(net387),
     .RESET_B(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.rxack ));
- sky130_fd_sc_hd__dfrtp_1 _19923_ (.CLK(clknet_leaf_29_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19883_ (.CLK(clknet_leaf_37_app_clk),
     .D(_00039_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -238059,7 +237266,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.al ));
- sky130_fd_sc_hd__dfrtp_2 _19924_ (.CLK(clknet_leaf_57_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19884_ (.CLK(clknet_leaf_56_app_clk),
     .D(_00042_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -238067,737 +237274,737 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net58));
- sky130_fd_sc_hd__dfxtp_1 _19925_ (.CLK(clknet_leaf_64_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19885_ (.CLK(clknet_leaf_69_app_clk),
     .D(_00534_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[8][0] ));
- sky130_fd_sc_hd__dfxtp_1 _19926_ (.CLK(clknet_leaf_64_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19886_ (.CLK(clknet_leaf_43_app_clk),
     .D(_00535_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[8][1] ));
- sky130_fd_sc_hd__dfxtp_1 _19927_ (.CLK(clknet_leaf_60_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19887_ (.CLK(clknet_leaf_43_app_clk),
     .D(_00536_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[8][2] ));
- sky130_fd_sc_hd__dfxtp_1 _19928_ (.CLK(clknet_leaf_65_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19888_ (.CLK(clknet_leaf_43_app_clk),
     .D(_00537_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[8][3] ));
- sky130_fd_sc_hd__dfxtp_1 _19929_ (.CLK(clknet_leaf_44_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19889_ (.CLK(clknet_leaf_44_app_clk),
     .D(_00538_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[8][4] ));
- sky130_fd_sc_hd__dfxtp_1 _19930_ (.CLK(clknet_leaf_43_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19890_ (.CLK(clknet_leaf_44_app_clk),
     .D(_00539_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[8][5] ));
- sky130_fd_sc_hd__dfxtp_1 _19931_ (.CLK(clknet_leaf_43_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19891_ (.CLK(clknet_leaf_44_app_clk),
     .D(_00540_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[8][6] ));
- sky130_fd_sc_hd__dfxtp_1 _19932_ (.CLK(clknet_leaf_66_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19892_ (.CLK(clknet_leaf_69_app_clk),
     .D(_00541_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[8][7] ));
- sky130_fd_sc_hd__dfxtp_1 _19933_ (.CLK(clknet_leaf_63_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19893_ (.CLK(clknet_leaf_69_app_clk),
     .D(_00542_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[9][0] ));
- sky130_fd_sc_hd__dfxtp_1 _19934_ (.CLK(clknet_leaf_63_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19894_ (.CLK(clknet_leaf_43_app_clk),
     .D(_00543_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[9][1] ));
- sky130_fd_sc_hd__dfxtp_1 _19935_ (.CLK(clknet_leaf_62_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19895_ (.CLK(clknet_leaf_69_app_clk),
     .D(_00544_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[9][2] ));
- sky130_fd_sc_hd__dfxtp_1 _19936_ (.CLK(clknet_leaf_66_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19896_ (.CLK(clknet_leaf_43_app_clk),
     .D(_00545_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[9][3] ));
- sky130_fd_sc_hd__dfxtp_1 _19937_ (.CLK(clknet_leaf_44_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19897_ (.CLK(clknet_leaf_44_app_clk),
     .D(_00546_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[9][4] ));
- sky130_fd_sc_hd__dfxtp_1 _19938_ (.CLK(clknet_leaf_43_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19898_ (.CLK(clknet_leaf_44_app_clk),
     .D(_00547_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[9][5] ));
- sky130_fd_sc_hd__dfxtp_1 _19939_ (.CLK(clknet_leaf_43_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19899_ (.CLK(clknet_leaf_44_app_clk),
     .D(_00548_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[9][6] ));
- sky130_fd_sc_hd__dfxtp_1 _19940_ (.CLK(clknet_leaf_66_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19900_ (.CLK(clknet_leaf_45_app_clk),
     .D(_00549_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[9][7] ));
- sky130_fd_sc_hd__dfxtp_1 _19941_ (.CLK(clknet_leaf_68_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19901_ (.CLK(clknet_leaf_70_app_clk),
     .D(_00550_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][4] ));
- sky130_fd_sc_hd__dfxtp_1 _19942_ (.CLK(clknet_leaf_43_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19902_ (.CLK(clknet_leaf_69_app_clk),
     .D(_00551_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][5] ));
- sky130_fd_sc_hd__dfxtp_1 _19943_ (.CLK(clknet_leaf_43_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19903_ (.CLK(clknet_leaf_70_app_clk),
     .D(_00552_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][6] ));
- sky130_fd_sc_hd__dfxtp_1 _19944_ (.CLK(clknet_leaf_68_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19904_ (.CLK(clknet_leaf_70_app_clk),
     .D(_00553_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][7] ));
- sky130_fd_sc_hd__dfxtp_1 _19945_ (.CLK(clknet_leaf_71_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19905_ (.CLK(clknet_leaf_71_app_clk),
     .D(_00554_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][8] ));
- sky130_fd_sc_hd__dfxtp_1 _19946_ (.CLK(clknet_leaf_70_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19906_ (.CLK(clknet_leaf_75_app_clk),
     .D(_00555_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][9] ));
- sky130_fd_sc_hd__dfxtp_1 _19947_ (.CLK(clknet_leaf_69_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19907_ (.CLK(clknet_leaf_74_app_clk),
     .D(_00556_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][10] ));
- sky130_fd_sc_hd__dfxtp_1 _19948_ (.CLK(clknet_leaf_70_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19908_ (.CLK(clknet_leaf_74_app_clk),
     .D(_00557_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][11] ));
- sky130_fd_sc_hd__dfxtp_1 _19949_ (.CLK(clknet_leaf_71_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19909_ (.CLK(clknet_leaf_78_app_clk),
     .D(_00558_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][12] ));
- sky130_fd_sc_hd__dfxtp_1 _19950_ (.CLK(clknet_leaf_13_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19910_ (.CLK(clknet_leaf_78_app_clk),
     .D(_00559_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][13] ));
- sky130_fd_sc_hd__dfxtp_1 _19951_ (.CLK(clknet_leaf_71_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19911_ (.CLK(clknet_leaf_77_app_clk),
     .D(_00560_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][14] ));
- sky130_fd_sc_hd__dfxtp_1 _19952_ (.CLK(clknet_leaf_13_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19912_ (.CLK(clknet_leaf_78_app_clk),
     .D(_00561_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][15] ));
- sky130_fd_sc_hd__dfxtp_1 _19953_ (.CLK(clknet_leaf_10_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19913_ (.CLK(clknet_leaf_80_app_clk),
     .D(_00562_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][16] ));
- sky130_fd_sc_hd__dfxtp_1 _19954_ (.CLK(clknet_leaf_72_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19914_ (.CLK(clknet_leaf_73_app_clk),
     .D(_00563_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][17] ));
- sky130_fd_sc_hd__dfxtp_1 _19955_ (.CLK(clknet_leaf_11_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19915_ (.CLK(clknet_leaf_73_app_clk),
     .D(_00564_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][18] ));
- sky130_fd_sc_hd__dfxtp_1 _19956_ (.CLK(clknet_leaf_10_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19916_ (.CLK(clknet_leaf_73_app_clk),
     .D(_00565_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][19] ));
- sky130_fd_sc_hd__dfxtp_1 _19957_ (.CLK(clknet_leaf_15_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19917_ (.CLK(clknet_leaf_15_app_clk),
     .D(_00566_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][20] ));
- sky130_fd_sc_hd__dfxtp_1 _19958_ (.CLK(clknet_leaf_11_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19918_ (.CLK(clknet_leaf_11_app_clk),
     .D(_00567_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][21] ));
- sky130_fd_sc_hd__dfxtp_1 _19959_ (.CLK(clknet_leaf_8_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19919_ (.CLK(clknet_leaf_14_app_clk),
     .D(_00568_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][22] ));
- sky130_fd_sc_hd__dfxtp_1 _19960_ (.CLK(clknet_leaf_18_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19920_ (.CLK(clknet_leaf_23_app_clk),
     .D(_00569_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][23] ));
- sky130_fd_sc_hd__dfxtp_1 _19961_ (.CLK(clknet_leaf_17_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19921_ (.CLK(clknet_leaf_16_app_clk),
     .D(_00570_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][24] ));
- sky130_fd_sc_hd__dfxtp_1 _19962_ (.CLK(clknet_leaf_15_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19922_ (.CLK(clknet_leaf_15_app_clk),
     .D(_00571_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][25] ));
- sky130_fd_sc_hd__dfxtp_1 _19963_ (.CLK(clknet_leaf_16_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19923_ (.CLK(clknet_leaf_14_app_clk),
     .D(_00572_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][26] ));
- sky130_fd_sc_hd__dfxtp_1 _19964_ (.CLK(clknet_leaf_16_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19924_ (.CLK(clknet_leaf_14_app_clk),
     .D(_00573_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][27] ));
- sky130_fd_sc_hd__dfxtp_1 _19965_ (.CLK(clknet_leaf_15_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19925_ (.CLK(clknet_leaf_10_app_clk),
     .D(_00574_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][32] ));
- sky130_fd_sc_hd__dfxtp_1 _19966_ (.CLK(clknet_leaf_16_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19926_ (.CLK(clknet_leaf_10_app_clk),
     .D(_00575_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][33] ));
- sky130_fd_sc_hd__dfxtp_1 _19967_ (.CLK(clknet_leaf_15_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19927_ (.CLK(clknet_leaf_10_app_clk),
     .D(_00576_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][34] ));
- sky130_fd_sc_hd__dfxtp_1 _19968_ (.CLK(clknet_leaf_14_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19928_ (.CLK(clknet_leaf_10_app_clk),
     .D(_00577_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][35] ));
- sky130_fd_sc_hd__dfxtp_1 _19969_ (.CLK(clknet_leaf_14_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19929_ (.CLK(clknet_leaf_13_app_clk),
     .D(_00578_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][36] ));
- sky130_fd_sc_hd__dfxtp_1 _19970_ (.CLK(clknet_leaf_14_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19930_ (.CLK(clknet_leaf_14_app_clk),
     .D(_00579_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][37] ));
- sky130_fd_sc_hd__dfxtp_1 _19971_ (.CLK(clknet_leaf_14_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19931_ (.CLK(clknet_leaf_14_app_clk),
     .D(_00580_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][38] ));
- sky130_fd_sc_hd__dfxtp_1 _19972_ (.CLK(clknet_leaf_14_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19932_ (.CLK(clknet_leaf_13_app_clk),
     .D(_00581_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][39] ));
- sky130_fd_sc_hd__dfxtp_1 _19973_ (.CLK(clknet_leaf_43_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19933_ (.CLK(clknet_leaf_70_app_clk),
     .D(_00582_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][40] ));
- sky130_fd_sc_hd__dfxtp_1 _19974_ (.CLK(clknet_leaf_24_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19934_ (.CLK(clknet_leaf_24_app_clk),
     .D(_00583_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][41] ));
- sky130_fd_sc_hd__dfxtp_1 _19975_ (.CLK(clknet_leaf_69_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19935_ (.CLK(clknet_leaf_13_app_clk),
     .D(_00584_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[0][42] ));
- sky130_fd_sc_hd__dfxtp_1 _19976_ (.CLK(clknet_leaf_68_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19936_ (.CLK(clknet_leaf_71_app_clk),
     .D(_00585_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][4] ));
- sky130_fd_sc_hd__dfxtp_1 _19977_ (.CLK(clknet_leaf_43_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19937_ (.CLK(clknet_leaf_71_app_clk),
     .D(_00586_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][5] ));
- sky130_fd_sc_hd__dfxtp_1 _19978_ (.CLK(clknet_leaf_43_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19938_ (.CLK(clknet_leaf_71_app_clk),
     .D(_00587_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][6] ));
- sky130_fd_sc_hd__dfxtp_1 _19979_ (.CLK(clknet_leaf_68_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19939_ (.CLK(clknet_leaf_71_app_clk),
     .D(_00588_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][7] ));
- sky130_fd_sc_hd__dfxtp_1 _19980_ (.CLK(clknet_leaf_71_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19940_ (.CLK(clknet_leaf_71_app_clk),
     .D(_00589_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][8] ));
- sky130_fd_sc_hd__dfxtp_1 _19981_ (.CLK(clknet_leaf_67_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19941_ (.CLK(clknet_leaf_75_app_clk),
     .D(_00590_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][9] ));
- sky130_fd_sc_hd__dfxtp_1 _19982_ (.CLK(clknet_leaf_69_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19942_ (.CLK(clknet_leaf_75_app_clk),
     .D(_00591_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][10] ));
- sky130_fd_sc_hd__dfxtp_1 _19983_ (.CLK(clknet_leaf_71_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19943_ (.CLK(clknet_leaf_74_app_clk),
     .D(_00592_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][11] ));
- sky130_fd_sc_hd__dfxtp_1 _19984_ (.CLK(clknet_leaf_70_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19944_ (.CLK(clknet_leaf_77_app_clk),
     .D(_00593_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][12] ));
- sky130_fd_sc_hd__dfxtp_1 _19985_ (.CLK(clknet_leaf_70_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19945_ (.CLK(clknet_leaf_78_app_clk),
     .D(_00594_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][13] ));
- sky130_fd_sc_hd__dfxtp_1 _19986_ (.CLK(clknet_leaf_71_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19946_ (.CLK(clknet_leaf_77_app_clk),
     .D(_00595_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][14] ));
- sky130_fd_sc_hd__dfxtp_1 _19987_ (.CLK(clknet_leaf_13_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19947_ (.CLK(clknet_leaf_78_app_clk),
     .D(_00596_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][15] ));
- sky130_fd_sc_hd__dfxtp_1 _19988_ (.CLK(clknet_leaf_10_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19948_ (.CLK(clknet_leaf_80_app_clk),
     .D(_00597_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][16] ));
- sky130_fd_sc_hd__dfxtp_1 _19989_ (.CLK(clknet_leaf_72_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19949_ (.CLK(clknet_leaf_73_app_clk),
     .D(_00598_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][17] ));
- sky130_fd_sc_hd__dfxtp_1 _19990_ (.CLK(clknet_leaf_13_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19950_ (.CLK(clknet_leaf_73_app_clk),
     .D(_00599_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][18] ));
- sky130_fd_sc_hd__dfxtp_1 _19991_ (.CLK(clknet_leaf_11_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19951_ (.CLK(clknet_leaf_72_app_clk),
     .D(_00600_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][19] ));
- sky130_fd_sc_hd__dfxtp_1 _19992_ (.CLK(clknet_leaf_11_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19952_ (.CLK(clknet_leaf_23_app_clk),
     .D(_00601_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][20] ));
- sky130_fd_sc_hd__dfxtp_1 _19993_ (.CLK(clknet_leaf_11_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19953_ (.CLK(clknet_leaf_14_app_clk),
     .D(_00602_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][21] ));
- sky130_fd_sc_hd__dfxtp_1 _19994_ (.CLK(clknet_leaf_8_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19954_ (.CLK(clknet_leaf_15_app_clk),
     .D(_00603_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][22] ));
- sky130_fd_sc_hd__dfxtp_1 _19995_ (.CLK(clknet_leaf_18_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19955_ (.CLK(clknet_leaf_15_app_clk),
     .D(_00604_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][23] ));
- sky130_fd_sc_hd__dfxtp_1 _19996_ (.CLK(clknet_leaf_17_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19956_ (.CLK(clknet_leaf_16_app_clk),
     .D(_00605_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][24] ));
- sky130_fd_sc_hd__dfxtp_1 _19997_ (.CLK(clknet_leaf_15_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19957_ (.CLK(clknet_leaf_15_app_clk),
     .D(_00606_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][25] ));
- sky130_fd_sc_hd__dfxtp_1 _19998_ (.CLK(clknet_leaf_17_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19958_ (.CLK(clknet_leaf_14_app_clk),
     .D(_00607_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][26] ));
- sky130_fd_sc_hd__dfxtp_1 _19999_ (.CLK(clknet_leaf_16_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19959_ (.CLK(clknet_leaf_14_app_clk),
     .D(_00608_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][27] ));
- sky130_fd_sc_hd__dfxtp_1 _20000_ (.CLK(clknet_leaf_15_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19960_ (.CLK(clknet_leaf_10_app_clk),
     .D(_00609_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][32] ));
- sky130_fd_sc_hd__dfxtp_1 _20001_ (.CLK(clknet_leaf_16_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19961_ (.CLK(clknet_leaf_10_app_clk),
     .D(_00610_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][33] ));
- sky130_fd_sc_hd__dfxtp_1 _20002_ (.CLK(clknet_leaf_15_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19962_ (.CLK(clknet_leaf_10_app_clk),
     .D(_00611_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][34] ));
- sky130_fd_sc_hd__dfxtp_1 _20003_ (.CLK(clknet_leaf_14_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19963_ (.CLK(clknet_leaf_11_app_clk),
     .D(_00612_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][35] ));
- sky130_fd_sc_hd__dfxtp_1 _20004_ (.CLK(clknet_leaf_14_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19964_ (.CLK(clknet_leaf_12_app_clk),
     .D(_00613_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][36] ));
- sky130_fd_sc_hd__dfxtp_1 _20005_ (.CLK(clknet_leaf_14_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19965_ (.CLK(clknet_leaf_12_app_clk),
     .D(_00614_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][37] ));
- sky130_fd_sc_hd__dfxtp_1 _20006_ (.CLK(clknet_leaf_14_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19966_ (.CLK(clknet_leaf_12_app_clk),
     .D(_00615_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][38] ));
- sky130_fd_sc_hd__dfxtp_1 _20007_ (.CLK(clknet_leaf_14_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19967_ (.CLK(clknet_leaf_24_app_clk),
     .D(_00616_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][39] ));
- sky130_fd_sc_hd__dfxtp_1 _20008_ (.CLK(clknet_leaf_24_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19968_ (.CLK(clknet_leaf_12_app_clk),
     .D(_00617_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][40] ));
- sky130_fd_sc_hd__dfxtp_1 _20009_ (.CLK(clknet_leaf_24_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19969_ (.CLK(clknet_leaf_24_app_clk),
     .D(_00618_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][41] ));
- sky130_fd_sc_hd__dfxtp_1 _20010_ (.CLK(clknet_leaf_69_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19970_ (.CLK(clknet_leaf_13_app_clk),
     .D(_00619_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[1][42] ));
- sky130_fd_sc_hd__dfxtp_1 _20011_ (.CLK(clknet_leaf_59_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19971_ (.CLK(clknet_leaf_68_app_clk),
     .D(_00620_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[15][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20012_ (.CLK(clknet_leaf_59_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19972_ (.CLK(clknet_leaf_68_app_clk),
     .D(_00621_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[15][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20013_ (.CLK(clknet_leaf_41_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19973_ (.CLK(clknet_leaf_67_app_clk),
     .D(_00622_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[15][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20014_ (.CLK(clknet_leaf_41_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19974_ (.CLK(clknet_leaf_67_app_clk),
     .D(_00623_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[15][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20015_ (.CLK(clknet_leaf_41_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19975_ (.CLK(clknet_leaf_65_app_clk),
     .D(_00624_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[15][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20016_ (.CLK(clknet_leaf_41_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19976_ (.CLK(clknet_leaf_65_app_clk),
     .D(_00625_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[15][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20017_ (.CLK(clknet_leaf_44_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19977_ (.CLK(clknet_leaf_65_app_clk),
     .D(_00626_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[15][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20018_ (.CLK(clknet_leaf_46_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19978_ (.CLK(clknet_leaf_65_app_clk),
     .D(_00627_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[15][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20019_ (.CLK(clknet_leaf_63_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19979_ (.CLK(clknet_leaf_68_app_clk),
     .D(_00628_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[1][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20020_ (.CLK(clknet_leaf_62_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19980_ (.CLK(clknet_leaf_68_app_clk),
     .D(_00629_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[1][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20021_ (.CLK(clknet_leaf_62_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19981_ (.CLK(clknet_leaf_68_app_clk),
     .D(_00630_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[1][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20022_ (.CLK(clknet_leaf_63_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19982_ (.CLK(clknet_leaf_68_app_clk),
     .D(_00631_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[1][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20023_ (.CLK(clknet_leaf_74_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19983_ (.CLK(clknet_leaf_75_app_clk),
     .D(_00632_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[1][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20024_ (.CLK(clknet_leaf_74_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19984_ (.CLK(clknet_leaf_68_app_clk),
     .D(_00633_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[1][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20025_ (.CLK(clknet_leaf_74_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19985_ (.CLK(clknet_leaf_65_app_clk),
     .D(_00634_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[1][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20026_ (.CLK(clknet_leaf_63_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _19986_ (.CLK(clknet_leaf_75_app_clk),
     .D(_00635_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[1][7] ));
- sky130_fd_sc_hd__dfrtp_1 _20027_ (.CLK(clknet_leaf_143_usb_clk),
+ sky130_fd_sc_hd__dfrtp_2 _19987_ (.CLK(clknet_leaf_117_usb_clk),
     .D(_00038_),
-    .RESET_B(net107),
+    .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.data_ready_w ));
- sky130_fd_sc_hd__dfstp_1 _20028_ (.CLK(clknet_leaf_138_usb_clk),
+ sky130_fd_sc_hd__dfstp_1 _19988_ (.CLK(clknet_leaf_119_usb_clk),
     .D(_00024_),
-    .SET_B(net107),
+    .SET_B(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_phy.state_q[0] ));
- sky130_fd_sc_hd__dfrtp_1 _20029_ (.CLK(clknet_leaf_140_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19989_ (.CLK(clknet_leaf_136_usb_clk),
     .D(_00028_),
     .RESET_B(net108),
     .VGND(vssd1),
@@ -238805,23 +238012,23 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_phy.state_q[1] ));
- sky130_fd_sc_hd__dfrtp_4 _20030_ (.CLK(clknet_leaf_120_usb_clk),
+ sky130_fd_sc_hd__dfrtp_4 _19990_ (.CLK(clknet_leaf_119_usb_clk),
     .D(_00029_),
-    .RESET_B(net107),
+    .RESET_B(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.utmi_rxactive_i ));
- sky130_fd_sc_hd__dfrtp_1 _20031_ (.CLK(clknet_leaf_139_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19991_ (.CLK(clknet_leaf_119_usb_clk),
     .D(_00030_),
-    .RESET_B(net107),
+    .RESET_B(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_phy.state_q[4] ));
- sky130_fd_sc_hd__dfrtp_1 _20032_ (.CLK(clknet_leaf_136_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19992_ (.CLK(clknet_leaf_136_usb_clk),
     .D(_00031_),
     .RESET_B(net108),
     .VGND(vssd1),
@@ -238829,7 +238036,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_phy.state_q[5] ));
- sky130_fd_sc_hd__dfrtp_1 _20033_ (.CLK(clknet_leaf_138_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19993_ (.CLK(clknet_leaf_121_usb_clk),
     .D(_00032_),
     .RESET_B(net108),
     .VGND(vssd1),
@@ -238837,7 +238044,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_phy.state_q[6] ));
- sky130_fd_sc_hd__dfrtp_1 _20034_ (.CLK(clknet_leaf_138_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19994_ (.CLK(clknet_leaf_121_usb_clk),
     .D(_00033_),
     .RESET_B(net108),
     .VGND(vssd1),
@@ -238845,7 +238052,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_phy.state_q[7] ));
- sky130_fd_sc_hd__dfrtp_1 _20035_ (.CLK(clknet_leaf_140_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19995_ (.CLK(clknet_leaf_136_usb_clk),
     .D(_00034_),
     .RESET_B(net108),
     .VGND(vssd1),
@@ -238853,7 +238060,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_phy.state_q[8] ));
- sky130_fd_sc_hd__dfrtp_1 _20036_ (.CLK(clknet_leaf_138_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19996_ (.CLK(clknet_leaf_121_usb_clk),
     .D(_00035_),
     .RESET_B(net108),
     .VGND(vssd1),
@@ -238861,7 +238068,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_phy.state_q[9] ));
- sky130_fd_sc_hd__dfrtp_1 _20037_ (.CLK(clknet_leaf_138_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19997_ (.CLK(clknet_leaf_121_usb_clk),
     .D(_00025_),
     .RESET_B(net108),
     .VGND(vssd1),
@@ -238869,7 +238076,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_phy.state_q[10] ));
- sky130_fd_sc_hd__dfrtp_1 _20038_ (.CLK(clknet_leaf_120_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19998_ (.CLK(clknet_leaf_120_usb_clk),
     .D(_00026_),
     .RESET_B(net108),
     .VGND(vssd1),
@@ -238877,487 +238084,423 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_phy.state_q[11] ));
- sky130_fd_sc_hd__dfrtp_1 _20039_ (.CLK(clknet_leaf_139_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _19999_ (.CLK(clknet_leaf_118_usb_clk),
     .D(_00027_),
-    .RESET_B(net107),
+    .RESET_B(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_phy.state_q[13] ));
- sky130_fd_sc_hd__dfrtp_1 _20040_ (.CLK(clknet_leaf_121_usb_clk),
-    .D(net309),
+ sky130_fd_sc_hd__dfrtp_1 _20000_ (.CLK(clknet_leaf_120_usb_clk),
+    .D(_00636_),
     .RESET_B(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_phy.rxd_q ));
- sky130_fd_sc_hd__dfxtp_1 _20041_ (.CLK(\clknet_leaf_5_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _20001_ (.CLK(\clknet_leaf_3_u_uart_core.line_clk_16x ),
     .D(_00637_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[9][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20042_ (.CLK(\clknet_leaf_4_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _20002_ (.CLK(\clknet_leaf_3_u_uart_core.line_clk_16x ),
     .D(_00638_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[9][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20043_ (.CLK(\clknet_leaf_6_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _20003_ (.CLK(\clknet_leaf_2_u_uart_core.line_clk_16x ),
     .D(_00639_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[9][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20044_ (.CLK(\clknet_leaf_6_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _20004_ (.CLK(\clknet_leaf_9_u_uart_core.line_clk_16x ),
     .D(_00640_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[9][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20045_ (.CLK(\clknet_leaf_13_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _20005_ (.CLK(\clknet_leaf_10_u_uart_core.line_clk_16x ),
     .D(_00641_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[9][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20046_ (.CLK(\clknet_leaf_17_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _20006_ (.CLK(\clknet_leaf_13_u_uart_core.line_clk_16x ),
     .D(_00642_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[9][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20047_ (.CLK(\clknet_leaf_17_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _20007_ (.CLK(\clknet_leaf_13_u_uart_core.line_clk_16x ),
     .D(_00643_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[9][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20048_ (.CLK(\clknet_leaf_16_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _20008_ (.CLK(\clknet_leaf_8_u_uart_core.line_clk_16x ),
     .D(_00644_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[9][7] ));
- sky130_fd_sc_hd__dfstp_2 _20049_ (.CLK(clknet_leaf_25_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20009_ (.CLK(clknet_leaf_67_app_clk),
     .D(_00645_),
-    .SET_B(net1),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_i2cm.prer[8] ));
- sky130_fd_sc_hd__dfstp_2 _20050_ (.CLK(clknet_leaf_25_app_clk),
-    .D(_00646_),
-    .SET_B(net1),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_i2cm.prer[9] ));
- sky130_fd_sc_hd__dfstp_2 _20051_ (.CLK(clknet_leaf_42_app_clk),
-    .D(_00647_),
-    .SET_B(net1),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_i2cm.prer[10] ));
- sky130_fd_sc_hd__dfstp_1 _20052_ (.CLK(clknet_leaf_41_app_clk),
-    .D(_00648_),
-    .SET_B(net1),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_i2cm.prer[11] ));
- sky130_fd_sc_hd__dfstp_1 _20053_ (.CLK(clknet_leaf_40_app_clk),
-    .D(_00649_),
-    .SET_B(net1),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_i2cm.prer[12] ));
- sky130_fd_sc_hd__dfstp_1 _20054_ (.CLK(clknet_leaf_40_app_clk),
-    .D(_00650_),
-    .SET_B(net1),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_i2cm.prer[13] ));
- sky130_fd_sc_hd__dfstp_1 _20055_ (.CLK(clknet_leaf_25_app_clk),
-    .D(_00651_),
-    .SET_B(net1),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_i2cm.prer[14] ));
- sky130_fd_sc_hd__dfstp_1 _20056_ (.CLK(clknet_leaf_40_app_clk),
-    .D(_00652_),
-    .SET_B(net1),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_i2cm.prer[15] ));
- sky130_fd_sc_hd__dfxtp_1 _20057_ (.CLK(clknet_leaf_66_app_clk),
-    .D(_00653_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[7][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20058_ (.CLK(clknet_leaf_63_app_clk),
-    .D(_00654_),
+ sky130_fd_sc_hd__dfxtp_1 _20010_ (.CLK(clknet_leaf_67_app_clk),
+    .D(_00646_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[7][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20059_ (.CLK(clknet_leaf_63_app_clk),
-    .D(_00655_),
+ sky130_fd_sc_hd__dfxtp_1 _20011_ (.CLK(clknet_leaf_68_app_clk),
+    .D(_00647_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[7][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20060_ (.CLK(clknet_leaf_66_app_clk),
-    .D(_00656_),
+ sky130_fd_sc_hd__dfxtp_1 _20012_ (.CLK(clknet_leaf_67_app_clk),
+    .D(_00648_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[7][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20061_ (.CLK(clknet_leaf_68_app_clk),
-    .D(_00657_),
+ sky130_fd_sc_hd__dfxtp_1 _20013_ (.CLK(clknet_leaf_62_app_clk),
+    .D(_00649_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[7][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20062_ (.CLK(clknet_leaf_68_app_clk),
-    .D(_00658_),
+ sky130_fd_sc_hd__dfxtp_1 _20014_ (.CLK(clknet_leaf_62_app_clk),
+    .D(_00650_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[7][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20063_ (.CLK(clknet_leaf_68_app_clk),
-    .D(_00659_),
+ sky130_fd_sc_hd__dfxtp_1 _20015_ (.CLK(clknet_leaf_62_app_clk),
+    .D(_00651_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[7][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20064_ (.CLK(clknet_leaf_67_app_clk),
-    .D(_00660_),
+ sky130_fd_sc_hd__dfxtp_1 _20016_ (.CLK(clknet_leaf_62_app_clk),
+    .D(_00652_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[7][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20065_ (.CLK(clknet_leaf_66_app_clk),
-    .D(_00661_),
+ sky130_fd_sc_hd__dfxtp_1 _20017_ (.CLK(clknet_leaf_65_app_clk),
+    .D(_00653_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[6][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20066_ (.CLK(clknet_leaf_63_app_clk),
-    .D(_00662_),
+ sky130_fd_sc_hd__dfxtp_1 _20018_ (.CLK(clknet_leaf_65_app_clk),
+    .D(_00654_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[6][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20067_ (.CLK(clknet_leaf_63_app_clk),
-    .D(_00663_),
+ sky130_fd_sc_hd__dfxtp_1 _20019_ (.CLK(clknet_leaf_65_app_clk),
+    .D(_00655_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[6][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20068_ (.CLK(clknet_leaf_67_app_clk),
-    .D(_00664_),
+ sky130_fd_sc_hd__dfxtp_1 _20020_ (.CLK(clknet_leaf_65_app_clk),
+    .D(_00656_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[6][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20069_ (.CLK(clknet_leaf_67_app_clk),
-    .D(_00665_),
+ sky130_fd_sc_hd__dfxtp_1 _20021_ (.CLK(clknet_leaf_62_app_clk),
+    .D(_00657_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[6][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20070_ (.CLK(clknet_leaf_67_app_clk),
-    .D(_00666_),
+ sky130_fd_sc_hd__dfxtp_1 _20022_ (.CLK(clknet_leaf_62_app_clk),
+    .D(_00658_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[6][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20071_ (.CLK(clknet_leaf_67_app_clk),
-    .D(_00667_),
+ sky130_fd_sc_hd__dfxtp_1 _20023_ (.CLK(clknet_leaf_62_app_clk),
+    .D(_00659_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[6][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20072_ (.CLK(clknet_leaf_66_app_clk),
-    .D(_00668_),
+ sky130_fd_sc_hd__dfxtp_1 _20024_ (.CLK(clknet_leaf_62_app_clk),
+    .D(_00660_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[6][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20073_ (.CLK(clknet_leaf_61_app_clk),
-    .D(_00669_),
+ sky130_fd_sc_hd__dfxtp_1 _20025_ (.CLK(clknet_leaf_64_app_clk),
+    .D(_00661_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[5][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20074_ (.CLK(clknet_leaf_61_app_clk),
-    .D(_00670_),
+ sky130_fd_sc_hd__dfxtp_1 _20026_ (.CLK(clknet_leaf_75_app_clk),
+    .D(_00662_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[5][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20075_ (.CLK(clknet_leaf_61_app_clk),
-    .D(_00671_),
+ sky130_fd_sc_hd__dfxtp_1 _20027_ (.CLK(clknet_leaf_64_app_clk),
+    .D(_00663_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[5][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20076_ (.CLK(clknet_leaf_61_app_clk),
-    .D(_00672_),
+ sky130_fd_sc_hd__dfxtp_1 _20028_ (.CLK(clknet_leaf_63_app_clk),
+    .D(_00664_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[5][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20077_ (.CLK(clknet_leaf_75_app_clk),
-    .D(_00673_),
+ sky130_fd_sc_hd__dfxtp_1 _20029_ (.CLK(clknet_leaf_77_app_clk),
+    .D(_00665_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[5][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20078_ (.CLK(clknet_leaf_75_app_clk),
-    .D(_00674_),
+ sky130_fd_sc_hd__dfxtp_1 _20030_ (.CLK(clknet_leaf_77_app_clk),
+    .D(_00666_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[5][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20079_ (.CLK(clknet_leaf_75_app_clk),
-    .D(_00675_),
+ sky130_fd_sc_hd__dfxtp_1 _20031_ (.CLK(clknet_leaf_77_app_clk),
+    .D(_00667_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[5][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20080_ (.CLK(clknet_leaf_75_app_clk),
-    .D(_00676_),
+ sky130_fd_sc_hd__dfxtp_1 _20032_ (.CLK(clknet_leaf_76_app_clk),
+    .D(_00668_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[5][7] ));
- sky130_fd_sc_hd__dfrtp_1 _20081_ (.CLK(clknet_leaf_7_app_clk),
-    .D(_00677_),
+ sky130_fd_sc_hd__dfrtp_1 _20033_ (.CLK(clknet_leaf_5_app_clk),
+    .D(_00669_),
     .RESET_B(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.cfg_dataout[24] ));
- sky130_fd_sc_hd__dfrtp_1 _20082_ (.CLK(clknet_leaf_7_app_clk),
-    .D(_00678_),
+ sky130_fd_sc_hd__dfrtp_1 _20034_ (.CLK(clknet_leaf_5_app_clk),
+    .D(_00670_),
     .RESET_B(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.cfg_dataout[25] ));
- sky130_fd_sc_hd__dfrtp_1 _20083_ (.CLK(clknet_leaf_7_app_clk),
-    .D(_00679_),
+ sky130_fd_sc_hd__dfrtp_1 _20035_ (.CLK(clknet_leaf_19_app_clk),
+    .D(_00671_),
     .RESET_B(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.cfg_dataout[26] ));
- sky130_fd_sc_hd__dfrtp_1 _20084_ (.CLK(clknet_leaf_7_app_clk),
-    .D(_00680_),
+ sky130_fd_sc_hd__dfrtp_1 _20036_ (.CLK(clknet_leaf_5_app_clk),
+    .D(_00672_),
     .RESET_B(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.cfg_dataout[27] ));
- sky130_fd_sc_hd__dfrtp_1 _20085_ (.CLK(clknet_leaf_7_app_clk),
-    .D(_00681_),
+ sky130_fd_sc_hd__dfrtp_1 _20037_ (.CLK(clknet_leaf_19_app_clk),
+    .D(_00673_),
     .RESET_B(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.cfg_dataout[28] ));
- sky130_fd_sc_hd__dfrtp_1 _20086_ (.CLK(clknet_leaf_7_app_clk),
-    .D(_00682_),
+ sky130_fd_sc_hd__dfrtp_1 _20038_ (.CLK(clknet_leaf_19_app_clk),
+    .D(_00674_),
     .RESET_B(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.cfg_dataout[29] ));
- sky130_fd_sc_hd__dfrtp_1 _20087_ (.CLK(clknet_leaf_7_app_clk),
-    .D(_00683_),
+ sky130_fd_sc_hd__dfrtp_1 _20039_ (.CLK(clknet_leaf_18_app_clk),
+    .D(_00675_),
     .RESET_B(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.cfg_dataout[30] ));
- sky130_fd_sc_hd__dfrtp_1 _20088_ (.CLK(clknet_leaf_8_app_clk),
-    .D(_00684_),
+ sky130_fd_sc_hd__dfrtp_1 _20040_ (.CLK(clknet_leaf_18_app_clk),
+    .D(_00676_),
     .RESET_B(net50),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.cfg_dataout[31] ));
- sky130_fd_sc_hd__dfrtp_1 _20089_ (.CLK(clknet_leaf_22_usb_clk),
-    .D(_00685_),
-    .RESET_B(net108),
+ sky130_fd_sc_hd__dfrtp_2 _20041_ (.CLK(clknet_leaf_19_usb_clk),
+    .D(_00677_),
+    .RESET_B(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.token_q[5] ));
- sky130_fd_sc_hd__dfrtp_2 _20090_ (.CLK(clknet_leaf_22_usb_clk),
-    .D(_00686_),
-    .RESET_B(net106),
+ sky130_fd_sc_hd__dfrtp_2 _20042_ (.CLK(clknet_leaf_19_usb_clk),
+    .D(_00678_),
+    .RESET_B(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.token_q[6] ));
- sky130_fd_sc_hd__dfrtp_2 _20091_ (.CLK(clknet_leaf_144_usb_clk),
-    .D(_00687_),
-    .RESET_B(net108),
+ sky130_fd_sc_hd__dfrtp_1 _20043_ (.CLK(clknet_leaf_19_usb_clk),
+    .D(_00679_),
+    .RESET_B(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.token_q[7] ));
- sky130_fd_sc_hd__dfrtp_2 _20092_ (.CLK(clknet_leaf_144_usb_clk),
-    .D(_00688_),
-    .RESET_B(net106),
+ sky130_fd_sc_hd__dfrtp_2 _20044_ (.CLK(clknet_leaf_18_usb_clk),
+    .D(_00680_),
+    .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.token_q[8] ));
- sky130_fd_sc_hd__dfrtp_1 _20093_ (.CLK(clknet_leaf_22_usb_clk),
-    .D(_00689_),
-    .RESET_B(net106),
+ sky130_fd_sc_hd__dfrtp_2 _20045_ (.CLK(clknet_leaf_18_usb_clk),
+    .D(_00681_),
+    .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.token_q[9] ));
- sky130_fd_sc_hd__dfrtp_2 _20094_ (.CLK(clknet_leaf_22_usb_clk),
-    .D(_00690_),
-    .RESET_B(net106),
+ sky130_fd_sc_hd__dfrtp_1 _20046_ (.CLK(clknet_leaf_18_usb_clk),
+    .D(_00682_),
+    .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.token_q[10] ));
- sky130_fd_sc_hd__dfrtp_2 _20095_ (.CLK(clknet_leaf_22_usb_clk),
-    .D(_00691_),
-    .RESET_B(net106),
+ sky130_fd_sc_hd__dfrtp_2 _20047_ (.CLK(clknet_leaf_18_usb_clk),
+    .D(_00683_),
+    .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.token_q[11] ));
- sky130_fd_sc_hd__dfrtp_2 _20096_ (.CLK(clknet_leaf_22_usb_clk),
-    .D(_00692_),
-    .RESET_B(net106),
+ sky130_fd_sc_hd__dfrtp_4 _20048_ (.CLK(clknet_leaf_18_usb_clk),
+    .D(_00684_),
+    .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.token_q[12] ));
- sky130_fd_sc_hd__dfrtp_4 _20097_ (.CLK(clknet_leaf_19_usb_clk),
-    .D(_00693_),
-    .RESET_B(net106),
+ sky130_fd_sc_hd__dfrtp_4 _20049_ (.CLK(clknet_leaf_18_usb_clk),
+    .D(_00685_),
+    .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.token_q[13] ));
- sky130_fd_sc_hd__dfrtp_2 _20098_ (.CLK(clknet_leaf_22_usb_clk),
-    .D(_00694_),
-    .RESET_B(net106),
+ sky130_fd_sc_hd__dfrtp_4 _20050_ (.CLK(clknet_leaf_17_usb_clk),
+    .D(_00686_),
+    .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.token_q[14] ));
- sky130_fd_sc_hd__dfrtp_4 _20099_ (.CLK(clknet_leaf_22_usb_clk),
-    .D(_00695_),
-    .RESET_B(net106),
+ sky130_fd_sc_hd__dfrtp_4 _20051_ (.CLK(clknet_leaf_17_usb_clk),
+    .D(_00687_),
+    .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.token_q[15] ));
- sky130_fd_sc_hd__dfstp_4 _20100_ (.CLK(clknet_leaf_136_usb_clk),
-    .D(_00696_),
+ sky130_fd_sc_hd__dfstp_4 _20052_ (.CLK(clknet_leaf_122_usb_clk),
+    .D(_00688_),
     .SET_B(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net101));
- sky130_fd_sc_hd__dfrtp_2 _20101_ (.CLK(clknet_leaf_136_usb_clk),
-    .D(_00697_),
+ sky130_fd_sc_hd__dfrtp_1 _20053_ (.CLK(clknet_leaf_133_usb_clk),
+    .D(_00689_),
     .RESET_B(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_phy.out_dp_q ));
- sky130_fd_sc_hd__dfrtp_2 _20102_ (.CLK(clknet_leaf_136_usb_clk),
-    .D(_00698_),
+ sky130_fd_sc_hd__dfrtp_1 _20054_ (.CLK(clknet_leaf_133_usb_clk),
+    .D(_00690_),
     .RESET_B(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_phy.out_dn_q ));
- sky130_fd_sc_hd__dfrtp_1 _20103_ (.CLK(clknet_leaf_140_usb_clk),
+ sky130_fd_sc_hd__dfrtp_2 _20055_ (.CLK(clknet_leaf_118_usb_clk),
     .D(_00095_),
     .RESET_B(net108),
     .VGND(vssd1),
@@ -239365,143 +238508,143 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.utmi_txready_i ));
- sky130_fd_sc_hd__dfrtp_1 _20104_ (.CLK(clknet_leaf_142_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20056_ (.CLK(clknet_leaf_118_usb_clk),
     .D(_00094_),
-    .RESET_B(net107),
+    .RESET_B(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.utmi_rxvalid_i ));
- sky130_fd_sc_hd__dfrtp_1 _20105_ (.CLK(net117),
-    .D(_00699_),
+ sky130_fd_sc_hd__dfrtp_1 _20057_ (.CLK(net117),
+    .D(_00691_),
     .RESET_B(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_phy.send_eop_q ));
- sky130_fd_sc_hd__dfrtp_1 _20106_ (.CLK(clknet_leaf_143_usb_clk),
-    .D(_00700_),
-    .RESET_B(net107),
+ sky130_fd_sc_hd__dfrtp_1 _20058_ (.CLK(clknet_leaf_116_usb_clk),
+    .D(_00692_),
+    .RESET_B(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.utmi_data_i[0] ));
- sky130_fd_sc_hd__dfrtp_1 _20107_ (.CLK(clknet_leaf_115_usb_clk),
-    .D(_00701_),
-    .RESET_B(net107),
+ sky130_fd_sc_hd__dfrtp_1 _20059_ (.CLK(clknet_leaf_117_usb_clk),
+    .D(_00693_),
+    .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.utmi_data_i[1] ));
- sky130_fd_sc_hd__dfrtp_1 _20108_ (.CLK(clknet_leaf_115_usb_clk),
-    .D(_00702_),
-    .RESET_B(net107),
+ sky130_fd_sc_hd__dfrtp_1 _20060_ (.CLK(clknet_leaf_68_usb_clk),
+    .D(_00694_),
+    .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.utmi_data_i[2] ));
- sky130_fd_sc_hd__dfrtp_1 _20109_ (.CLK(clknet_leaf_115_usb_clk),
-    .D(_00703_),
-    .RESET_B(net107),
+ sky130_fd_sc_hd__dfrtp_1 _20061_ (.CLK(clknet_leaf_68_usb_clk),
+    .D(_00695_),
+    .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.utmi_data_i[3] ));
- sky130_fd_sc_hd__dfrtp_2 _20110_ (.CLK(clknet_leaf_143_usb_clk),
-    .D(_00704_),
-    .RESET_B(net107),
+ sky130_fd_sc_hd__dfrtp_1 _20062_ (.CLK(clknet_leaf_68_usb_clk),
+    .D(_00696_),
+    .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.utmi_data_i[4] ));
- sky130_fd_sc_hd__dfrtp_2 _20111_ (.CLK(clknet_leaf_115_usb_clk),
-    .D(_00705_),
-    .RESET_B(net107),
+ sky130_fd_sc_hd__dfrtp_2 _20063_ (.CLK(clknet_leaf_68_usb_clk),
+    .D(_00697_),
+    .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.utmi_data_i[5] ));
- sky130_fd_sc_hd__dfrtp_2 _20112_ (.CLK(clknet_leaf_143_usb_clk),
-    .D(_00706_),
-    .RESET_B(net107),
+ sky130_fd_sc_hd__dfrtp_2 _20064_ (.CLK(clknet_leaf_68_usb_clk),
+    .D(_00698_),
+    .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.utmi_data_i[6] ));
- sky130_fd_sc_hd__dfrtp_1 _20113_ (.CLK(clknet_leaf_143_usb_clk),
-    .D(_00707_),
-    .RESET_B(net107),
+ sky130_fd_sc_hd__dfrtp_1 _20065_ (.CLK(clknet_leaf_117_usb_clk),
+    .D(_00699_),
+    .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.utmi_data_i[7] ));
- sky130_fd_sc_hd__dfrtp_1 _20114_ (.CLK(clknet_leaf_116_usb_clk),
-    .D(_00708_),
-    .RESET_B(net107),
+ sky130_fd_sc_hd__dfrtp_1 _20066_ (.CLK(clknet_leaf_116_usb_clk),
+    .D(_00700_),
+    .RESET_B(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_phy.bit_count_q[0] ));
- sky130_fd_sc_hd__dfrtp_1 _20115_ (.CLK(clknet_leaf_119_usb_clk),
-    .D(_00709_),
-    .RESET_B(net107),
+ sky130_fd_sc_hd__dfrtp_1 _20067_ (.CLK(clknet_leaf_119_usb_clk),
+    .D(_00701_),
+    .RESET_B(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_phy.bit_count_q[1] ));
- sky130_fd_sc_hd__dfrtp_1 _20116_ (.CLK(clknet_leaf_120_usb_clk),
-    .D(_00710_),
-    .RESET_B(net107),
+ sky130_fd_sc_hd__dfrtp_1 _20068_ (.CLK(clknet_leaf_113_usb_clk),
+    .D(_00702_),
+    .RESET_B(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_phy.bit_count_q[2] ));
- sky130_fd_sc_hd__dfstp_1 _20117_ (.CLK(clknet_leaf_142_usb_clk),
-    .D(_00711_),
-    .SET_B(net107),
+ sky130_fd_sc_hd__dfstp_1 _20069_ (.CLK(clknet_leaf_118_usb_clk),
+    .D(_00703_),
+    .SET_B(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_phy.ones_count_q[0] ));
- sky130_fd_sc_hd__dfrtp_1 _20118_ (.CLK(clknet_leaf_142_usb_clk),
-    .D(_00712_),
-    .RESET_B(net107),
+ sky130_fd_sc_hd__dfrtp_1 _20070_ (.CLK(clknet_leaf_116_usb_clk),
+    .D(_00704_),
+    .RESET_B(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_phy.ones_count_q[1] ));
- sky130_fd_sc_hd__dfrtp_1 _20119_ (.CLK(clknet_leaf_142_usb_clk),
-    .D(_00713_),
-    .RESET_B(net107),
+ sky130_fd_sc_hd__dfrtp_1 _20071_ (.CLK(clknet_leaf_118_usb_clk),
+    .D(_00705_),
+    .RESET_B(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_phy.ones_count_q[2] ));
- sky130_fd_sc_hd__dfrtp_1 _20120_ (.CLK(clknet_leaf_119_usb_clk),
-    .D(_00714_),
-    .RESET_B(net107),
+ sky130_fd_sc_hd__dfrtp_1 _20072_ (.CLK(clknet_leaf_119_usb_clk),
+    .D(_00706_),
+    .RESET_B(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_phy.rxd_last_j_q ));
- sky130_fd_sc_hd__dfrtp_1 _20121_ (.CLK(clknet_leaf_137_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20073_ (.CLK(clknet_leaf_120_usb_clk),
     .D(_00092_),
     .RESET_B(net108),
     .VGND(vssd1),
@@ -239509,15 +238652,15 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_phy.adjust_delayed_q ));
- sky130_fd_sc_hd__dfrtp_1 _20122_ (.CLK(clknet_leaf_120_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20074_ (.CLK(clknet_leaf_119_usb_clk),
     .D(\u_usb_host.u_phy.in_j_w ),
-    .RESET_B(net107),
+    .RESET_B(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_phy.rxd_last_q ));
- sky130_fd_sc_hd__dfrtp_1 _20123_ (.CLK(clknet_leaf_136_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20075_ (.CLK(clknet_leaf_133_usb_clk),
     .D(_00093_),
     .RESET_B(net108),
     .VGND(vssd1),
@@ -239525,47 +238668,47 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.utmi_rxerror_i ));
- sky130_fd_sc_hd__dfrtp_1 _20124_ (.CLK(clknet_leaf_137_usb_clk),
-    .D(_00715_),
+ sky130_fd_sc_hd__dfrtp_1 _20076_ (.CLK(clknet_leaf_121_usb_clk),
+    .D(_00707_),
     .RESET_B(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_phy.sample_cnt_q[0] ));
- sky130_fd_sc_hd__dfrtp_1 _20125_ (.CLK(clknet_leaf_137_usb_clk),
-    .D(_00716_),
+ sky130_fd_sc_hd__dfrtp_1 _20077_ (.CLK(clknet_leaf_121_usb_clk),
+    .D(_00708_),
     .RESET_B(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_phy.sample_cnt_q[1] ));
- sky130_fd_sc_hd__dfrtp_1 _20126_ (.CLK(clknet_leaf_137_usb_clk),
-    .D(net339),
+ sky130_fd_sc_hd__dfrtp_1 _20078_ (.CLK(clknet_leaf_123_usb_clk),
+    .D(net366),
     .RESET_B(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_phy.rx_dp0_q ));
- sky130_fd_sc_hd__dfrtp_1 _20127_ (.CLK(clknet_leaf_137_usb_clk),
-    .D(net361),
+ sky130_fd_sc_hd__dfrtp_1 _20079_ (.CLK(clknet_leaf_123_usb_clk),
+    .D(net371),
     .RESET_B(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_phy.rx_dn0_q ));
- sky130_fd_sc_hd__dfrtp_1 _20128_ (.CLK(clknet_leaf_121_usb_clk),
-    .D(net302),
+ sky130_fd_sc_hd__dfrtp_1 _20080_ (.CLK(clknet_leaf_122_usb_clk),
+    .D(net385),
     .RESET_B(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_phy.rx_dp1_q ));
- sky130_fd_sc_hd__dfrtp_1 _20129_ (.CLK(clknet_leaf_137_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20081_ (.CLK(clknet_leaf_122_usb_clk),
     .D(\u_usb_host.u_phy.rx_dn0_q ),
     .RESET_B(net108),
     .VGND(vssd1),
@@ -239573,47 +238716,47 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_phy.rx_dn1_q ));
- sky130_fd_sc_hd__dfrtp_1 _20130_ (.CLK(clknet_leaf_120_usb_clk),
-    .D(_00717_),
-    .RESET_B(net107),
+ sky130_fd_sc_hd__dfrtp_1 _20082_ (.CLK(clknet_leaf_119_usb_clk),
+    .D(_00709_),
+    .RESET_B(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_phy.sync_j_detected_q ));
- sky130_fd_sc_hd__dfrtp_1 _20131_ (.CLK(clknet_leaf_121_usb_clk),
-    .D(_00718_),
+ sky130_fd_sc_hd__dfrtp_1 _20083_ (.CLK(clknet_leaf_122_usb_clk),
+    .D(_00710_),
     .RESET_B(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_phy.rx_dp_q ));
- sky130_fd_sc_hd__dfrtp_1 _20132_ (.CLK(clknet_leaf_137_usb_clk),
-    .D(\u_usb_host.u_phy.rxd_ms ),
+ sky130_fd_sc_hd__dfrtp_1 _20084_ (.CLK(clknet_leaf_121_usb_clk),
+    .D(net382),
     .RESET_B(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_phy.rxd0_q ));
- sky130_fd_sc_hd__dfrtp_1 _20133_ (.CLK(clknet_leaf_138_usb_clk),
-    .D(net307),
+ sky130_fd_sc_hd__dfrtp_1 _20085_ (.CLK(clknet_leaf_121_usb_clk),
+    .D(\u_usb_host.u_phy.rxd0_q ),
     .RESET_B(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_phy.rxd1_q ));
- sky130_fd_sc_hd__dfrtp_1 _20134_ (.CLK(clknet_leaf_138_usb_clk),
-    .D(net329),
+ sky130_fd_sc_hd__dfrtp_1 _20086_ (.CLK(clknet_leaf_122_usb_clk),
+    .D(_00711_),
     .RESET_B(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_phy.rx_dn_q ));
- sky130_fd_sc_hd__dfrtp_1 _20135_ (.CLK(clknet_leaf_137_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20087_ (.CLK(clknet_leaf_122_usb_clk),
     .D(net55),
     .RESET_B(net108),
     .VGND(vssd1),
@@ -239621,7 +238764,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_phy.rx_dp_ms ));
- sky130_fd_sc_hd__dfrtp_1 _20136_ (.CLK(clknet_leaf_137_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20088_ (.CLK(clknet_leaf_122_usb_clk),
     .D(net54),
     .RESET_B(net108),
     .VGND(vssd1),
@@ -239629,7 +238772,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_phy.rx_dn_ms ));
- sky130_fd_sc_hd__dfrtp_1 _20137_ (.CLK(clknet_leaf_137_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20089_ (.CLK(clknet_leaf_121_usb_clk),
     .D(\u_usb_host.u_phy.in_rx_w ),
     .RESET_B(net108),
     .VGND(vssd1),
@@ -239637,351 +238780,351 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_phy.rxd_ms ));
- sky130_fd_sc_hd__dfrtp_1 _20138_ (.CLK(clknet_leaf_159_usb_clk),
-    .D(_00720_),
+ sky130_fd_sc_hd__dfrtp_1 _20090_ (.CLK(clknet_leaf_14_usb_clk),
+    .D(_00712_),
     .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.reg_rdata[0] ));
- sky130_fd_sc_hd__dfrtp_1 _20139_ (.CLK(clknet_leaf_158_usb_clk),
-    .D(_00721_),
+ sky130_fd_sc_hd__dfrtp_1 _20091_ (.CLK(clknet_leaf_14_usb_clk),
+    .D(_00713_),
     .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.reg_rdata[1] ));
- sky130_fd_sc_hd__dfrtp_1 _20140_ (.CLK(clknet_leaf_158_usb_clk),
-    .D(_00722_),
+ sky130_fd_sc_hd__dfrtp_1 _20092_ (.CLK(clknet_leaf_14_usb_clk),
+    .D(_00714_),
     .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.reg_rdata[2] ));
- sky130_fd_sc_hd__dfrtp_1 _20141_ (.CLK(clknet_leaf_156_usb_clk),
-    .D(_00723_),
+ sky130_fd_sc_hd__dfrtp_1 _20093_ (.CLK(clknet_leaf_13_usb_clk),
+    .D(_00715_),
     .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.reg_rdata[3] ));
- sky130_fd_sc_hd__dfrtp_1 _20142_ (.CLK(clknet_leaf_152_usb_clk),
-    .D(_00724_),
-    .RESET_B(net109),
+ sky130_fd_sc_hd__dfrtp_1 _20094_ (.CLK(clknet_leaf_144_usb_clk),
+    .D(_00716_),
+    .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.reg_rdata[4] ));
- sky130_fd_sc_hd__dfrtp_1 _20143_ (.CLK(clknet_leaf_154_usb_clk),
-    .D(_00725_),
-    .RESET_B(net109),
+ sky130_fd_sc_hd__dfrtp_1 _20095_ (.CLK(clknet_leaf_13_usb_clk),
+    .D(_00717_),
+    .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.reg_rdata[5] ));
- sky130_fd_sc_hd__dfrtp_1 _20144_ (.CLK(clknet_leaf_154_usb_clk),
-    .D(_00726_),
-    .RESET_B(net109),
+ sky130_fd_sc_hd__dfrtp_1 _20096_ (.CLK(clknet_leaf_14_usb_clk),
+    .D(_00718_),
+    .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.reg_rdata[6] ));
- sky130_fd_sc_hd__dfrtp_1 _20145_ (.CLK(clknet_leaf_159_usb_clk),
-    .D(_00727_),
+ sky130_fd_sc_hd__dfrtp_1 _20097_ (.CLK(clknet_leaf_14_usb_clk),
+    .D(_00719_),
     .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.reg_rdata[7] ));
- sky130_fd_sc_hd__dfrtp_4 _20146_ (.CLK(clknet_leaf_157_usb_clk),
-    .D(_00728_),
-    .RESET_B(net109),
+ sky130_fd_sc_hd__dfrtp_2 _20098_ (.CLK(clknet_leaf_143_usb_clk),
+    .D(_00720_),
+    .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.reg_rdata[8] ));
- sky130_fd_sc_hd__dfrtp_2 _20147_ (.CLK(clknet_leaf_157_usb_clk),
-    .D(_00729_),
-    .RESET_B(net109),
+ sky130_fd_sc_hd__dfrtp_2 _20099_ (.CLK(clknet_leaf_143_usb_clk),
+    .D(_00721_),
+    .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.reg_rdata[9] ));
- sky130_fd_sc_hd__dfrtp_2 _20148_ (.CLK(clknet_leaf_157_usb_clk),
-    .D(_00730_),
-    .RESET_B(net109),
+ sky130_fd_sc_hd__dfrtp_2 _20100_ (.CLK(clknet_leaf_144_usb_clk),
+    .D(_00722_),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.reg_rdata[10] ));
- sky130_fd_sc_hd__dfrtp_4 _20149_ (.CLK(clknet_leaf_157_usb_clk),
-    .D(_00731_),
-    .RESET_B(net109),
+ sky130_fd_sc_hd__dfrtp_2 _20101_ (.CLK(clknet_leaf_143_usb_clk),
+    .D(_00723_),
+    .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.reg_rdata[11] ));
- sky130_fd_sc_hd__dfrtp_4 _20150_ (.CLK(clknet_leaf_149_usb_clk),
-    .D(_00732_),
-    .RESET_B(net108),
+ sky130_fd_sc_hd__dfrtp_2 _20102_ (.CLK(clknet_leaf_142_usb_clk),
+    .D(_00724_),
+    .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.reg_rdata[12] ));
- sky130_fd_sc_hd__dfrtp_4 _20151_ (.CLK(clknet_leaf_157_usb_clk),
-    .D(_00733_),
-    .RESET_B(net109),
+ sky130_fd_sc_hd__dfrtp_4 _20103_ (.CLK(clknet_leaf_14_usb_clk),
+    .D(_00725_),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.reg_rdata[13] ));
- sky130_fd_sc_hd__dfrtp_4 _20152_ (.CLK(clknet_leaf_148_usb_clk),
-    .D(_00734_),
-    .RESET_B(net110),
+ sky130_fd_sc_hd__dfrtp_4 _20104_ (.CLK(clknet_leaf_15_usb_clk),
+    .D(_00726_),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.reg_rdata[14] ));
- sky130_fd_sc_hd__dfrtp_2 _20153_ (.CLK(clknet_leaf_148_usb_clk),
-    .D(_00735_),
-    .RESET_B(net110),
+ sky130_fd_sc_hd__dfrtp_4 _20105_ (.CLK(clknet_leaf_15_usb_clk),
+    .D(_00727_),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.reg_rdata[15] ));
- sky130_fd_sc_hd__dfrtp_2 _20154_ (.CLK(clknet_leaf_159_usb_clk),
-    .D(_00736_),
-    .RESET_B(net56),
+ sky130_fd_sc_hd__dfrtp_2 _20106_ (.CLK(clknet_leaf_15_usb_clk),
+    .D(_00728_),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.reg_rdata[16] ));
- sky130_fd_sc_hd__dfrtp_2 _20155_ (.CLK(clknet_leaf_159_usb_clk),
-    .D(_00737_),
-    .RESET_B(net56),
+ sky130_fd_sc_hd__dfrtp_1 _20107_ (.CLK(clknet_leaf_0_usb_clk),
+    .D(_00729_),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.reg_rdata[17] ));
- sky130_fd_sc_hd__dfrtp_2 _20156_ (.CLK(clknet_leaf_148_usb_clk),
-    .D(_00738_),
-    .RESET_B(net110),
+ sky130_fd_sc_hd__dfrtp_2 _20108_ (.CLK(clknet_leaf_14_usb_clk),
+    .D(_00730_),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.reg_rdata[18] ));
- sky130_fd_sc_hd__dfrtp_2 _20157_ (.CLK(clknet_leaf_158_usb_clk),
-    .D(_00739_),
-    .RESET_B(net110),
+ sky130_fd_sc_hd__dfrtp_4 _20109_ (.CLK(clknet_leaf_14_usb_clk),
+    .D(_00731_),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.reg_rdata[19] ));
- sky130_fd_sc_hd__dfrtp_4 _20158_ (.CLK(clknet_leaf_158_usb_clk),
-    .D(_00740_),
-    .RESET_B(net110),
+ sky130_fd_sc_hd__dfrtp_4 _20110_ (.CLK(clknet_leaf_14_usb_clk),
+    .D(_00732_),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.reg_rdata[20] ));
- sky130_fd_sc_hd__dfrtp_2 _20159_ (.CLK(clknet_leaf_158_usb_clk),
-    .D(_00741_),
-    .RESET_B(net110),
+ sky130_fd_sc_hd__dfrtp_4 _20111_ (.CLK(clknet_leaf_16_usb_clk),
+    .D(_00733_),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.reg_rdata[21] ));
- sky130_fd_sc_hd__dfrtp_2 _20160_ (.CLK(clknet_leaf_158_usb_clk),
-    .D(_00742_),
-    .RESET_B(net110),
+ sky130_fd_sc_hd__dfrtp_4 _20112_ (.CLK(clknet_leaf_16_usb_clk),
+    .D(_00734_),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.reg_rdata[22] ));
- sky130_fd_sc_hd__dfrtp_1 _20161_ (.CLK(clknet_leaf_4_usb_clk),
-    .D(_00743_),
-    .RESET_B(net56),
+ sky130_fd_sc_hd__dfrtp_4 _20113_ (.CLK(clknet_leaf_16_usb_clk),
+    .D(_00735_),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.reg_rdata[23] ));
- sky130_fd_sc_hd__dfrtp_1 _20162_ (.CLK(clknet_leaf_3_usb_clk),
-    .D(_00744_),
-    .RESET_B(net56),
+ sky130_fd_sc_hd__dfrtp_1 _20114_ (.CLK(clknet_leaf_0_usb_clk),
+    .D(_00736_),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.reg_rdata[24] ));
- sky130_fd_sc_hd__dfrtp_1 _20163_ (.CLK(clknet_leaf_3_usb_clk),
-    .D(_00745_),
-    .RESET_B(net56),
+ sky130_fd_sc_hd__dfrtp_1 _20115_ (.CLK(clknet_leaf_12_usb_clk),
+    .D(_00737_),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.reg_rdata[25] ));
- sky130_fd_sc_hd__dfrtp_1 _20164_ (.CLK(clknet_leaf_3_usb_clk),
-    .D(_00746_),
-    .RESET_B(net56),
+ sky130_fd_sc_hd__dfrtp_1 _20116_ (.CLK(clknet_leaf_13_usb_clk),
+    .D(_00738_),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.reg_rdata[26] ));
- sky130_fd_sc_hd__dfrtp_1 _20165_ (.CLK(clknet_leaf_3_usb_clk),
-    .D(_00747_),
-    .RESET_B(net56),
+ sky130_fd_sc_hd__dfrtp_1 _20117_ (.CLK(clknet_leaf_13_usb_clk),
+    .D(_00739_),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.reg_rdata[27] ));
- sky130_fd_sc_hd__dfrtp_2 _20166_ (.CLK(clknet_leaf_158_usb_clk),
-    .D(_00748_),
-    .RESET_B(net110),
+ sky130_fd_sc_hd__dfrtp_2 _20118_ (.CLK(clknet_leaf_15_usb_clk),
+    .D(_00740_),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.reg_rdata[28] ));
- sky130_fd_sc_hd__dfrtp_2 _20167_ (.CLK(clknet_leaf_160_usb_clk),
-    .D(_00749_),
-    .RESET_B(net56),
+ sky130_fd_sc_hd__dfrtp_2 _20119_ (.CLK(clknet_leaf_14_usb_clk),
+    .D(_00741_),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.reg_rdata[29] ));
- sky130_fd_sc_hd__dfrtp_1 _20168_ (.CLK(clknet_leaf_159_usb_clk),
-    .D(_00750_),
-    .RESET_B(net110),
+ sky130_fd_sc_hd__dfrtp_1 _20120_ (.CLK(clknet_leaf_13_usb_clk),
+    .D(_00742_),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.reg_rdata[30] ));
- sky130_fd_sc_hd__dfrtp_2 _20169_ (.CLK(clknet_leaf_158_usb_clk),
-    .D(_00751_),
-    .RESET_B(net110),
+ sky130_fd_sc_hd__dfrtp_1 _20121_ (.CLK(clknet_leaf_14_usb_clk),
+    .D(_00743_),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.reg_rdata[31] ));
- sky130_fd_sc_hd__dfxtp_1 _20170_ (.CLK(clknet_leaf_60_app_clk),
-    .D(_00752_),
+ sky130_fd_sc_hd__dfxtp_1 _20122_ (.CLK(clknet_leaf_65_app_clk),
+    .D(_00744_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[4][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20171_ (.CLK(clknet_leaf_60_app_clk),
-    .D(_00753_),
+ sky130_fd_sc_hd__dfxtp_1 _20123_ (.CLK(clknet_leaf_65_app_clk),
+    .D(_00745_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[4][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20172_ (.CLK(clknet_leaf_60_app_clk),
-    .D(_00754_),
+ sky130_fd_sc_hd__dfxtp_1 _20124_ (.CLK(clknet_leaf_64_app_clk),
+    .D(_00746_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[4][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20173_ (.CLK(clknet_leaf_61_app_clk),
-    .D(_00755_),
+ sky130_fd_sc_hd__dfxtp_1 _20125_ (.CLK(clknet_leaf_63_app_clk),
+    .D(_00747_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[4][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20174_ (.CLK(clknet_leaf_75_app_clk),
-    .D(_00756_),
+ sky130_fd_sc_hd__dfxtp_1 _20126_ (.CLK(clknet_leaf_63_app_clk),
+    .D(_00748_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[4][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20175_ (.CLK(clknet_leaf_75_app_clk),
-    .D(_00757_),
+ sky130_fd_sc_hd__dfxtp_1 _20127_ (.CLK(clknet_leaf_63_app_clk),
+    .D(_00749_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[4][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20176_ (.CLK(clknet_leaf_75_app_clk),
-    .D(_00758_),
+ sky130_fd_sc_hd__dfxtp_1 _20128_ (.CLK(clknet_leaf_63_app_clk),
+    .D(_00750_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[4][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20177_ (.CLK(clknet_leaf_75_app_clk),
-    .D(_00759_),
+ sky130_fd_sc_hd__dfxtp_1 _20129_ (.CLK(clknet_leaf_63_app_clk),
+    .D(_00751_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[4][7] ));
- sky130_fd_sc_hd__dfrtp_1 _20178_ (.CLK(clknet_leaf_162_usb_clk),
-    .D(_00760_),
-    .RESET_B(net56),
+ sky130_fd_sc_hd__dfrtp_1 _20130_ (.CLK(clknet_leaf_6_usb_clk),
+    .D(_00752_),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.grey_wr_ptr[0] ));
- sky130_fd_sc_hd__dfrtp_1 _20179_ (.CLK(clknet_leaf_162_usb_clk),
-    .D(_00761_),
-    .RESET_B(net56),
+ sky130_fd_sc_hd__dfrtp_1 _20131_ (.CLK(clknet_leaf_4_usb_clk),
+    .D(_00753_),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.grey_wr_ptr[1] ));
- sky130_fd_sc_hd__dfrtp_1 _20180_ (.CLK(clknet_leaf_134_usb_clk),
-    .D(_00762_),
-    .RESET_B(net108),
+ sky130_fd_sc_hd__dfrtp_1 _20132_ (.CLK(clknet_leaf_134_usb_clk),
+    .D(_00754_),
+    .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.intr_done_q ));
- sky130_fd_sc_hd__dfrtp_1 _20181_ (.CLK(clknet_leaf_133_usb_clk),
-    .D(_00763_),
+ sky130_fd_sc_hd__dfrtp_1 _20133_ (.CLK(clknet_leaf_140_usb_clk),
+    .D(_00755_),
     .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.intr_sof_q ));
- sky130_fd_sc_hd__dfrtp_1 _20182_ (.CLK(clknet_leaf_134_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20134_ (.CLK(clknet_leaf_131_usb_clk),
     .D(_00080_),
     .RESET_B(net109),
     .VGND(vssd1),
@@ -239989,7 +239132,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.err_cond_q ));
- sky130_fd_sc_hd__dfrtp_4 _20183_ (.CLK(clknet_4_5_0_usb_clk),
+ sky130_fd_sc_hd__dfrtp_4 _20135_ (.CLK(clknet_4_6_0_usb_clk),
     .D(_00081_),
     .RESET_B(net109),
     .VGND(vssd1),
@@ -239997,703 +239140,703 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net98));
- sky130_fd_sc_hd__dfrtp_1 _20184_ (.CLK(clknet_leaf_134_usb_clk),
-    .D(_00764_),
+ sky130_fd_sc_hd__dfrtp_1 _20136_ (.CLK(clknet_leaf_134_usb_clk),
+    .D(_00756_),
     .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.intr_err_q ));
- sky130_fd_sc_hd__dfrtp_1 _20185_ (.CLK(clknet_leaf_133_usb_clk),
-    .D(_00765_),
+ sky130_fd_sc_hd__dfrtp_1 _20137_ (.CLK(clknet_leaf_134_usb_clk),
+    .D(_00757_),
     .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.device_det_q ));
- sky130_fd_sc_hd__dfrtp_1 _20186_ (.CLK(clknet_leaf_135_usb_clk),
-    .D(_00766_),
+ sky130_fd_sc_hd__dfrtp_1 _20138_ (.CLK(clknet_leaf_134_usb_clk),
+    .D(_00758_),
     .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.usb_err_q ));
- sky130_fd_sc_hd__dfrtp_2 _20187_ (.CLK(clknet_leaf_5_usb_clk),
-    .D(_00767_),
-    .RESET_B(net110),
+ sky130_fd_sc_hd__dfrtp_2 _20139_ (.CLK(clknet_leaf_11_usb_clk),
+    .D(_00759_),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.sof_value_q[0] ));
- sky130_fd_sc_hd__dfrtp_1 _20188_ (.CLK(clknet_leaf_148_usb_clk),
-    .D(_00768_),
+ sky130_fd_sc_hd__dfrtp_1 _20140_ (.CLK(clknet_leaf_16_usb_clk),
+    .D(_00760_),
     .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.sof_value_q[1] ));
- sky130_fd_sc_hd__dfrtp_1 _20189_ (.CLK(clknet_leaf_147_usb_clk),
-    .D(_00769_),
+ sky130_fd_sc_hd__dfrtp_1 _20141_ (.CLK(clknet_leaf_16_usb_clk),
+    .D(_00761_),
     .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.sof_value_q[2] ));
- sky130_fd_sc_hd__dfrtp_1 _20190_ (.CLK(clknet_leaf_147_usb_clk),
-    .D(_00770_),
+ sky130_fd_sc_hd__dfrtp_1 _20142_ (.CLK(clknet_leaf_15_usb_clk),
+    .D(_00762_),
     .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.sof_value_q[3] ));
- sky130_fd_sc_hd__dfrtp_1 _20191_ (.CLK(clknet_leaf_147_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20143_ (.CLK(clknet_leaf_18_usb_clk),
+    .D(_00763_),
+    .RESET_B(net110),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_usb_host.u_core.sof_value_q[4] ));
+ sky130_fd_sc_hd__dfrtp_1 _20144_ (.CLK(clknet_leaf_18_usb_clk),
+    .D(_00764_),
+    .RESET_B(net110),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_usb_host.u_core.sof_value_q[5] ));
+ sky130_fd_sc_hd__dfrtp_1 _20145_ (.CLK(clknet_leaf_19_usb_clk),
+    .D(_00765_),
+    .RESET_B(net110),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_usb_host.u_core.sof_value_q[6] ));
+ sky130_fd_sc_hd__dfrtp_1 _20146_ (.CLK(clknet_leaf_18_usb_clk),
+    .D(_00766_),
+    .RESET_B(net110),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_usb_host.u_core.sof_value_q[7] ));
+ sky130_fd_sc_hd__dfrtp_1 _20147_ (.CLK(clknet_leaf_19_usb_clk),
+    .D(_00767_),
+    .RESET_B(net110),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_usb_host.u_core.sof_value_q[8] ));
+ sky130_fd_sc_hd__dfrtp_1 _20148_ (.CLK(clknet_leaf_19_usb_clk),
+    .D(_00768_),
+    .RESET_B(net110),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_usb_host.u_core.sof_value_q[9] ));
+ sky130_fd_sc_hd__dfrtp_1 _20149_ (.CLK(clknet_leaf_19_usb_clk),
+    .D(_00769_),
+    .RESET_B(net110),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_usb_host.u_core.sof_value_q[10] ));
+ sky130_fd_sc_hd__dfrtp_1 _20150_ (.CLK(clknet_leaf_139_usb_clk),
+    .D(\u_usb_host.u_core.send_sof_w ),
+    .RESET_B(net110),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_usb_host.u_core.sof_irq_q ));
+ sky130_fd_sc_hd__dfrtp_1 _20151_ (.CLK(clknet_leaf_12_usb_clk),
+    .D(_00770_),
+    .RESET_B(net106),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_usb_host.u_core.sof_time_q[0] ));
+ sky130_fd_sc_hd__dfrtp_1 _20152_ (.CLK(clknet_leaf_0_usb_clk),
     .D(_00771_),
     .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_usb_host.u_core.sof_value_q[4] ));
- sky130_fd_sc_hd__dfrtp_1 _20192_ (.CLK(clknet_leaf_147_usb_clk),
+    .Q(\u_usb_host.u_core.sof_time_q[1] ));
+ sky130_fd_sc_hd__dfrtp_1 _20153_ (.CLK(clknet_leaf_0_usb_clk),
     .D(_00772_),
     .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_usb_host.u_core.sof_value_q[5] ));
- sky130_fd_sc_hd__dfrtp_1 _20193_ (.CLK(clknet_leaf_147_usb_clk),
-    .D(_00773_),
-    .RESET_B(net108),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_usb_host.u_core.sof_value_q[6] ));
- sky130_fd_sc_hd__dfrtp_1 _20194_ (.CLK(clknet_leaf_146_usb_clk),
-    .D(_00774_),
-    .RESET_B(net108),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_usb_host.u_core.sof_value_q[7] ));
- sky130_fd_sc_hd__dfrtp_1 _20195_ (.CLK(clknet_leaf_146_usb_clk),
-    .D(_00775_),
-    .RESET_B(net108),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_usb_host.u_core.sof_value_q[8] ));
- sky130_fd_sc_hd__dfrtp_1 _20196_ (.CLK(clknet_leaf_144_usb_clk),
-    .D(_00776_),
-    .RESET_B(net108),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_usb_host.u_core.sof_value_q[9] ));
- sky130_fd_sc_hd__dfrtp_1 _20197_ (.CLK(clknet_leaf_144_usb_clk),
-    .D(_00777_),
-    .RESET_B(net108),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_usb_host.u_core.sof_value_q[10] ));
- sky130_fd_sc_hd__dfrtp_1 _20198_ (.CLK(clknet_leaf_134_usb_clk),
-    .D(\u_usb_host.u_core.send_sof_w ),
-    .RESET_B(net108),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_usb_host.u_core.sof_irq_q ));
- sky130_fd_sc_hd__dfrtp_1 _20199_ (.CLK(clknet_leaf_159_usb_clk),
-    .D(_00778_),
-    .RESET_B(net110),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_usb_host.u_core.sof_time_q[0] ));
- sky130_fd_sc_hd__dfrtp_1 _20200_ (.CLK(clknet_leaf_159_usb_clk),
-    .D(_00779_),
-    .RESET_B(net110),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_usb_host.u_core.sof_time_q[1] ));
- sky130_fd_sc_hd__dfrtp_1 _20201_ (.CLK(clknet_leaf_159_usb_clk),
-    .D(_00780_),
-    .RESET_B(net110),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
     .Q(\u_usb_host.u_core.sof_time_q[2] ));
- sky130_fd_sc_hd__dfrtp_1 _20202_ (.CLK(clknet_leaf_159_usb_clk),
-    .D(_00781_),
-    .RESET_B(net110),
+ sky130_fd_sc_hd__dfrtp_1 _20154_ (.CLK(clknet_leaf_5_usb_clk),
+    .D(_00773_),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.sof_time_q[3] ));
- sky130_fd_sc_hd__dfrtp_1 _20203_ (.CLK(clknet_leaf_158_usb_clk),
-    .D(_00782_),
-    .RESET_B(net110),
+ sky130_fd_sc_hd__dfrtp_1 _20155_ (.CLK(clknet_leaf_5_usb_clk),
+    .D(_00774_),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.sof_time_q[4] ));
- sky130_fd_sc_hd__dfrtp_1 _20204_ (.CLK(clknet_leaf_4_usb_clk),
-    .D(_00783_),
-    .RESET_B(net110),
+ sky130_fd_sc_hd__dfrtp_1 _20156_ (.CLK(clknet_leaf_9_usb_clk),
+    .D(_00775_),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.sof_time_q[5] ));
- sky130_fd_sc_hd__dfrtp_1 _20205_ (.CLK(clknet_leaf_4_usb_clk),
-    .D(_00784_),
-    .RESET_B(net56),
+ sky130_fd_sc_hd__dfrtp_1 _20157_ (.CLK(clknet_leaf_12_usb_clk),
+    .D(_00776_),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.sof_time_q[6] ));
- sky130_fd_sc_hd__dfrtp_1 _20206_ (.CLK(clknet_leaf_3_usb_clk),
-    .D(_00785_),
-    .RESET_B(net56),
+ sky130_fd_sc_hd__dfrtp_1 _20158_ (.CLK(clknet_leaf_11_usb_clk),
+    .D(_00777_),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.sof_time_q[7] ));
- sky130_fd_sc_hd__dfrtp_1 _20207_ (.CLK(clknet_leaf_3_usb_clk),
-    .D(_00786_),
-    .RESET_B(net56),
+ sky130_fd_sc_hd__dfrtp_1 _20159_ (.CLK(clknet_leaf_12_usb_clk),
+    .D(_00778_),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.sof_time_q[8] ));
- sky130_fd_sc_hd__dfrtp_1 _20208_ (.CLK(clknet_leaf_4_usb_clk),
-    .D(_00787_),
-    .RESET_B(net56),
+ sky130_fd_sc_hd__dfrtp_1 _20160_ (.CLK(clknet_leaf_12_usb_clk),
+    .D(_00779_),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.sof_time_q[9] ));
- sky130_fd_sc_hd__dfrtp_1 _20209_ (.CLK(clknet_leaf_3_usb_clk),
-    .D(_00788_),
-    .RESET_B(net56),
+ sky130_fd_sc_hd__dfrtp_1 _20161_ (.CLK(clknet_leaf_12_usb_clk),
+    .D(_00780_),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.sof_time_q[10] ));
- sky130_fd_sc_hd__dfrtp_1 _20210_ (.CLK(clknet_leaf_4_usb_clk),
-    .D(_00789_),
-    .RESET_B(net56),
+ sky130_fd_sc_hd__dfrtp_1 _20162_ (.CLK(clknet_leaf_11_usb_clk),
+    .D(_00781_),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.sof_time_q[11] ));
- sky130_fd_sc_hd__dfrtp_1 _20211_ (.CLK(clknet_leaf_4_usb_clk),
-    .D(_00790_),
-    .RESET_B(net56),
+ sky130_fd_sc_hd__dfrtp_1 _20163_ (.CLK(clknet_leaf_11_usb_clk),
+    .D(_00782_),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.sof_time_q[12] ));
- sky130_fd_sc_hd__dfrtp_1 _20212_ (.CLK(clknet_leaf_4_usb_clk),
-    .D(_00791_),
-    .RESET_B(net56),
+ sky130_fd_sc_hd__dfrtp_1 _20164_ (.CLK(clknet_leaf_12_usb_clk),
+    .D(_00783_),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.sof_time_q[13] ));
- sky130_fd_sc_hd__dfrtp_1 _20213_ (.CLK(clknet_leaf_5_usb_clk),
-    .D(_00792_),
-    .RESET_B(net110),
+ sky130_fd_sc_hd__dfrtp_1 _20165_ (.CLK(clknet_leaf_13_usb_clk),
+    .D(_00784_),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.sof_time_q[14] ));
- sky130_fd_sc_hd__dfrtp_1 _20214_ (.CLK(clknet_leaf_5_usb_clk),
-    .D(_00793_),
-    .RESET_B(net110),
+ sky130_fd_sc_hd__dfrtp_1 _20166_ (.CLK(clknet_leaf_11_usb_clk),
+    .D(_00785_),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.sof_time_q[15] ));
- sky130_fd_sc_hd__dfrtp_1 _20215_ (.CLK(clknet_leaf_145_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20167_ (.CLK(clknet_leaf_138_usb_clk),
     .D(_00083_),
-    .RESET_B(net108),
+    .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.transfer_start_q ));
- sky130_fd_sc_hd__dfrtp_4 _20216_ (.CLK(clknet_leaf_145_usb_clk),
-    .D(_00794_),
-    .RESET_B(net108),
+ sky130_fd_sc_hd__dfrtp_4 _20168_ (.CLK(clknet_leaf_138_usb_clk),
+    .D(_00786_),
+    .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.fifo_flush_q ));
- sky130_fd_sc_hd__dfrtp_1 _20217_ (.CLK(clknet_leaf_145_usb_clk),
-    .D(_00795_),
-    .RESET_B(net108),
+ sky130_fd_sc_hd__dfrtp_1 _20169_ (.CLK(clknet_leaf_138_usb_clk),
+    .D(_00787_),
+    .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.in_transfer_q ));
- sky130_fd_sc_hd__dfrtp_1 _20218_ (.CLK(clknet_leaf_145_usb_clk),
-    .D(_00796_),
-    .RESET_B(net108),
+ sky130_fd_sc_hd__dfrtp_1 _20170_ (.CLK(clknet_leaf_19_usb_clk),
+    .D(_00788_),
+    .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.sof_transfer_q ));
- sky130_fd_sc_hd__dfrtp_1 _20219_ (.CLK(clknet_leaf_22_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20171_ (.CLK(clknet_leaf_21_usb_clk),
     .D(_00090_),
-    .RESET_B(net106),
+    .RESET_B(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.push_i ));
- sky130_fd_sc_hd__dfrtp_1 _20220_ (.CLK(clknet_leaf_145_usb_clk),
-    .D(_00797_),
-    .RESET_B(net108),
+ sky130_fd_sc_hd__dfrtp_1 _20172_ (.CLK(clknet_leaf_138_usb_clk),
+    .D(_00789_),
+    .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.resp_expected_q ));
- sky130_fd_sc_hd__dfrtp_1 _20221_ (.CLK(clknet_leaf_7_usb_clk),
-    .D(_00798_),
-    .RESET_B(net56),
+ sky130_fd_sc_hd__dfrtp_1 _20173_ (.CLK(clknet_leaf_5_usb_clk),
+    .D(_00790_),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.data_i[0] ));
- sky130_fd_sc_hd__dfrtp_1 _20222_ (.CLK(clknet_leaf_6_usb_clk),
-    .D(_00799_),
-    .RESET_B(net56),
+ sky130_fd_sc_hd__dfrtp_1 _20174_ (.CLK(clknet_leaf_6_usb_clk),
+    .D(_00791_),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.data_i[1] ));
- sky130_fd_sc_hd__dfrtp_1 _20223_ (.CLK(clknet_leaf_8_usb_clk),
-    .D(_00800_),
-    .RESET_B(net56),
+ sky130_fd_sc_hd__dfrtp_1 _20175_ (.CLK(clknet_leaf_9_usb_clk),
+    .D(_00792_),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.data_i[2] ));
- sky130_fd_sc_hd__dfrtp_1 _20224_ (.CLK(clknet_leaf_8_usb_clk),
-    .D(_00801_),
-    .RESET_B(net56),
+ sky130_fd_sc_hd__dfrtp_1 _20176_ (.CLK(clknet_leaf_5_usb_clk),
+    .D(_00793_),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.data_i[3] ));
- sky130_fd_sc_hd__dfrtp_1 _20225_ (.CLK(clknet_leaf_22_usb_clk),
-    .D(_00802_),
-    .RESET_B(net106),
+ sky130_fd_sc_hd__dfrtp_1 _20177_ (.CLK(clknet_leaf_66_usb_clk),
+    .D(_00794_),
+    .RESET_B(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.data_i[4] ));
- sky130_fd_sc_hd__dfrtp_1 _20226_ (.CLK(clknet_leaf_18_usb_clk),
-    .D(_00803_),
-    .RESET_B(net110),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_usb_host.u_core.u_fifo_tx.data_i[5] ));
- sky130_fd_sc_hd__dfrtp_1 _20227_ (.CLK(clknet_leaf_148_usb_clk),
-    .D(_00804_),
-    .RESET_B(net110),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_usb_host.u_core.u_fifo_tx.data_i[6] ));
- sky130_fd_sc_hd__dfrtp_1 _20228_ (.CLK(clknet_leaf_19_usb_clk),
-    .D(_00805_),
+ sky130_fd_sc_hd__dfrtp_4 _20178_ (.CLK(clknet_leaf_23_usb_clk),
+    .D(_00795_),
     .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .Q(\u_usb_host.u_core.u_fifo_tx.data_i[5] ));
+ sky130_fd_sc_hd__dfrtp_2 _20179_ (.CLK(clknet_leaf_22_usb_clk),
+    .D(_00796_),
+    .RESET_B(net107),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_usb_host.u_core.u_fifo_tx.data_i[6] ));
+ sky130_fd_sc_hd__dfrtp_2 _20180_ (.CLK(clknet_leaf_22_usb_clk),
+    .D(_00797_),
+    .RESET_B(net107),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.data_i[7] ));
- sky130_fd_sc_hd__dfrtp_2 _20229_ (.CLK(clknet_leaf_146_usb_clk),
-    .D(_00806_),
-    .RESET_B(net108),
+ sky130_fd_sc_hd__dfrtp_2 _20181_ (.CLK(clknet_leaf_18_usb_clk),
+    .D(_00798_),
+    .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[0] ));
- sky130_fd_sc_hd__dfrtp_2 _20230_ (.CLK(clknet_leaf_146_usb_clk),
-    .D(_00807_),
-    .RESET_B(net108),
+ sky130_fd_sc_hd__dfrtp_2 _20182_ (.CLK(clknet_leaf_138_usb_clk),
+    .D(_00799_),
+    .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[1] ));
- sky130_fd_sc_hd__dfrtp_2 _20231_ (.CLK(clknet_leaf_146_usb_clk),
-    .D(_00808_),
-    .RESET_B(net108),
+ sky130_fd_sc_hd__dfrtp_2 _20183_ (.CLK(clknet_leaf_138_usb_clk),
+    .D(_00800_),
+    .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[2] ));
- sky130_fd_sc_hd__dfrtp_4 _20232_ (.CLK(clknet_leaf_157_usb_clk),
-    .D(_00809_),
-    .RESET_B(net109),
+ sky130_fd_sc_hd__dfrtp_4 _20184_ (.CLK(clknet_leaf_145_usb_clk),
+    .D(_00801_),
+    .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.usb_xfer_token_ep_addr_out_w[3] ));
- sky130_fd_sc_hd__dfrtp_2 _20233_ (.CLK(clknet_leaf_156_usb_clk),
-    .D(_00810_),
+ sky130_fd_sc_hd__dfrtp_2 _20185_ (.CLK(clknet_leaf_145_usb_clk),
+    .D(_00802_),
     .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[0] ));
- sky130_fd_sc_hd__dfrtp_2 _20234_ (.CLK(clknet_leaf_157_usb_clk),
-    .D(_00811_),
-    .RESET_B(net109),
+ sky130_fd_sc_hd__dfrtp_2 _20186_ (.CLK(clknet_leaf_144_usb_clk),
+    .D(_00803_),
+    .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[1] ));
- sky130_fd_sc_hd__dfrtp_2 _20235_ (.CLK(clknet_leaf_157_usb_clk),
-    .D(_00812_),
-    .RESET_B(net109),
+ sky130_fd_sc_hd__dfrtp_2 _20187_ (.CLK(clknet_leaf_144_usb_clk),
+    .D(_00804_),
+    .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[2] ));
- sky130_fd_sc_hd__dfrtp_1 _20236_ (.CLK(clknet_leaf_148_usb_clk),
-    .D(_00813_),
-    .RESET_B(net108),
+ sky130_fd_sc_hd__dfrtp_1 _20188_ (.CLK(clknet_leaf_15_usb_clk),
+    .D(_00805_),
+    .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[3] ));
- sky130_fd_sc_hd__dfrtp_1 _20237_ (.CLK(clknet_leaf_148_usb_clk),
-    .D(_00814_),
-    .RESET_B(net108),
+ sky130_fd_sc_hd__dfrtp_1 _20189_ (.CLK(clknet_leaf_15_usb_clk),
+    .D(_00806_),
+    .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[4] ));
- sky130_fd_sc_hd__dfrtp_2 _20238_ (.CLK(clknet_leaf_148_usb_clk),
-    .D(_00815_),
-    .RESET_B(net108),
+ sky130_fd_sc_hd__dfrtp_1 _20190_ (.CLK(clknet_leaf_15_usb_clk),
+    .D(_00807_),
+    .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[5] ));
- sky130_fd_sc_hd__dfrtp_2 _20239_ (.CLK(clknet_leaf_148_usb_clk),
-    .D(_00816_),
-    .RESET_B(net108),
+ sky130_fd_sc_hd__dfrtp_1 _20191_ (.CLK(clknet_leaf_19_usb_clk),
+    .D(_00808_),
+    .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.usb_xfer_token_dev_addr_out_w[6] ));
- sky130_fd_sc_hd__dfrtp_4 _20240_ (.CLK(clknet_leaf_17_usb_clk),
-    .D(_00817_),
+ sky130_fd_sc_hd__dfrtp_4 _20192_ (.CLK(clknet_leaf_16_usb_clk),
+    .D(_00809_),
     .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[0] ));
- sky130_fd_sc_hd__dfrtp_4 _20241_ (.CLK(clknet_leaf_17_usb_clk),
-    .D(_00818_),
-    .RESET_B(net110),
+ sky130_fd_sc_hd__dfrtp_4 _20193_ (.CLK(clknet_leaf_16_usb_clk),
+    .D(_00810_),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[1] ));
- sky130_fd_sc_hd__dfrtp_4 _20242_ (.CLK(clknet_leaf_5_usb_clk),
-    .D(_00819_),
-    .RESET_B(net110),
+ sky130_fd_sc_hd__dfrtp_4 _20194_ (.CLK(clknet_leaf_16_usb_clk),
+    .D(_00811_),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[2] ));
- sky130_fd_sc_hd__dfrtp_4 _20243_ (.CLK(clknet_leaf_5_usb_clk),
-    .D(_00820_),
-    .RESET_B(net110),
+ sky130_fd_sc_hd__dfrtp_4 _20195_ (.CLK(clknet_leaf_16_usb_clk),
+    .D(_00812_),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[3] ));
- sky130_fd_sc_hd__dfrtp_4 _20244_ (.CLK(clknet_leaf_159_usb_clk),
-    .D(_00821_),
-    .RESET_B(net110),
+ sky130_fd_sc_hd__dfrtp_4 _20196_ (.CLK(clknet_leaf_11_usb_clk),
+    .D(_00813_),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[4] ));
- sky130_fd_sc_hd__dfrtp_4 _20245_ (.CLK(clknet_leaf_159_usb_clk),
-    .D(_00822_),
-    .RESET_B(net110),
+ sky130_fd_sc_hd__dfrtp_2 _20197_ (.CLK(clknet_leaf_16_usb_clk),
+    .D(_00814_),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[5] ));
- sky130_fd_sc_hd__dfrtp_4 _20246_ (.CLK(clknet_leaf_159_usb_clk),
-    .D(_00823_),
-    .RESET_B(net110),
+ sky130_fd_sc_hd__dfrtp_4 _20198_ (.CLK(clknet_leaf_11_usb_clk),
+    .D(_00815_),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[6] ));
- sky130_fd_sc_hd__dfrtp_4 _20247_ (.CLK(clknet_leaf_158_usb_clk),
-    .D(_00824_),
-    .RESET_B(net110),
+ sky130_fd_sc_hd__dfrtp_4 _20199_ (.CLK(clknet_leaf_16_usb_clk),
+    .D(_00816_),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.usb_xfer_token_pid_bits_out_w[7] ));
- sky130_fd_sc_hd__dfrtp_4 _20248_ (.CLK(clknet_leaf_156_usb_clk),
-    .D(_00825_),
+ sky130_fd_sc_hd__dfrtp_4 _20200_ (.CLK(clknet_leaf_144_usb_clk),
+    .D(_00817_),
     .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.data_idx_i ));
- sky130_fd_sc_hd__dfrtp_4 _20249_ (.CLK(clknet_leaf_156_usb_clk),
-    .D(_00826_),
+ sky130_fd_sc_hd__dfrtp_4 _20201_ (.CLK(clknet_leaf_144_usb_clk),
+    .D(_00818_),
     .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.usb_xfer_token_ack_out_w ));
- sky130_fd_sc_hd__dfrtp_4 _20250_ (.CLK(clknet_leaf_156_usb_clk),
-    .D(_00827_),
+ sky130_fd_sc_hd__dfrtp_4 _20202_ (.CLK(clknet_leaf_144_usb_clk),
+    .D(_00819_),
     .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.usb_xfer_token_in_out_w ));
- sky130_fd_sc_hd__dfrtp_4 _20251_ (.CLK(clknet_leaf_147_usb_clk),
-    .D(_00828_),
-    .RESET_B(net108),
+ sky130_fd_sc_hd__dfrtp_2 _20203_ (.CLK(clknet_leaf_138_usb_clk),
+    .D(_00820_),
+    .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.usb_rx_stat_start_pend_in_w ));
- sky130_fd_sc_hd__dfrtp_1 _20252_ (.CLK(clknet_leaf_151_usb_clk),
-    .D(_00829_),
+ sky130_fd_sc_hd__dfrtp_2 _20204_ (.CLK(clknet_leaf_147_usb_clk),
+    .D(_00821_),
     .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.data_len_i[0] ));
- sky130_fd_sc_hd__dfrtp_1 _20253_ (.CLK(clknet_leaf_151_usb_clk),
-    .D(_00830_),
+ sky130_fd_sc_hd__dfrtp_1 _20205_ (.CLK(clknet_leaf_140_usb_clk),
+    .D(_00822_),
     .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.data_len_i[1] ));
- sky130_fd_sc_hd__dfrtp_1 _20254_ (.CLK(clknet_leaf_152_usb_clk),
-    .D(_00831_),
+ sky130_fd_sc_hd__dfrtp_1 _20206_ (.CLK(clknet_leaf_147_usb_clk),
+    .D(_00823_),
     .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.data_len_i[2] ));
- sky130_fd_sc_hd__dfrtp_1 _20255_ (.CLK(clknet_leaf_152_usb_clk),
-    .D(_00832_),
+ sky130_fd_sc_hd__dfrtp_2 _20207_ (.CLK(clknet_leaf_147_usb_clk),
+    .D(_00824_),
     .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.data_len_i[3] ));
- sky130_fd_sc_hd__dfrtp_1 _20256_ (.CLK(clknet_leaf_152_usb_clk),
-    .D(_00833_),
+ sky130_fd_sc_hd__dfrtp_1 _20208_ (.CLK(clknet_leaf_146_usb_clk),
+    .D(_00825_),
     .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.data_len_i[4] ));
- sky130_fd_sc_hd__dfrtp_1 _20257_ (.CLK(clknet_leaf_152_usb_clk),
-    .D(_00834_),
+ sky130_fd_sc_hd__dfrtp_1 _20209_ (.CLK(clknet_leaf_140_usb_clk),
+    .D(_00826_),
     .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.data_len_i[5] ));
- sky130_fd_sc_hd__dfrtp_1 _20258_ (.CLK(clknet_leaf_152_usb_clk),
-    .D(_00835_),
+ sky130_fd_sc_hd__dfrtp_1 _20210_ (.CLK(clknet_leaf_140_usb_clk),
+    .D(_00827_),
     .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.data_len_i[6] ));
- sky130_fd_sc_hd__dfrtp_1 _20259_ (.CLK(clknet_leaf_152_usb_clk),
-    .D(_00836_),
+ sky130_fd_sc_hd__dfrtp_1 _20211_ (.CLK(clknet_leaf_141_usb_clk),
+    .D(_00828_),
     .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.data_len_i[7] ));
- sky130_fd_sc_hd__dfrtp_1 _20260_ (.CLK(clknet_leaf_157_usb_clk),
-    .D(_00837_),
-    .RESET_B(net109),
+ sky130_fd_sc_hd__dfrtp_1 _20212_ (.CLK(clknet_leaf_145_usb_clk),
+    .D(_00829_),
+    .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.data_len_i[8] ));
- sky130_fd_sc_hd__dfrtp_2 _20261_ (.CLK(clknet_leaf_154_usb_clk),
-    .D(_00838_),
-    .RESET_B(net109),
+ sky130_fd_sc_hd__dfrtp_1 _20213_ (.CLK(clknet_leaf_145_usb_clk),
+    .D(_00830_),
+    .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.data_len_i[9] ));
- sky130_fd_sc_hd__dfrtp_2 _20262_ (.CLK(clknet_leaf_157_usb_clk),
-    .D(_00839_),
-    .RESET_B(net109),
+ sky130_fd_sc_hd__dfrtp_1 _20214_ (.CLK(clknet_leaf_145_usb_clk),
+    .D(_00831_),
+    .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.data_len_i[10] ));
- sky130_fd_sc_hd__dfrtp_1 _20263_ (.CLK(clknet_leaf_157_usb_clk),
-    .D(_00840_),
-    .RESET_B(net109),
+ sky130_fd_sc_hd__dfrtp_1 _20215_ (.CLK(clknet_leaf_145_usb_clk),
+    .D(_00832_),
+    .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.data_len_i[11] ));
- sky130_fd_sc_hd__dfrtp_1 _20264_ (.CLK(clknet_leaf_148_usb_clk),
-    .D(_00841_),
-    .RESET_B(net108),
+ sky130_fd_sc_hd__dfrtp_1 _20216_ (.CLK(clknet_leaf_142_usb_clk),
+    .D(_00833_),
+    .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.data_len_i[12] ));
- sky130_fd_sc_hd__dfrtp_2 _20265_ (.CLK(clknet_leaf_148_usb_clk),
-    .D(_00842_),
-    .RESET_B(net108),
+ sky130_fd_sc_hd__dfrtp_1 _20217_ (.CLK(clknet_leaf_142_usb_clk),
+    .D(_00834_),
+    .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.data_len_i[13] ));
- sky130_fd_sc_hd__dfrtp_1 _20266_ (.CLK(clknet_leaf_148_usb_clk),
-    .D(_00843_),
+ sky130_fd_sc_hd__dfrtp_1 _20218_ (.CLK(clknet_leaf_15_usb_clk),
+    .D(_00835_),
     .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.data_len_i[14] ));
- sky130_fd_sc_hd__dfrtp_1 _20267_ (.CLK(clknet_leaf_148_usb_clk),
-    .D(_00844_),
+ sky130_fd_sc_hd__dfrtp_1 _20219_ (.CLK(clknet_leaf_15_usb_clk),
+    .D(_00836_),
     .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.data_len_i[15] ));
- sky130_fd_sc_hd__dfrtp_1 _20268_ (.CLK(clknet_leaf_133_usb_clk),
-    .D(_00845_),
+ sky130_fd_sc_hd__dfrtp_1 _20220_ (.CLK(clknet_leaf_140_usb_clk),
+    .D(_00837_),
     .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.usb_irq_mask_sof_out_w ));
- sky130_fd_sc_hd__dfrtp_1 _20269_ (.CLK(clknet_leaf_134_usb_clk),
-    .D(_00846_),
+ sky130_fd_sc_hd__dfrtp_1 _20221_ (.CLK(clknet_leaf_140_usb_clk),
+    .D(_00838_),
     .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.usb_irq_mask_done_out_w ));
- sky130_fd_sc_hd__dfrtp_1 _20270_ (.CLK(clknet_leaf_133_usb_clk),
-    .D(_00847_),
+ sky130_fd_sc_hd__dfrtp_1 _20222_ (.CLK(clknet_leaf_147_usb_clk),
+    .D(_00839_),
     .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.usb_irq_mask_err_out_w ));
- sky130_fd_sc_hd__dfrtp_1 _20271_ (.CLK(clknet_leaf_133_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20223_ (.CLK(clknet_leaf_147_usb_clk),
     .D(_00089_),
     .RESET_B(net109),
     .VGND(vssd1),
@@ -240701,7 +239844,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.usb_irq_ack_sof_out_w ));
- sky130_fd_sc_hd__dfrtp_1 _20272_ (.CLK(clknet_leaf_134_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20224_ (.CLK(clknet_leaf_134_usb_clk),
     .D(_00087_),
     .RESET_B(net109),
     .VGND(vssd1),
@@ -240709,7 +239852,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.usb_irq_ack_done_out_w ));
- sky130_fd_sc_hd__dfrtp_1 _20273_ (.CLK(clknet_leaf_134_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20225_ (.CLK(clknet_leaf_131_usb_clk),
     .D(_00088_),
     .RESET_B(net109),
     .VGND(vssd1),
@@ -240717,7 +239860,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.usb_irq_ack_err_out_w ));
- sky130_fd_sc_hd__dfrtp_1 _20274_ (.CLK(clknet_leaf_133_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20226_ (.CLK(clknet_leaf_131_usb_clk),
     .D(_00086_),
     .RESET_B(net109),
     .VGND(vssd1),
@@ -240725,79 +239868,79 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.usb_irq_ack_device_detect_out_w ));
- sky130_fd_sc_hd__dfrtp_1 _20275_ (.CLK(clknet_leaf_133_usb_clk),
-    .D(_00848_),
+ sky130_fd_sc_hd__dfrtp_1 _20227_ (.CLK(clknet_leaf_147_usb_clk),
+    .D(_00840_),
     .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.usb_irq_mask_device_detect_out_w ));
- sky130_fd_sc_hd__dfrtp_4 _20276_ (.CLK(clknet_leaf_134_usb_clk),
-    .D(_00849_),
+ sky130_fd_sc_hd__dfrtp_4 _20228_ (.CLK(clknet_leaf_146_usb_clk),
+    .D(_00841_),
     .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.usb_ctrl_enable_sof_out_w ));
- sky130_fd_sc_hd__dfrtp_1 _20277_ (.CLK(clknet_leaf_134_usb_clk),
-    .D(_00850_),
+ sky130_fd_sc_hd__dfrtp_1 _20229_ (.CLK(clknet_leaf_140_usb_clk),
+    .D(_00842_),
     .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.usb_ctrl_phy_opmode_out_w[0] ));
- sky130_fd_sc_hd__dfrtp_1 _20278_ (.CLK(clknet_leaf_133_usb_clk),
-    .D(_00851_),
+ sky130_fd_sc_hd__dfrtp_1 _20230_ (.CLK(clknet_leaf_146_usb_clk),
+    .D(_00843_),
     .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.usb_ctrl_phy_opmode_out_w[1] ));
- sky130_fd_sc_hd__dfrtp_1 _20279_ (.CLK(clknet_leaf_152_usb_clk),
-    .D(_00852_),
+ sky130_fd_sc_hd__dfrtp_1 _20231_ (.CLK(clknet_leaf_146_usb_clk),
+    .D(_00844_),
     .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.usb_ctrl_phy_xcvrselect_out_w[0] ));
- sky130_fd_sc_hd__dfrtp_1 _20280_ (.CLK(clknet_leaf_152_usb_clk),
-    .D(_00853_),
+ sky130_fd_sc_hd__dfrtp_1 _20232_ (.CLK(clknet_leaf_145_usb_clk),
+    .D(_00845_),
     .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.usb_ctrl_phy_xcvrselect_out_w[1] ));
- sky130_fd_sc_hd__dfrtp_1 _20281_ (.CLK(clknet_leaf_152_usb_clk),
-    .D(_00854_),
+ sky130_fd_sc_hd__dfrtp_1 _20233_ (.CLK(clknet_leaf_146_usb_clk),
+    .D(_00846_),
     .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.usb_ctrl_phy_termselect_out_w ));
- sky130_fd_sc_hd__dfrtp_1 _20282_ (.CLK(clknet_leaf_152_usb_clk),
-    .D(_00855_),
+ sky130_fd_sc_hd__dfrtp_1 _20234_ (.CLK(clknet_leaf_146_usb_clk),
+    .D(_00847_),
     .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.usb_ctrl_phy_dppulldown_out_w ));
- sky130_fd_sc_hd__dfrtp_4 _20283_ (.CLK(clknet_leaf_19_usb_clk),
+ sky130_fd_sc_hd__dfrtp_4 _20235_ (.CLK(clknet_leaf_19_usb_clk),
     .D(_00084_),
-    .RESET_B(net106),
+    .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.flush_i ));
- sky130_fd_sc_hd__dfrtp_1 _20284_ (.CLK(clknet_leaf_134_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20236_ (.CLK(clknet_leaf_134_usb_clk),
     .D(_00085_),
     .RESET_B(net109),
     .VGND(vssd1),
@@ -240805,15 +239948,15 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.usb_ctrl_wr_q ));
- sky130_fd_sc_hd__dfrtp_1 _20285_ (.CLK(clknet_leaf_152_usb_clk),
-    .D(_00856_),
+ sky130_fd_sc_hd__dfrtp_1 _20237_ (.CLK(clknet_leaf_140_usb_clk),
+    .D(_00848_),
     .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.usb_ctrl_phy_dmpulldown_out_w ));
- sky130_fd_sc_hd__dfrtp_1 _20286_ (.CLK(clknet_leaf_155_usb_clk),
+ sky130_fd_sc_hd__dfrtp_2 _20238_ (.CLK(clknet_leaf_145_usb_clk),
     .D(_00082_),
     .RESET_B(net110),
     .VGND(vssd1),
@@ -240821,39 +239964,103 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.reg_ack ));
- sky130_fd_sc_hd__dfrtp_4 _20287_ (.CLK(clknet_leaf_49_app_clk),
-    .D(_00857_),
+ sky130_fd_sc_hd__dfrtp_4 _20239_ (.CLK(clknet_leaf_38_app_clk),
+    .D(_00849_),
     .RESET_B(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.cr[4] ));
- sky130_fd_sc_hd__dfrtp_1 _20288_ (.CLK(clknet_leaf_39_app_clk),
-    .D(_00858_),
+ sky130_fd_sc_hd__dfrtp_1 _20240_ (.CLK(clknet_leaf_38_app_clk),
+    .D(_00850_),
     .RESET_B(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.cr[5] ));
- sky130_fd_sc_hd__dfrtp_4 _20289_ (.CLK(clknet_leaf_49_app_clk),
-    .D(_00859_),
+ sky130_fd_sc_hd__dfrtp_4 _20241_ (.CLK(clknet_leaf_38_app_clk),
+    .D(_00851_),
     .RESET_B(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.cr[6] ));
- sky130_fd_sc_hd__dfrtp_4 _20290_ (.CLK(clknet_leaf_49_app_clk),
-    .D(_00860_),
+ sky130_fd_sc_hd__dfrtp_2 _20242_ (.CLK(clknet_leaf_38_app_clk),
+    .D(_00852_),
     .RESET_B(net1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.cr[7] ));
- sky130_fd_sc_hd__dfrtp_1 _20291_ (.CLK(clknet_leaf_49_app_clk),
+ sky130_fd_sc_hd__dfstp_2 _20243_ (.CLK(clknet_leaf_25_app_clk),
+    .D(_00853_),
+    .SET_B(net1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_i2cm.prer[8] ));
+ sky130_fd_sc_hd__dfstp_2 _20244_ (.CLK(clknet_leaf_25_app_clk),
+    .D(_00854_),
+    .SET_B(net1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_i2cm.prer[9] ));
+ sky130_fd_sc_hd__dfstp_2 _20245_ (.CLK(clknet_leaf_24_app_clk),
+    .D(_00855_),
+    .SET_B(net1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_i2cm.prer[10] ));
+ sky130_fd_sc_hd__dfstp_2 _20246_ (.CLK(clknet_leaf_25_app_clk),
+    .D(_00856_),
+    .SET_B(net1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_i2cm.prer[11] ));
+ sky130_fd_sc_hd__dfstp_2 _20247_ (.CLK(clknet_leaf_25_app_clk),
+    .D(_00857_),
+    .SET_B(net1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_i2cm.prer[12] ));
+ sky130_fd_sc_hd__dfstp_2 _20248_ (.CLK(clknet_leaf_24_app_clk),
+    .D(_00858_),
+    .SET_B(net1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_i2cm.prer[13] ));
+ sky130_fd_sc_hd__dfstp_2 _20249_ (.CLK(clknet_leaf_25_app_clk),
+    .D(_00859_),
+    .SET_B(net1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_i2cm.prer[14] ));
+ sky130_fd_sc_hd__dfstp_2 _20250_ (.CLK(clknet_leaf_26_app_clk),
+    .D(_00860_),
+    .SET_B(net1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_i2cm.prer[15] ));
+ sky130_fd_sc_hd__dfrtp_1 _20251_ (.CLK(clknet_leaf_45_app_clk),
     .D(_00861_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -240861,7 +240068,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.cr[0] ));
- sky130_fd_sc_hd__dfrtp_1 _20292_ (.CLK(clknet_leaf_49_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20252_ (.CLK(clknet_leaf_45_app_clk),
     .D(_00862_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -240869,7 +240076,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.cr[1] ));
- sky130_fd_sc_hd__dfrtp_1 _20293_ (.CLK(clknet_leaf_49_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20253_ (.CLK(clknet_leaf_45_app_clk),
     .D(_00863_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -240877,55 +240084,55 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.cr[2] ));
- sky130_fd_sc_hd__dfrtp_1 _20294_ (.CLK(clknet_leaf_145_usb_clk),
+ sky130_fd_sc_hd__dfrtp_2 _20254_ (.CLK(clknet_leaf_137_usb_clk),
     .D(\u_usb_host.u_core.u_sie.next_state_r[0] ),
-    .RESET_B(net108),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_usb_host.u_core.u_sie.state_q[0] ));
- sky130_fd_sc_hd__dfrtp_1 _20295_ (.CLK(clknet_leaf_142_usb_clk),
-    .D(\u_usb_host.u_core.u_sie.next_state_r[1] ),
-    .RESET_B(net107),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_usb_host.u_core.u_sie.state_q[1] ));
- sky130_fd_sc_hd__dfrtp_1 _20296_ (.CLK(clknet_leaf_141_usb_clk),
-    .D(\u_usb_host.u_core.u_sie.next_state_r[2] ),
-    .RESET_B(net107),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_usb_host.u_core.u_sie.state_q[2] ));
- sky130_fd_sc_hd__dfrtp_1 _20297_ (.CLK(clknet_leaf_141_usb_clk),
-    .D(\u_usb_host.u_core.u_sie.next_state_r[3] ),
-    .RESET_B(net107),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_usb_host.u_core.u_sie.state_q[3] ));
- sky130_fd_sc_hd__dfrtp_1 _20298_ (.CLK(clknet_leaf_142_usb_clk),
-    .D(_00864_),
-    .RESET_B(net107),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_usb_host.u_core.u_sie.wait_eop_q ));
- sky130_fd_sc_hd__dfrtp_1 _20299_ (.CLK(clknet_leaf_136_usb_clk),
-    .D(\u_usb_host.u_core.u_sie.utmi_linestate_i[0] ),
     .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .Q(\u_usb_host.u_core.u_sie.state_q[0] ));
+ sky130_fd_sc_hd__dfrtp_2 _20255_ (.CLK(clknet_leaf_117_usb_clk),
+    .D(\u_usb_host.u_core.u_sie.next_state_r[1] ),
+    .RESET_B(net109),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_usb_host.u_core.u_sie.state_q[1] ));
+ sky130_fd_sc_hd__dfrtp_1 _20256_ (.CLK(clknet_leaf_137_usb_clk),
+    .D(\u_usb_host.u_core.u_sie.next_state_r[2] ),
+    .RESET_B(net110),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_usb_host.u_core.u_sie.state_q[2] ));
+ sky130_fd_sc_hd__dfrtp_1 _20257_ (.CLK(clknet_leaf_20_usb_clk),
+    .D(\u_usb_host.u_core.u_sie.next_state_r[3] ),
+    .RESET_B(net110),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_usb_host.u_core.u_sie.state_q[3] ));
+ sky130_fd_sc_hd__dfrtp_1 _20258_ (.CLK(clknet_leaf_117_usb_clk),
+    .D(net329),
+    .RESET_B(net109),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_usb_host.u_core.u_sie.wait_eop_q ));
+ sky130_fd_sc_hd__dfrtp_1 _20259_ (.CLK(clknet_leaf_134_usb_clk),
+    .D(\u_usb_host.u_core.u_sie.utmi_linestate_i[0] ),
+    .RESET_B(net108),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.utmi_linestate_q[0] ));
- sky130_fd_sc_hd__dfrtp_1 _20300_ (.CLK(clknet_leaf_136_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20260_ (.CLK(clknet_leaf_134_usb_clk),
     .D(\u_usb_host.u_core.u_sie.utmi_linestate_i[1] ),
     .RESET_B(net109),
     .VGND(vssd1),
@@ -240933,143 +240140,143 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.utmi_linestate_q[1] ));
- sky130_fd_sc_hd__dfrtp_1 _20301_ (.CLK(clknet_leaf_134_usb_clk),
-    .D(net312),
-    .RESET_B(net109),
+ sky130_fd_sc_hd__dfrtp_1 _20261_ (.CLK(clknet_leaf_134_usb_clk),
+    .D(\u_usb_host.u_core.u_sie.se0_detect_w ),
+    .RESET_B(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.se0_detect_q ));
- sky130_fd_sc_hd__dfrtp_1 _20302_ (.CLK(clknet_leaf_135_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20262_ (.CLK(clknet_leaf_137_usb_clk),
     .D(_00865_),
-    .RESET_B(net108),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_usb_host.u_core.u_sie.tx_ifs_q[0] ));
- sky130_fd_sc_hd__dfrtp_1 _20303_ (.CLK(clknet_leaf_136_usb_clk),
-    .D(_00866_),
-    .RESET_B(net108),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_usb_host.u_core.u_sie.tx_ifs_q[1] ));
- sky130_fd_sc_hd__dfrtp_1 _20304_ (.CLK(clknet_leaf_136_usb_clk),
-    .D(_00867_),
-    .RESET_B(net108),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_usb_host.u_core.u_sie.tx_ifs_q[2] ));
- sky130_fd_sc_hd__dfrtp_1 _20305_ (.CLK(clknet_leaf_136_usb_clk),
-    .D(_00868_),
-    .RESET_B(net108),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_usb_host.u_core.u_sie.tx_ifs_q[3] ));
- sky130_fd_sc_hd__dfrtp_1 _20306_ (.CLK(clknet_leaf_61_usb_clk),
-    .D(_00869_),
-    .RESET_B(net106),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_usb_host.u_core.u_sie.last_tx_time_q[0] ));
- sky130_fd_sc_hd__dfrtp_1 _20307_ (.CLK(clknet_leaf_61_usb_clk),
-    .D(_00870_),
-    .RESET_B(net106),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_usb_host.u_core.u_sie.last_tx_time_q[1] ));
- sky130_fd_sc_hd__dfrtp_1 _20308_ (.CLK(clknet_leaf_61_usb_clk),
-    .D(_00871_),
-    .RESET_B(net106),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_usb_host.u_core.u_sie.last_tx_time_q[2] ));
- sky130_fd_sc_hd__dfrtp_1 _20309_ (.CLK(clknet_leaf_61_usb_clk),
-    .D(_00872_),
-    .RESET_B(net106),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_usb_host.u_core.u_sie.last_tx_time_q[3] ));
- sky130_fd_sc_hd__dfrtp_1 _20310_ (.CLK(clknet_leaf_61_usb_clk),
-    .D(_00873_),
-    .RESET_B(net106),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_usb_host.u_core.u_sie.last_tx_time_q[4] ));
- sky130_fd_sc_hd__dfrtp_1 _20311_ (.CLK(clknet_leaf_56_usb_clk),
-    .D(_00874_),
-    .RESET_B(net106),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_usb_host.u_core.u_sie.last_tx_time_q[5] ));
- sky130_fd_sc_hd__dfrtp_1 _20312_ (.CLK(clknet_leaf_56_usb_clk),
-    .D(_00875_),
-    .RESET_B(net106),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_usb_host.u_core.u_sie.last_tx_time_q[6] ));
- sky130_fd_sc_hd__dfrtp_1 _20313_ (.CLK(clknet_leaf_61_usb_clk),
-    .D(_00876_),
-    .RESET_B(net106),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_usb_host.u_core.u_sie.last_tx_time_q[7] ));
- sky130_fd_sc_hd__dfrtp_1 _20314_ (.CLK(clknet_leaf_68_usb_clk),
-    .D(_00877_),
-    .RESET_B(net106),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_usb_host.u_core.u_sie.last_tx_time_q[8] ));
- sky130_fd_sc_hd__dfrtp_1 _20315_ (.CLK(clknet_leaf_151_usb_clk),
-    .D(_00878_),
-    .RESET_B(net108),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_usb_host.u_core.status_rx_count_w[0] ));
- sky130_fd_sc_hd__dfrtp_1 _20316_ (.CLK(clknet_leaf_151_usb_clk),
-    .D(_00879_),
-    .RESET_B(net108),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_usb_host.u_core.status_rx_count_w[1] ));
- sky130_fd_sc_hd__dfrtp_1 _20317_ (.CLK(clknet_leaf_151_usb_clk),
-    .D(_00880_),
     .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .Q(\u_usb_host.u_core.u_sie.tx_ifs_q[0] ));
+ sky130_fd_sc_hd__dfrtp_1 _20263_ (.CLK(clknet_leaf_118_usb_clk),
+    .D(_00866_),
+    .RESET_B(net109),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_usb_host.u_core.u_sie.tx_ifs_q[1] ));
+ sky130_fd_sc_hd__dfrtp_1 _20264_ (.CLK(clknet_leaf_137_usb_clk),
+    .D(_00867_),
+    .RESET_B(net109),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_usb_host.u_core.u_sie.tx_ifs_q[2] ));
+ sky130_fd_sc_hd__dfrtp_1 _20265_ (.CLK(clknet_leaf_137_usb_clk),
+    .D(_00868_),
+    .RESET_B(net109),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_usb_host.u_core.u_sie.tx_ifs_q[3] ));
+ sky130_fd_sc_hd__dfrtp_1 _20266_ (.CLK(clknet_leaf_135_usb_clk),
+    .D(_00869_),
+    .RESET_B(net108),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_usb_host.u_core.u_sie.last_tx_time_q[0] ));
+ sky130_fd_sc_hd__dfrtp_1 _20267_ (.CLK(clknet_leaf_135_usb_clk),
+    .D(_00870_),
+    .RESET_B(net108),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_usb_host.u_core.u_sie.last_tx_time_q[1] ));
+ sky130_fd_sc_hd__dfrtp_1 _20268_ (.CLK(clknet_leaf_133_usb_clk),
+    .D(_00871_),
+    .RESET_B(net108),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_usb_host.u_core.u_sie.last_tx_time_q[2] ));
+ sky130_fd_sc_hd__dfrtp_1 _20269_ (.CLK(clknet_leaf_135_usb_clk),
+    .D(_00872_),
+    .RESET_B(net108),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_usb_host.u_core.u_sie.last_tx_time_q[3] ));
+ sky130_fd_sc_hd__dfrtp_1 _20270_ (.CLK(clknet_leaf_135_usb_clk),
+    .D(_00873_),
+    .RESET_B(net108),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_usb_host.u_core.u_sie.last_tx_time_q[4] ));
+ sky130_fd_sc_hd__dfrtp_1 _20271_ (.CLK(clknet_leaf_135_usb_clk),
+    .D(_00874_),
+    .RESET_B(net108),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_usb_host.u_core.u_sie.last_tx_time_q[5] ));
+ sky130_fd_sc_hd__dfrtp_1 _20272_ (.CLK(clknet_leaf_134_usb_clk),
+    .D(_00875_),
+    .RESET_B(net108),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_usb_host.u_core.u_sie.last_tx_time_q[6] ));
+ sky130_fd_sc_hd__dfrtp_1 _20273_ (.CLK(clknet_leaf_135_usb_clk),
+    .D(_00876_),
+    .RESET_B(net109),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_usb_host.u_core.u_sie.last_tx_time_q[7] ));
+ sky130_fd_sc_hd__dfrtp_1 _20274_ (.CLK(clknet_leaf_135_usb_clk),
+    .D(_00877_),
+    .RESET_B(net109),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_usb_host.u_core.u_sie.last_tx_time_q[8] ));
+ sky130_fd_sc_hd__dfrtp_1 _20275_ (.CLK(clknet_leaf_134_usb_clk),
+    .D(_00878_),
+    .RESET_B(net109),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_usb_host.u_core.status_rx_count_w[0] ));
+ sky130_fd_sc_hd__dfrtp_1 _20276_ (.CLK(clknet_leaf_139_usb_clk),
+    .D(_00879_),
+    .RESET_B(net109),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_usb_host.u_core.status_rx_count_w[1] ));
+ sky130_fd_sc_hd__dfrtp_1 _20277_ (.CLK(clknet_leaf_139_usb_clk),
+    .D(_00880_),
+    .RESET_B(net110),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .Q(\u_usb_host.u_core.status_rx_count_w[2] ));
- sky130_fd_sc_hd__dfrtp_1 _20318_ (.CLK(clknet_leaf_151_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20278_ (.CLK(clknet_leaf_139_usb_clk),
     .D(_00881_),
     .RESET_B(net109),
     .VGND(vssd1),
@@ -241077,7 +240284,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.status_rx_count_w[3] ));
- sky130_fd_sc_hd__dfrtp_1 _20319_ (.CLK(clknet_leaf_150_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20279_ (.CLK(clknet_leaf_141_usb_clk),
     .D(_00882_),
     .RESET_B(net109),
     .VGND(vssd1),
@@ -241085,7 +240292,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.status_rx_count_w[4] ));
- sky130_fd_sc_hd__dfrtp_1 _20320_ (.CLK(clknet_leaf_150_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20280_ (.CLK(clknet_leaf_141_usb_clk),
     .D(_00883_),
     .RESET_B(net109),
     .VGND(vssd1),
@@ -241093,247 +240300,247 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.status_rx_count_w[5] ));
- sky130_fd_sc_hd__dfrtp_1 _20321_ (.CLK(clknet_leaf_150_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20281_ (.CLK(clknet_leaf_139_usb_clk),
     .D(_00884_),
-    .RESET_B(net108),
+    .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.status_rx_count_w[6] ));
- sky130_fd_sc_hd__dfrtp_1 _20322_ (.CLK(clknet_leaf_152_usb_clk),
+ sky130_fd_sc_hd__dfrtp_2 _20282_ (.CLK(clknet_leaf_139_usb_clk),
     .D(_00885_),
-    .RESET_B(net109),
+    .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.status_rx_count_w[7] ));
- sky130_fd_sc_hd__dfrtp_1 _20323_ (.CLK(clknet_leaf_149_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20283_ (.CLK(clknet_leaf_143_usb_clk),
     .D(_00886_),
-    .RESET_B(net109),
+    .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.status_rx_count_w[8] ));
- sky130_fd_sc_hd__dfrtp_1 _20324_ (.CLK(clknet_leaf_149_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20284_ (.CLK(clknet_leaf_145_usb_clk),
     .D(_00887_),
-    .RESET_B(net109),
+    .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.status_rx_count_w[9] ));
- sky130_fd_sc_hd__dfrtp_1 _20325_ (.CLK(clknet_leaf_149_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20285_ (.CLK(clknet_leaf_143_usb_clk),
     .D(_00888_),
-    .RESET_B(net109),
+    .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.status_rx_count_w[10] ));
- sky130_fd_sc_hd__dfrtp_1 _20326_ (.CLK(clknet_leaf_148_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20286_ (.CLK(clknet_leaf_143_usb_clk),
     .D(_00889_),
-    .RESET_B(net108),
+    .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.status_rx_count_w[11] ));
- sky130_fd_sc_hd__dfrtp_1 _20327_ (.CLK(clknet_leaf_149_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20287_ (.CLK(clknet_leaf_142_usb_clk),
     .D(_00890_),
-    .RESET_B(net108),
+    .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.status_rx_count_w[12] ));
- sky130_fd_sc_hd__dfrtp_1 _20328_ (.CLK(clknet_leaf_150_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20288_ (.CLK(clknet_leaf_141_usb_clk),
     .D(_00891_),
-    .RESET_B(net108),
+    .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.status_rx_count_w[13] ));
- sky130_fd_sc_hd__dfrtp_1 _20329_ (.CLK(clknet_leaf_147_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20289_ (.CLK(clknet_leaf_142_usb_clk),
     .D(_00892_),
-    .RESET_B(net108),
+    .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.status_rx_count_w[14] ));
- sky130_fd_sc_hd__dfrtp_1 _20330_ (.CLK(clknet_leaf_147_usb_clk),
+ sky130_fd_sc_hd__dfrtp_2 _20290_ (.CLK(clknet_leaf_15_usb_clk),
     .D(_00893_),
-    .RESET_B(net108),
+    .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.status_rx_count_w[15] ));
- sky130_fd_sc_hd__dfrtp_1 _20331_ (.CLK(clknet_leaf_145_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20291_ (.CLK(clknet_leaf_138_usb_clk),
     .D(_00894_),
-    .RESET_B(net108),
+    .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.send_ack_q ));
- sky130_fd_sc_hd__dfrtp_1 _20332_ (.CLK(clknet_leaf_144_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20292_ (.CLK(clknet_leaf_20_usb_clk),
     .D(_00091_),
-    .RESET_B(net108),
+    .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.transfer_ack_w ));
- sky130_fd_sc_hd__dfrtp_1 _20333_ (.CLK(clknet_leaf_145_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20293_ (.CLK(clknet_leaf_138_usb_clk),
     .D(_00895_),
-    .RESET_B(net108),
+    .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.send_sof_q ));
- sky130_fd_sc_hd__dfrtp_1 _20334_ (.CLK(clknet_leaf_145_usb_clk),
+ sky130_fd_sc_hd__dfrtp_2 _20294_ (.CLK(clknet_leaf_138_usb_clk),
     .D(_00896_),
-    .RESET_B(net108),
+    .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.send_data1_q ));
- sky130_fd_sc_hd__dfrtp_2 _20335_ (.CLK(clknet_leaf_145_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20295_ (.CLK(clknet_leaf_138_usb_clk),
     .D(_00897_),
-    .RESET_B(net108),
+    .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.in_transfer_q ));
- sky130_fd_sc_hd__dfrtp_4 _20336_ (.CLK(clknet_leaf_145_usb_clk),
+ sky130_fd_sc_hd__dfrtp_2 _20296_ (.CLK(clknet_leaf_139_usb_clk),
     .D(_00898_),
-    .RESET_B(net108),
+    .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.wait_resp_q ));
- sky130_fd_sc_hd__dfrtp_4 _20337_ (.CLK(clknet_leaf_135_usb_clk),
+ sky130_fd_sc_hd__dfrtp_4 _20297_ (.CLK(clknet_leaf_136_usb_clk),
     .D(_00899_),
-    .RESET_B(net108),
+    .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.status_response_w[0] ));
- sky130_fd_sc_hd__dfrtp_4 _20338_ (.CLK(clknet_leaf_141_usb_clk),
+ sky130_fd_sc_hd__dfrtp_4 _20298_ (.CLK(clknet_leaf_136_usb_clk),
     .D(_00900_),
-    .RESET_B(net107),
+    .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.status_response_w[1] ));
- sky130_fd_sc_hd__dfrtp_2 _20339_ (.CLK(clknet_leaf_140_usb_clk),
+ sky130_fd_sc_hd__dfrtp_2 _20299_ (.CLK(clknet_leaf_136_usb_clk),
     .D(_00901_),
-    .RESET_B(net107),
+    .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.status_response_w[2] ));
- sky130_fd_sc_hd__dfrtp_4 _20340_ (.CLK(clknet_leaf_136_usb_clk),
+ sky130_fd_sc_hd__dfrtp_4 _20300_ (.CLK(clknet_leaf_136_usb_clk),
     .D(_00902_),
-    .RESET_B(net108),
+    .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.status_response_w[3] ));
- sky130_fd_sc_hd__dfrtp_2 _20341_ (.CLK(clknet_leaf_140_usb_clk),
+ sky130_fd_sc_hd__dfrtp_4 _20301_ (.CLK(clknet_leaf_136_usb_clk),
     .D(_00903_),
-    .RESET_B(net108),
+    .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.status_response_w[4] ));
- sky130_fd_sc_hd__dfrtp_4 _20342_ (.CLK(clknet_leaf_140_usb_clk),
+ sky130_fd_sc_hd__dfrtp_4 _20302_ (.CLK(clknet_leaf_136_usb_clk),
     .D(_00904_),
-    .RESET_B(net108),
+    .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.status_response_w[5] ));
- sky130_fd_sc_hd__dfrtp_4 _20343_ (.CLK(clknet_leaf_140_usb_clk),
+ sky130_fd_sc_hd__dfrtp_4 _20303_ (.CLK(clknet_leaf_136_usb_clk),
     .D(_00905_),
-    .RESET_B(net108),
+    .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.status_response_w[6] ));
- sky130_fd_sc_hd__dfrtp_4 _20344_ (.CLK(clknet_leaf_141_usb_clk),
+ sky130_fd_sc_hd__dfrtp_4 _20304_ (.CLK(clknet_leaf_136_usb_clk),
     .D(_00906_),
-    .RESET_B(net107),
+    .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.status_response_w[7] ));
- sky130_fd_sc_hd__dfrtp_4 _20345_ (.CLK(clknet_leaf_135_usb_clk),
+ sky130_fd_sc_hd__dfrtp_2 _20305_ (.CLK(clknet_leaf_135_usb_clk),
     .D(_00907_),
-    .RESET_B(net107),
+    .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.status_timeout_w ));
- sky130_fd_sc_hd__dfrtp_1 _20346_ (.CLK(clknet_leaf_141_usb_clk),
+ sky130_fd_sc_hd__dfrtp_2 _20306_ (.CLK(clknet_leaf_117_usb_clk),
     .D(_00908_),
-    .RESET_B(net107),
+    .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.status_rx_done_w ));
- sky130_fd_sc_hd__dfrtp_1 _20347_ (.CLK(clknet_leaf_141_usb_clk),
+ sky130_fd_sc_hd__dfrtp_2 _20307_ (.CLK(clknet_leaf_117_usb_clk),
     .D(_00909_),
-    .RESET_B(net107),
+    .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.status_tx_done_w ));
- sky130_fd_sc_hd__dfrtp_4 _20348_ (.CLK(clknet_leaf_115_usb_clk),
+ sky130_fd_sc_hd__dfrtp_4 _20308_ (.CLK(clknet_leaf_114_usb_clk),
     .D(_00910_),
-    .RESET_B(net107),
+    .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.fifo_rx_data_w[0] ));
- sky130_fd_sc_hd__dfrtp_2 _20349_ (.CLK(clknet_leaf_115_usb_clk),
+ sky130_fd_sc_hd__dfrtp_4 _20309_ (.CLK(clknet_leaf_69_usb_clk),
     .D(_00911_),
-    .RESET_B(net107),
+    .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.fifo_rx_data_w[1] ));
- sky130_fd_sc_hd__dfrtp_4 _20350_ (.CLK(clknet_leaf_114_usb_clk),
+ sky130_fd_sc_hd__dfrtp_4 _20310_ (.CLK(clknet_leaf_113_usb_clk),
     .D(_00912_),
-    .RESET_B(net107),
+    .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.fifo_rx_data_w[2] ));
- sky130_fd_sc_hd__dfrtp_4 _20351_ (.CLK(clknet_leaf_66_usb_clk),
+ sky130_fd_sc_hd__dfrtp_4 _20311_ (.CLK(clknet_leaf_69_usb_clk),
     .D(_00913_),
     .RESET_B(net107),
     .VGND(vssd1),
@@ -241341,7 +240548,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.fifo_rx_data_w[3] ));
- sky130_fd_sc_hd__dfrtp_4 _20352_ (.CLK(clknet_leaf_66_usb_clk),
+ sky130_fd_sc_hd__dfrtp_4 _20312_ (.CLK(clknet_leaf_71_usb_clk),
     .D(_00914_),
     .RESET_B(net107),
     .VGND(vssd1),
@@ -241349,23 +240556,23 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.fifo_rx_data_w[4] ));
- sky130_fd_sc_hd__dfrtp_4 _20353_ (.CLK(clknet_leaf_68_usb_clk),
+ sky130_fd_sc_hd__dfrtp_4 _20313_ (.CLK(clknet_leaf_71_usb_clk),
     .D(_00915_),
-    .RESET_B(net106),
+    .RESET_B(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.fifo_rx_data_w[5] ));
- sky130_fd_sc_hd__dfrtp_4 _20354_ (.CLK(clknet_leaf_68_usb_clk),
+ sky130_fd_sc_hd__dfrtp_4 _20314_ (.CLK(clknet_leaf_71_usb_clk),
     .D(_00916_),
-    .RESET_B(net106),
+    .RESET_B(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.fifo_rx_data_w[6] ));
- sky130_fd_sc_hd__dfrtp_4 _20355_ (.CLK(clknet_leaf_113_usb_clk),
+ sky130_fd_sc_hd__dfrtp_4 _20315_ (.CLK(clknet_leaf_71_usb_clk),
     .D(_00917_),
     .RESET_B(net107),
     .VGND(vssd1),
@@ -241373,31 +240580,31 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.fifo_rx_data_w[7] ));
- sky130_fd_sc_hd__dfrtp_1 _20356_ (.CLK(clknet_leaf_115_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20316_ (.CLK(clknet_leaf_114_usb_clk),
     .D(_00918_),
-    .RESET_B(net107),
+    .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.data_buffer_q[8] ));
- sky130_fd_sc_hd__dfrtp_1 _20357_ (.CLK(clknet_leaf_115_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20317_ (.CLK(clknet_leaf_69_usb_clk),
     .D(_00919_),
-    .RESET_B(net107),
+    .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.data_buffer_q[9] ));
- sky130_fd_sc_hd__dfrtp_1 _20358_ (.CLK(clknet_leaf_114_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20318_ (.CLK(clknet_leaf_114_usb_clk),
     .D(_00920_),
-    .RESET_B(net107),
+    .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.data_buffer_q[10] ));
- sky130_fd_sc_hd__dfrtp_1 _20359_ (.CLK(clknet_leaf_66_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20319_ (.CLK(clknet_leaf_69_usb_clk),
     .D(_00921_),
     .RESET_B(net107),
     .VGND(vssd1),
@@ -241405,31 +240612,31 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.data_buffer_q[11] ));
- sky130_fd_sc_hd__dfrtp_1 _20360_ (.CLK(clknet_leaf_66_usb_clk),
-    .D(net326),
+ sky130_fd_sc_hd__dfrtp_1 _20320_ (.CLK(clknet_leaf_69_usb_clk),
+    .D(_00922_),
     .RESET_B(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.data_buffer_q[12] ));
- sky130_fd_sc_hd__dfrtp_1 _20361_ (.CLK(clknet_leaf_68_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20321_ (.CLK(clknet_leaf_71_usb_clk),
     .D(_00923_),
-    .RESET_B(net106),
+    .RESET_B(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.data_buffer_q[13] ));
- sky130_fd_sc_hd__dfrtp_1 _20362_ (.CLK(clknet_leaf_68_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20322_ (.CLK(clknet_leaf_71_usb_clk),
     .D(_00924_),
-    .RESET_B(net106),
+    .RESET_B(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.data_buffer_q[14] ));
- sky130_fd_sc_hd__dfrtp_1 _20363_ (.CLK(clknet_leaf_114_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20323_ (.CLK(clknet_leaf_69_usb_clk),
     .D(_00925_),
     .RESET_B(net107),
     .VGND(vssd1),
@@ -241437,31 +240644,31 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.data_buffer_q[15] ));
- sky130_fd_sc_hd__dfrtp_1 _20364_ (.CLK(clknet_leaf_114_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20324_ (.CLK(clknet_leaf_69_usb_clk),
     .D(_00926_),
-    .RESET_B(net107),
+    .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.data_buffer_q[16] ));
- sky130_fd_sc_hd__dfrtp_1 _20365_ (.CLK(clknet_leaf_114_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20325_ (.CLK(clknet_leaf_69_usb_clk),
     .D(_00927_),
-    .RESET_B(net107),
+    .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.data_buffer_q[17] ));
- sky130_fd_sc_hd__dfrtp_1 _20366_ (.CLK(clknet_leaf_113_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20326_ (.CLK(clknet_leaf_68_usb_clk),
     .D(_00928_),
-    .RESET_B(net107),
+    .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.data_buffer_q[18] ));
- sky130_fd_sc_hd__dfrtp_1 _20367_ (.CLK(clknet_leaf_66_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20327_ (.CLK(clknet_leaf_69_usb_clk),
     .D(_00929_),
     .RESET_B(net107),
     .VGND(vssd1),
@@ -241469,7 +240676,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.data_buffer_q[19] ));
- sky130_fd_sc_hd__dfrtp_1 _20368_ (.CLK(clknet_leaf_67_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20328_ (.CLK(clknet_leaf_69_usb_clk),
     .D(_00930_),
     .RESET_B(net107),
     .VGND(vssd1),
@@ -241477,7 +240684,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.data_buffer_q[20] ));
- sky130_fd_sc_hd__dfrtp_1 _20369_ (.CLK(clknet_leaf_67_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20329_ (.CLK(clknet_leaf_71_usb_clk),
     .D(_00931_),
     .RESET_B(net107),
     .VGND(vssd1),
@@ -241485,7 +240692,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.data_buffer_q[21] ));
- sky130_fd_sc_hd__dfrtp_1 _20370_ (.CLK(clknet_leaf_67_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20330_ (.CLK(clknet_leaf_71_usb_clk),
     .D(_00932_),
     .RESET_B(net107),
     .VGND(vssd1),
@@ -241493,7 +240700,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.data_buffer_q[22] ));
- sky130_fd_sc_hd__dfrtp_1 _20371_ (.CLK(clknet_leaf_114_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20331_ (.CLK(clknet_leaf_69_usb_clk),
     .D(_00933_),
     .RESET_B(net107),
     .VGND(vssd1),
@@ -241501,7 +240708,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.data_buffer_q[23] ));
- sky130_fd_sc_hd__dfrtp_1 _20372_ (.CLK(clknet_leaf_114_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20332_ (.CLK(clknet_leaf_68_usb_clk),
     .D(_00934_),
     .RESET_B(net107),
     .VGND(vssd1),
@@ -241509,7 +240716,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.data_buffer_q[24] ));
- sky130_fd_sc_hd__dfrtp_1 _20373_ (.CLK(clknet_leaf_114_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20333_ (.CLK(clknet_leaf_68_usb_clk),
     .D(_00935_),
     .RESET_B(net107),
     .VGND(vssd1),
@@ -241517,7 +240724,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.data_buffer_q[25] ));
- sky130_fd_sc_hd__dfrtp_1 _20374_ (.CLK(clknet_leaf_114_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20334_ (.CLK(clknet_leaf_68_usb_clk),
     .D(_00936_),
     .RESET_B(net107),
     .VGND(vssd1),
@@ -241525,7 +240732,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.data_buffer_q[26] ));
- sky130_fd_sc_hd__dfrtp_1 _20375_ (.CLK(clknet_leaf_66_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20335_ (.CLK(clknet_leaf_69_usb_clk),
     .D(_00937_),
     .RESET_B(net107),
     .VGND(vssd1),
@@ -241533,7 +240740,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.data_buffer_q[27] ));
- sky130_fd_sc_hd__dfrtp_1 _20376_ (.CLK(clknet_leaf_66_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20336_ (.CLK(clknet_leaf_69_usb_clk),
     .D(_00938_),
     .RESET_B(net107),
     .VGND(vssd1),
@@ -241541,7 +240748,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.data_buffer_q[28] ));
- sky130_fd_sc_hd__dfrtp_1 _20377_ (.CLK(clknet_leaf_66_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20337_ (.CLK(clknet_leaf_70_usb_clk),
     .D(_00939_),
     .RESET_B(net107),
     .VGND(vssd1),
@@ -241549,7 +240756,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.data_buffer_q[29] ));
- sky130_fd_sc_hd__dfrtp_1 _20378_ (.CLK(clknet_leaf_67_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20338_ (.CLK(clknet_leaf_70_usb_clk),
     .D(_00940_),
     .RESET_B(net107),
     .VGND(vssd1),
@@ -241557,7948 +240764,7948 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.data_buffer_q[30] ));
- sky130_fd_sc_hd__dfrtp_1 _20379_ (.CLK(clknet_leaf_143_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20339_ (.CLK(clknet_leaf_115_usb_clk),
     .D(_00941_),
-    .RESET_B(net107),
+    .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.data_buffer_q[31] ));
- sky130_fd_sc_hd__dfrtp_1 _20380_ (.CLK(clknet_leaf_142_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20340_ (.CLK(clknet_leaf_116_usb_clk),
     .D(_00942_),
-    .RESET_B(net107),
+    .RESET_B(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.data_valid_q[1] ));
- sky130_fd_sc_hd__dfrtp_1 _20381_ (.CLK(clknet_leaf_143_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20341_ (.CLK(clknet_leaf_116_usb_clk),
     .D(_00943_),
-    .RESET_B(net107),
+    .RESET_B(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.data_valid_q[2] ));
- sky130_fd_sc_hd__dfrtp_1 _20382_ (.CLK(clknet_leaf_142_usb_clk),
-    .D(_00944_),
-    .RESET_B(net107),
+ sky130_fd_sc_hd__dfrtp_1 _20342_ (.CLK(clknet_leaf_116_usb_clk),
+    .D(net320),
+    .RESET_B(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.data_valid_q[3] ));
- sky130_fd_sc_hd__dfrtp_1 _20383_ (.CLK(clknet_leaf_143_usb_clk),
+ sky130_fd_sc_hd__dfrtp_2 _20343_ (.CLK(clknet_leaf_117_usb_clk),
     .D(_00945_),
-    .RESET_B(net107),
+    .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.crc_byte_w ));
- sky130_fd_sc_hd__dfrtp_1 _20384_ (.CLK(clknet_leaf_143_usb_clk),
-    .D(net319),
-    .RESET_B(net107),
+ sky130_fd_sc_hd__dfrtp_1 _20344_ (.CLK(clknet_leaf_118_usb_clk),
+    .D(_00946_),
+    .RESET_B(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.data_crc_q[1] ));
- sky130_fd_sc_hd__dfstp_2 _20385_ (.CLK(clknet_leaf_24_usb_clk),
+ sky130_fd_sc_hd__dfstp_2 _20345_ (.CLK(clknet_leaf_68_usb_clk),
     .D(_00947_),
-    .SET_B(net106),
+    .SET_B(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.crc_sum_q[0] ));
- sky130_fd_sc_hd__dfstp_1 _20386_ (.CLK(clknet_leaf_23_usb_clk),
+ sky130_fd_sc_hd__dfstp_1 _20346_ (.CLK(clknet_leaf_67_usb_clk),
     .D(_00948_),
-    .SET_B(net106),
+    .SET_B(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.crc_sum_q[1] ));
- sky130_fd_sc_hd__dfstp_1 _20387_ (.CLK(clknet_leaf_64_usb_clk),
+ sky130_fd_sc_hd__dfstp_2 _20347_ (.CLK(clknet_leaf_68_usb_clk),
     .D(_00949_),
-    .SET_B(net106),
+    .SET_B(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.crc_sum_q[2] ));
- sky130_fd_sc_hd__dfstp_1 _20388_ (.CLK(clknet_leaf_64_usb_clk),
+ sky130_fd_sc_hd__dfstp_1 _20348_ (.CLK(clknet_leaf_67_usb_clk),
     .D(_00950_),
-    .SET_B(net106),
+    .SET_B(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.crc_sum_q[3] ));
- sky130_fd_sc_hd__dfstp_1 _20389_ (.CLK(clknet_leaf_64_usb_clk),
+ sky130_fd_sc_hd__dfstp_1 _20349_ (.CLK(clknet_leaf_65_usb_clk),
     .D(_00951_),
-    .SET_B(net106),
+    .SET_B(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.crc_sum_q[4] ));
- sky130_fd_sc_hd__dfstp_1 _20390_ (.CLK(clknet_leaf_64_usb_clk),
+ sky130_fd_sc_hd__dfstp_2 _20350_ (.CLK(clknet_leaf_65_usb_clk),
     .D(_00952_),
-    .SET_B(net106),
+    .SET_B(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.crc_sum_q[5] ));
- sky130_fd_sc_hd__dfstp_1 _20391_ (.CLK(clknet_leaf_65_usb_clk),
+ sky130_fd_sc_hd__dfstp_1 _20351_ (.CLK(clknet_leaf_70_usb_clk),
     .D(_00953_),
-    .SET_B(net106),
+    .SET_B(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.crc_sum_q[6] ));
- sky130_fd_sc_hd__dfstp_2 _20392_ (.CLK(clknet_leaf_65_usb_clk),
+ sky130_fd_sc_hd__dfstp_2 _20352_ (.CLK(clknet_leaf_69_usb_clk),
     .D(_00954_),
-    .SET_B(net106),
+    .SET_B(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.crc_sum_q[7] ));
- sky130_fd_sc_hd__dfstp_1 _20393_ (.CLK(clknet_leaf_24_usb_clk),
+ sky130_fd_sc_hd__dfstp_1 _20353_ (.CLK(clknet_leaf_66_usb_clk),
     .D(_00955_),
-    .SET_B(net106),
+    .SET_B(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.crc_sum_q[8] ));
- sky130_fd_sc_hd__dfstp_1 _20394_ (.CLK(clknet_leaf_23_usb_clk),
+ sky130_fd_sc_hd__dfstp_1 _20354_ (.CLK(clknet_leaf_67_usb_clk),
     .D(_00956_),
-    .SET_B(net106),
+    .SET_B(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.crc_out_w[1] ));
- sky130_fd_sc_hd__dfstp_2 _20395_ (.CLK(clknet_leaf_64_usb_clk),
+ sky130_fd_sc_hd__dfstp_1 _20355_ (.CLK(clknet_leaf_65_usb_clk),
     .D(_00957_),
-    .SET_B(net106),
+    .SET_B(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.crc_out_w[2] ));
- sky130_fd_sc_hd__dfstp_2 _20396_ (.CLK(clknet_leaf_64_usb_clk),
+ sky130_fd_sc_hd__dfstp_2 _20356_ (.CLK(clknet_leaf_65_usb_clk),
     .D(_00958_),
-    .SET_B(net106),
+    .SET_B(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.crc_out_w[3] ));
- sky130_fd_sc_hd__dfstp_1 _20397_ (.CLK(clknet_leaf_64_usb_clk),
+ sky130_fd_sc_hd__dfstp_1 _20357_ (.CLK(clknet_leaf_65_usb_clk),
     .D(_00959_),
-    .SET_B(net106),
+    .SET_B(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.crc_out_w[4] ));
- sky130_fd_sc_hd__dfstp_1 _20398_ (.CLK(clknet_leaf_64_usb_clk),
+ sky130_fd_sc_hd__dfstp_2 _20358_ (.CLK(clknet_leaf_64_usb_clk),
     .D(_00960_),
-    .SET_B(net106),
+    .SET_B(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.crc_out_w[5] ));
- sky130_fd_sc_hd__dfstp_1 _20399_ (.CLK(clknet_leaf_24_usb_clk),
+ sky130_fd_sc_hd__dfstp_2 _20359_ (.CLK(clknet_leaf_70_usb_clk),
     .D(_00961_),
-    .SET_B(net106),
+    .SET_B(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.crc_sum_q[14] ));
- sky130_fd_sc_hd__dfstp_1 _20400_ (.CLK(clknet_leaf_24_usb_clk),
+ sky130_fd_sc_hd__dfstp_1 _20360_ (.CLK(clknet_leaf_68_usb_clk),
     .D(_00962_),
-    .SET_B(net106),
+    .SET_B(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.crc_sum_q[15] ));
- sky130_fd_sc_hd__dfrtp_1 _20401_ (.CLK(clknet_leaf_139_usb_clk),
-    .D(net305),
-    .RESET_B(net107),
+ sky130_fd_sc_hd__dfrtp_1 _20361_ (.CLK(clknet_leaf_118_usb_clk),
+    .D(net377),
+    .RESET_B(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.rx_active_q[0] ));
- sky130_fd_sc_hd__dfrtp_1 _20402_ (.CLK(clknet_leaf_142_usb_clk),
-    .D(net353),
-    .RESET_B(net107),
+ sky130_fd_sc_hd__dfrtp_1 _20362_ (.CLK(clknet_leaf_118_usb_clk),
+    .D(net362),
+    .RESET_B(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.rx_active_q[1] ));
- sky130_fd_sc_hd__dfrtp_1 _20403_ (.CLK(clknet_leaf_142_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20363_ (.CLK(clknet_leaf_118_usb_clk),
     .D(\u_usb_host.u_core.u_sie.rx_active_q[3] ),
-    .RESET_B(net107),
+    .RESET_B(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.rx_active_q[2] ));
- sky130_fd_sc_hd__dfrtp_1 _20404_ (.CLK(clknet_leaf_141_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20364_ (.CLK(clknet_leaf_118_usb_clk),
     .D(\u_usb_host.u_core.u_sie.utmi_rxactive_i ),
-    .RESET_B(net107),
+    .RESET_B(net108),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_sie.rx_active_q[3] ));
- sky130_fd_sc_hd__dfrtp_4 _20405_ (.CLK(clknet_leaf_141_usb_clk),
+ sky130_fd_sc_hd__dfrtp_2 _20365_ (.CLK(clknet_leaf_139_usb_clk),
     .D(_00963_),
-    .RESET_B(net107),
+    .RESET_B(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.status_crc_err_w ));
- sky130_fd_sc_hd__dfxtp_2 _20406_ (.CLK(clknet_leaf_10_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20366_ (.CLK(clknet_leaf_32_usb_clk),
     .D(_00964_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[63][0] ));
- sky130_fd_sc_hd__dfxtp_2 _20407_ (.CLK(clknet_leaf_16_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20367_ (.CLK(clknet_leaf_31_usb_clk),
     .D(_00965_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[63][1] ));
- sky130_fd_sc_hd__dfxtp_2 _20408_ (.CLK(clknet_leaf_16_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20368_ (.CLK(clknet_leaf_29_usb_clk),
     .D(_00966_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[63][2] ));
- sky130_fd_sc_hd__dfxtp_2 _20409_ (.CLK(clknet_leaf_13_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20369_ (.CLK(clknet_leaf_32_usb_clk),
     .D(_00967_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[63][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20410_ (.CLK(clknet_leaf_20_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20370_ (.CLK(clknet_leaf_64_usb_clk),
     .D(_00968_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[63][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20411_ (.CLK(clknet_leaf_15_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20371_ (.CLK(clknet_leaf_28_usb_clk),
     .D(_00969_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[63][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20412_ (.CLK(clknet_leaf_15_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20372_ (.CLK(clknet_leaf_65_usb_clk),
     .D(_00970_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[63][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20413_ (.CLK(clknet_leaf_20_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20373_ (.CLK(clknet_leaf_64_usb_clk),
     .D(_00971_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[63][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20414_ (.CLK(clknet_leaf_24_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20374_ (.CLK(clknet_leaf_71_app_clk),
     .D(_00972_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20415_ (.CLK(clknet_leaf_42_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20375_ (.CLK(clknet_leaf_70_app_clk),
     .D(_00973_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20416_ (.CLK(clknet_leaf_42_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20376_ (.CLK(clknet_leaf_70_app_clk),
     .D(_00974_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20417_ (.CLK(clknet_leaf_70_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20377_ (.CLK(clknet_leaf_76_app_clk),
     .D(_00975_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20418_ (.CLK(clknet_leaf_71_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20378_ (.CLK(clknet_leaf_76_app_clk),
     .D(_00976_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][8] ));
- sky130_fd_sc_hd__dfxtp_1 _20419_ (.CLK(clknet_leaf_73_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20379_ (.CLK(clknet_leaf_76_app_clk),
     .D(_00977_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][9] ));
- sky130_fd_sc_hd__dfxtp_1 _20420_ (.CLK(clknet_leaf_74_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20380_ (.CLK(clknet_leaf_74_app_clk),
     .D(_00978_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][10] ));
- sky130_fd_sc_hd__dfxtp_1 _20421_ (.CLK(clknet_leaf_71_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20381_ (.CLK(clknet_leaf_74_app_clk),
     .D(_00979_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][11] ));
- sky130_fd_sc_hd__dfxtp_1 _20422_ (.CLK(clknet_leaf_70_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20382_ (.CLK(clknet_leaf_78_app_clk),
     .D(_00980_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][12] ));
- sky130_fd_sc_hd__dfxtp_1 _20423_ (.CLK(clknet_leaf_70_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20383_ (.CLK(clknet_leaf_78_app_clk),
     .D(_00981_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][13] ));
- sky130_fd_sc_hd__dfxtp_1 _20424_ (.CLK(clknet_leaf_71_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20384_ (.CLK(clknet_leaf_78_app_clk),
     .D(_00982_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][14] ));
- sky130_fd_sc_hd__dfxtp_1 _20425_ (.CLK(clknet_leaf_13_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20385_ (.CLK(clknet_leaf_79_app_clk),
     .D(_00983_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][15] ));
- sky130_fd_sc_hd__dfxtp_1 _20426_ (.CLK(clknet_leaf_10_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20386_ (.CLK(clknet_leaf_79_app_clk),
     .D(_00984_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][16] ));
- sky130_fd_sc_hd__dfxtp_1 _20427_ (.CLK(clknet_leaf_72_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20387_ (.CLK(clknet_leaf_80_app_clk),
     .D(_00985_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][17] ));
- sky130_fd_sc_hd__dfxtp_1 _20428_ (.CLK(clknet_leaf_12_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20388_ (.CLK(clknet_leaf_73_app_clk),
     .D(_00986_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][18] ));
- sky130_fd_sc_hd__dfxtp_1 _20429_ (.CLK(clknet_leaf_11_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20389_ (.CLK(clknet_leaf_73_app_clk),
     .D(_00987_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][19] ));
- sky130_fd_sc_hd__dfxtp_1 _20430_ (.CLK(clknet_leaf_15_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20390_ (.CLK(clknet_leaf_15_app_clk),
     .D(_00988_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][20] ));
- sky130_fd_sc_hd__dfxtp_1 _20431_ (.CLK(clknet_leaf_12_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20391_ (.CLK(clknet_leaf_14_app_clk),
     .D(_00989_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][21] ));
- sky130_fd_sc_hd__dfxtp_1 _20432_ (.CLK(clknet_leaf_8_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20392_ (.CLK(clknet_leaf_15_app_clk),
     .D(_00990_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][22] ));
- sky130_fd_sc_hd__dfxtp_1 _20433_ (.CLK(clknet_leaf_18_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20393_ (.CLK(clknet_leaf_15_app_clk),
     .D(_00991_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][23] ));
- sky130_fd_sc_hd__dfxtp_1 _20434_ (.CLK(clknet_leaf_17_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20394_ (.CLK(clknet_leaf_16_app_clk),
     .D(_00992_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][24] ));
- sky130_fd_sc_hd__dfxtp_1 _20435_ (.CLK(clknet_leaf_17_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20395_ (.CLK(clknet_leaf_16_app_clk),
     .D(_00993_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][25] ));
- sky130_fd_sc_hd__dfxtp_1 _20436_ (.CLK(clknet_leaf_16_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20396_ (.CLK(clknet_leaf_15_app_clk),
     .D(_00994_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][26] ));
- sky130_fd_sc_hd__dfxtp_1 _20437_ (.CLK(clknet_leaf_16_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20397_ (.CLK(clknet_leaf_14_app_clk),
     .D(_00995_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][27] ));
- sky130_fd_sc_hd__dfxtp_1 _20438_ (.CLK(clknet_leaf_15_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20398_ (.CLK(clknet_leaf_7_app_clk),
     .D(_00996_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][32] ));
- sky130_fd_sc_hd__dfxtp_1 _20439_ (.CLK(clknet_leaf_16_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20399_ (.CLK(clknet_leaf_7_app_clk),
     .D(_00997_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][33] ));
- sky130_fd_sc_hd__dfxtp_1 _20440_ (.CLK(clknet_leaf_15_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20400_ (.CLK(clknet_leaf_10_app_clk),
     .D(_00998_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][34] ));
- sky130_fd_sc_hd__dfxtp_1 _20441_ (.CLK(clknet_leaf_12_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20401_ (.CLK(clknet_leaf_11_app_clk),
     .D(_00999_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][35] ));
- sky130_fd_sc_hd__dfxtp_1 _20442_ (.CLK(clknet_leaf_13_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20402_ (.CLK(clknet_leaf_12_app_clk),
     .D(_01000_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][36] ));
- sky130_fd_sc_hd__dfxtp_1 _20443_ (.CLK(clknet_leaf_12_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20403_ (.CLK(clknet_leaf_12_app_clk),
     .D(_01001_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][37] ));
- sky130_fd_sc_hd__dfxtp_1 _20444_ (.CLK(clknet_leaf_13_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20404_ (.CLK(clknet_leaf_12_app_clk),
     .D(_01002_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][38] ));
- sky130_fd_sc_hd__dfxtp_1 _20445_ (.CLK(clknet_leaf_14_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20405_ (.CLK(clknet_leaf_24_app_clk),
     .D(_01003_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][39] ));
- sky130_fd_sc_hd__dfxtp_1 _20446_ (.CLK(clknet_leaf_24_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20406_ (.CLK(clknet_leaf_12_app_clk),
     .D(_01004_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][40] ));
- sky130_fd_sc_hd__dfxtp_1 _20447_ (.CLK(clknet_leaf_24_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20407_ (.CLK(clknet_leaf_24_app_clk),
     .D(_01005_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][41] ));
- sky130_fd_sc_hd__dfxtp_1 _20448_ (.CLK(clknet_leaf_69_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20408_ (.CLK(clknet_leaf_12_app_clk),
     .D(_01006_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.mem[3][42] ));
- sky130_fd_sc_hd__dfxtp_2 _20449_ (.CLK(clknet_leaf_10_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20409_ (.CLK(clknet_leaf_32_usb_clk),
     .D(_01007_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[62][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20450_ (.CLK(clknet_leaf_16_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20410_ (.CLK(clknet_leaf_31_usb_clk),
     .D(_01008_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[62][1] ));
- sky130_fd_sc_hd__dfxtp_2 _20451_ (.CLK(clknet_leaf_16_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20411_ (.CLK(clknet_leaf_30_usb_clk),
     .D(_01009_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[62][2] ));
- sky130_fd_sc_hd__dfxtp_2 _20452_ (.CLK(clknet_leaf_13_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20412_ (.CLK(clknet_leaf_32_usb_clk),
     .D(_01010_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[62][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20453_ (.CLK(clknet_leaf_20_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20413_ (.CLK(clknet_leaf_64_usb_clk),
     .D(_01011_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[62][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20454_ (.CLK(clknet_leaf_15_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20414_ (.CLK(clknet_leaf_28_usb_clk),
     .D(_01012_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[62][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20455_ (.CLK(clknet_leaf_20_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20415_ (.CLK(clknet_leaf_65_usb_clk),
     .D(_01013_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[62][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20456_ (.CLK(clknet_leaf_21_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20416_ (.CLK(clknet_leaf_64_usb_clk),
     .D(_01014_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[62][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20457_ (.CLK(clknet_leaf_39_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20417_ (.CLK(clknet_leaf_35_usb_clk),
     .D(_01015_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[61][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20458_ (.CLK(clknet_leaf_49_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20418_ (.CLK(clknet_leaf_34_usb_clk),
     .D(_01016_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[61][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20459_ (.CLK(clknet_leaf_49_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20419_ (.CLK(clknet_leaf_32_usb_clk),
     .D(_01017_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[61][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20460_ (.CLK(clknet_leaf_49_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20420_ (.CLK(clknet_leaf_34_usb_clk),
     .D(_01018_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[61][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20461_ (.CLK(clknet_leaf_62_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20421_ (.CLK(clknet_leaf_25_usb_clk),
     .D(_01019_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[61][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20462_ (.CLK(clknet_leaf_62_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20422_ (.CLK(clknet_leaf_29_usb_clk),
     .D(_01020_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[61][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20463_ (.CLK(clknet_leaf_60_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20423_ (.CLK(clknet_leaf_26_usb_clk),
     .D(_01021_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[61][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20464_ (.CLK(clknet_leaf_62_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20424_ (.CLK(clknet_leaf_27_usb_clk),
     .D(_01022_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[61][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20465_ (.CLK(clknet_leaf_49_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20425_ (.CLK(clknet_leaf_35_usb_clk),
     .D(_01023_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[60][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20466_ (.CLK(clknet_leaf_42_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20426_ (.CLK(clknet_leaf_35_usb_clk),
     .D(_01024_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[60][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20467_ (.CLK(clknet_leaf_42_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20427_ (.CLK(clknet_leaf_32_usb_clk),
     .D(_01025_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[60][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20468_ (.CLK(clknet_leaf_42_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20428_ (.CLK(clknet_leaf_32_usb_clk),
     .D(_01026_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[60][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20469_ (.CLK(clknet_leaf_25_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20429_ (.CLK(clknet_leaf_25_usb_clk),
     .D(_01027_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[60][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20470_ (.CLK(clknet_leaf_63_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20430_ (.CLK(clknet_leaf_25_usb_clk),
     .D(_01028_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[60][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20471_ (.CLK(clknet_leaf_25_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20431_ (.CLK(clknet_leaf_26_usb_clk),
     .D(_01029_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[60][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20472_ (.CLK(clknet_leaf_25_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20432_ (.CLK(clknet_leaf_26_usb_clk),
     .D(_01030_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[60][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20473_ (.CLK(clknet_leaf_45_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20433_ (.CLK(clknet_leaf_35_usb_clk),
     .D(_01031_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[59][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20474_ (.CLK(clknet_leaf_42_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20434_ (.CLK(clknet_leaf_34_usb_clk),
     .D(_01032_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[59][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20475_ (.CLK(clknet_leaf_42_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20435_ (.CLK(clknet_leaf_31_usb_clk),
     .D(_01033_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[59][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20476_ (.CLK(clknet_leaf_42_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20436_ (.CLK(clknet_leaf_33_usb_clk),
     .D(_01034_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[59][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20477_ (.CLK(clknet_leaf_60_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20437_ (.CLK(clknet_leaf_25_usb_clk),
     .D(_01035_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[59][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20478_ (.CLK(clknet_leaf_60_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20438_ (.CLK(clknet_leaf_29_usb_clk),
     .D(_01036_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[59][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20479_ (.CLK(clknet_leaf_60_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20439_ (.CLK(clknet_leaf_25_usb_clk),
     .D(_01037_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[59][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20480_ (.CLK(clknet_leaf_60_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20440_ (.CLK(clknet_leaf_26_usb_clk),
     .D(_01038_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[59][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20481_ (.CLK(clknet_leaf_42_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20441_ (.CLK(clknet_leaf_35_usb_clk),
     .D(_01039_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[58][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20482_ (.CLK(clknet_leaf_48_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20442_ (.CLK(clknet_leaf_32_usb_clk),
     .D(_01040_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[58][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20483_ (.CLK(clknet_leaf_42_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20443_ (.CLK(clknet_leaf_31_usb_clk),
     .D(_01041_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[58][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20484_ (.CLK(clknet_leaf_49_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20444_ (.CLK(clknet_leaf_32_usb_clk),
     .D(_01042_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[58][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20485_ (.CLK(clknet_leaf_64_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20445_ (.CLK(clknet_leaf_25_usb_clk),
     .D(_01043_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[58][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20486_ (.CLK(clknet_leaf_63_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20446_ (.CLK(clknet_leaf_29_usb_clk),
     .D(_01044_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[58][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20487_ (.CLK(clknet_leaf_63_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20447_ (.CLK(clknet_leaf_63_usb_clk),
     .D(_01045_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[58][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20488_ (.CLK(clknet_leaf_63_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20448_ (.CLK(clknet_leaf_26_usb_clk),
     .D(_01046_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[58][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20489_ (.CLK(clknet_leaf_40_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20449_ (.CLK(clknet_leaf_37_usb_clk),
     .D(_01047_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[57][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20490_ (.CLK(clknet_leaf_40_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20450_ (.CLK(clknet_leaf_35_usb_clk),
     .D(_01048_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[57][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20491_ (.CLK(clknet_leaf_40_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20451_ (.CLK(clknet_leaf_37_usb_clk),
     .D(_01049_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[57][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20492_ (.CLK(clknet_leaf_41_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20452_ (.CLK(clknet_leaf_36_usb_clk),
     .D(_01050_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[57][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20493_ (.CLK(clknet_leaf_61_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20453_ (.CLK(clknet_leaf_26_usb_clk),
     .D(_01051_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[57][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20494_ (.CLK(clknet_leaf_56_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20454_ (.CLK(clknet_leaf_28_usb_clk),
     .D(_01052_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[57][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20495_ (.CLK(clknet_leaf_57_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20455_ (.CLK(clknet_leaf_26_usb_clk),
     .D(_01053_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[57][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20496_ (.CLK(clknet_leaf_59_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20456_ (.CLK(clknet_leaf_26_usb_clk),
     .D(_01054_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[57][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20497_ (.CLK(clknet_leaf_41_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20457_ (.CLK(clknet_leaf_35_usb_clk),
     .D(_01055_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[56][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20498_ (.CLK(clknet_leaf_41_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20458_ (.CLK(clknet_leaf_34_usb_clk),
     .D(_01056_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[56][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20499_ (.CLK(clknet_leaf_41_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20459_ (.CLK(clknet_leaf_36_usb_clk),
     .D(_01057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[56][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20500_ (.CLK(clknet_leaf_41_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20460_ (.CLK(clknet_leaf_36_usb_clk),
     .D(_01058_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[56][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20501_ (.CLK(clknet_leaf_61_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20461_ (.CLK(clknet_leaf_25_usb_clk),
     .D(_01059_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[56][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20502_ (.CLK(clknet_leaf_56_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20462_ (.CLK(clknet_leaf_29_usb_clk),
     .D(_01060_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[56][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20503_ (.CLK(clknet_leaf_57_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20463_ (.CLK(clknet_leaf_66_usb_clk),
     .D(_01061_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[56][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20504_ (.CLK(clknet_leaf_59_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20464_ (.CLK(clknet_leaf_66_usb_clk),
     .D(_01062_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[56][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20505_ (.CLK(clknet_leaf_41_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20465_ (.CLK(clknet_leaf_35_usb_clk),
     .D(_01063_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[55][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20506_ (.CLK(clknet_leaf_41_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20466_ (.CLK(clknet_leaf_34_usb_clk),
     .D(_01064_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[55][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20507_ (.CLK(clknet_leaf_41_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20467_ (.CLK(clknet_leaf_37_usb_clk),
     .D(_01065_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[55][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20508_ (.CLK(clknet_leaf_41_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20468_ (.CLK(clknet_leaf_37_usb_clk),
     .D(_01066_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[55][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20509_ (.CLK(clknet_leaf_60_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20469_ (.CLK(clknet_leaf_26_usb_clk),
     .D(_01067_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[55][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20510_ (.CLK(clknet_leaf_56_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20470_ (.CLK(clknet_leaf_28_usb_clk),
     .D(_01068_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[55][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20511_ (.CLK(clknet_leaf_57_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20471_ (.CLK(clknet_leaf_66_usb_clk),
     .D(_01069_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[55][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20512_ (.CLK(clknet_leaf_59_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20472_ (.CLK(clknet_leaf_63_usb_clk),
     .D(_01070_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[55][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20513_ (.CLK(clknet_leaf_40_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20473_ (.CLK(clknet_leaf_36_usb_clk),
     .D(_01071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[54][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20514_ (.CLK(clknet_leaf_41_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20474_ (.CLK(clknet_leaf_34_usb_clk),
     .D(_01072_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[54][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20515_ (.CLK(clknet_leaf_41_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20475_ (.CLK(clknet_leaf_36_usb_clk),
     .D(_01073_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[54][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20516_ (.CLK(clknet_leaf_41_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20476_ (.CLK(clknet_leaf_36_usb_clk),
     .D(_01074_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[54][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20517_ (.CLK(clknet_leaf_60_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20477_ (.CLK(clknet_leaf_27_usb_clk),
     .D(_01075_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[54][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20518_ (.CLK(clknet_leaf_56_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20478_ (.CLK(clknet_leaf_27_usb_clk),
     .D(_01076_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[54][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20519_ (.CLK(clknet_leaf_57_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20479_ (.CLK(clknet_leaf_66_usb_clk),
     .D(_01077_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[54][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20520_ (.CLK(clknet_leaf_59_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20480_ (.CLK(clknet_leaf_63_usb_clk),
     .D(_01078_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[54][7] ));
- sky130_fd_sc_hd__dfxtp_2 _20521_ (.CLK(clknet_leaf_45_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20481_ (.CLK(clknet_leaf_35_usb_clk),
     .D(_01079_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[53][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20522_ (.CLK(clknet_leaf_46_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20482_ (.CLK(clknet_leaf_35_usb_clk),
     .D(_01080_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[53][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20523_ (.CLK(clknet_leaf_46_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20483_ (.CLK(clknet_leaf_36_usb_clk),
     .D(_01081_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[53][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20524_ (.CLK(clknet_leaf_44_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20484_ (.CLK(clknet_leaf_37_usb_clk),
     .D(_01082_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[53][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20525_ (.CLK(clknet_leaf_54_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20485_ (.CLK(clknet_leaf_64_usb_clk),
     .D(_01083_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[53][4] ));
- sky130_fd_sc_hd__dfxtp_2 _20526_ (.CLK(clknet_leaf_46_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20486_ (.CLK(clknet_leaf_28_usb_clk),
     .D(_01084_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[53][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20527_ (.CLK(clknet_leaf_47_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20487_ (.CLK(clknet_leaf_59_usb_clk),
     .D(_01085_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[53][6] ));
- sky130_fd_sc_hd__dfxtp_2 _20528_ (.CLK(clknet_leaf_53_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20488_ (.CLK(clknet_leaf_63_usb_clk),
     .D(_01086_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[53][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20529_ (.CLK(clknet_leaf_45_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20489_ (.CLK(clknet_leaf_37_usb_clk),
     .D(_01087_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[52][0] ));
- sky130_fd_sc_hd__dfxtp_2 _20530_ (.CLK(clknet_leaf_46_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20490_ (.CLK(clknet_leaf_37_usb_clk),
     .D(_01088_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[52][1] ));
- sky130_fd_sc_hd__dfxtp_2 _20531_ (.CLK(clknet_leaf_46_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20491_ (.CLK(clknet_leaf_37_usb_clk),
     .D(_01089_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[52][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20532_ (.CLK(clknet_leaf_46_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20492_ (.CLK(clknet_leaf_37_usb_clk),
     .D(_01090_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[52][3] ));
- sky130_fd_sc_hd__dfxtp_2 _20533_ (.CLK(clknet_leaf_53_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20493_ (.CLK(clknet_leaf_64_usb_clk),
     .D(_01091_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[52][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20534_ (.CLK(clknet_leaf_46_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20494_ (.CLK(clknet_leaf_28_usb_clk),
     .D(_01092_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[52][5] ));
- sky130_fd_sc_hd__dfxtp_2 _20535_ (.CLK(clknet_leaf_46_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20495_ (.CLK(clknet_leaf_64_usb_clk),
     .D(_01093_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[52][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20536_ (.CLK(clknet_leaf_53_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20496_ (.CLK(clknet_leaf_63_usb_clk),
     .D(_01094_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[52][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20537_ (.CLK(clknet_leaf_44_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20497_ (.CLK(clknet_leaf_36_usb_clk),
     .D(_01095_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[51][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20538_ (.CLK(clknet_leaf_44_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20498_ (.CLK(clknet_leaf_36_usb_clk),
     .D(_01096_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[51][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20539_ (.CLK(clknet_leaf_46_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20499_ (.CLK(clknet_leaf_35_usb_clk),
     .D(_01097_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[51][2] ));
- sky130_fd_sc_hd__dfxtp_2 _20540_ (.CLK(clknet_leaf_44_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20500_ (.CLK(clknet_leaf_35_usb_clk),
     .D(_01098_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[51][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20541_ (.CLK(clknet_leaf_54_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20501_ (.CLK(clknet_leaf_64_usb_clk),
     .D(_01099_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[51][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20542_ (.CLK(clknet_leaf_54_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20502_ (.CLK(clknet_leaf_27_usb_clk),
     .D(_01100_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[51][5] ));
- sky130_fd_sc_hd__dfxtp_2 _20543_ (.CLK(clknet_leaf_47_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20503_ (.CLK(clknet_leaf_63_usb_clk),
     .D(_01101_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[51][6] ));
- sky130_fd_sc_hd__dfxtp_2 _20544_ (.CLK(clknet_leaf_54_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20504_ (.CLK(clknet_leaf_63_usb_clk),
     .D(_01102_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[51][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20545_ (.CLK(clknet_leaf_45_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20505_ (.CLK(clknet_leaf_35_usb_clk),
     .D(_01103_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[50][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20546_ (.CLK(clknet_leaf_46_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20506_ (.CLK(clknet_leaf_35_usb_clk),
     .D(_01104_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[50][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20547_ (.CLK(clknet_leaf_45_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20507_ (.CLK(clknet_leaf_37_usb_clk),
     .D(_01105_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[50][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20548_ (.CLK(clknet_leaf_46_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20508_ (.CLK(clknet_leaf_37_usb_clk),
     .D(_01106_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[50][3] ));
- sky130_fd_sc_hd__dfxtp_2 _20549_ (.CLK(clknet_leaf_54_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20509_ (.CLK(clknet_leaf_65_usb_clk),
     .D(_01107_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[50][4] ));
- sky130_fd_sc_hd__dfxtp_2 _20550_ (.CLK(clknet_leaf_53_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20510_ (.CLK(clknet_leaf_27_usb_clk),
     .D(_01108_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[50][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20551_ (.CLK(clknet_leaf_47_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20511_ (.CLK(clknet_leaf_65_usb_clk),
     .D(_01109_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[50][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20552_ (.CLK(clknet_leaf_53_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20512_ (.CLK(clknet_leaf_63_usb_clk),
     .D(_01110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[50][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20553_ (.CLK(clknet_leaf_45_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20513_ (.CLK(clknet_leaf_49_usb_clk),
     .D(_01111_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[49][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20554_ (.CLK(clknet_leaf_42_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20514_ (.CLK(clknet_leaf_46_usb_clk),
     .D(_01112_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[49][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20555_ (.CLK(clknet_leaf_42_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20515_ (.CLK(clknet_leaf_46_usb_clk),
     .D(_01113_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[49][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20556_ (.CLK(clknet_leaf_48_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20516_ (.CLK(clknet_leaf_46_usb_clk),
     .D(_01114_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[49][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20557_ (.CLK(clknet_leaf_57_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20517_ (.CLK(clknet_leaf_57_usb_clk),
     .D(_01115_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[49][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20558_ (.CLK(clknet_leaf_49_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20518_ (.CLK(clknet_leaf_53_usb_clk),
     .D(_01116_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[49][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20559_ (.CLK(clknet_leaf_57_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20519_ (.CLK(clknet_leaf_57_usb_clk),
     .D(_01117_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[49][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20560_ (.CLK(clknet_leaf_57_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20520_ (.CLK(clknet_leaf_53_usb_clk),
     .D(_01118_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[49][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20561_ (.CLK(clknet_leaf_44_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20521_ (.CLK(clknet_leaf_49_usb_clk),
     .D(_01119_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[48][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20562_ (.CLK(clknet_leaf_48_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20522_ (.CLK(clknet_leaf_45_usb_clk),
     .D(_01120_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[48][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20563_ (.CLK(clknet_leaf_42_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20523_ (.CLK(clknet_leaf_49_usb_clk),
     .D(_01121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[48][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20564_ (.CLK(clknet_leaf_43_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20524_ (.CLK(clknet_leaf_43_usb_clk),
     .D(_01122_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[48][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20565_ (.CLK(clknet_leaf_51_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20525_ (.CLK(clknet_leaf_53_usb_clk),
     .D(_01123_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[48][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20566_ (.CLK(clknet_leaf_49_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20526_ (.CLK(clknet_leaf_54_usb_clk),
     .D(_01124_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[48][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20567_ (.CLK(clknet_leaf_57_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20527_ (.CLK(clknet_leaf_53_usb_clk),
     .D(_01125_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[48][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20568_ (.CLK(clknet_leaf_58_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20528_ (.CLK(clknet_leaf_53_usb_clk),
     .D(_01126_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[48][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20569_ (.CLK(clknet_leaf_44_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20529_ (.CLK(clknet_leaf_46_usb_clk),
     .D(_01127_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[47][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20570_ (.CLK(clknet_leaf_43_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20530_ (.CLK(clknet_leaf_45_usb_clk),
     .D(_01128_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[47][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20571_ (.CLK(clknet_leaf_42_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20531_ (.CLK(clknet_leaf_49_usb_clk),
     .D(_01129_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[47][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20572_ (.CLK(clknet_leaf_43_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20532_ (.CLK(clknet_leaf_49_usb_clk),
     .D(_01130_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[47][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20573_ (.CLK(clknet_leaf_51_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20533_ (.CLK(clknet_leaf_57_usb_clk),
     .D(_01131_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[47][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20574_ (.CLK(clknet_leaf_49_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20534_ (.CLK(clknet_leaf_53_usb_clk),
     .D(_01132_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[47][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20575_ (.CLK(clknet_leaf_57_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20535_ (.CLK(clknet_leaf_57_usb_clk),
     .D(_01133_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[47][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20576_ (.CLK(clknet_leaf_58_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20536_ (.CLK(clknet_leaf_52_usb_clk),
     .D(_01134_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[47][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20577_ (.CLK(clknet_leaf_45_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20537_ (.CLK(clknet_leaf_49_usb_clk),
     .D(_01135_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[46][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20578_ (.CLK(clknet_leaf_48_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20538_ (.CLK(clknet_leaf_46_usb_clk),
     .D(_01136_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[46][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20579_ (.CLK(clknet_leaf_42_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20539_ (.CLK(clknet_leaf_49_usb_clk),
     .D(_01137_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[46][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20580_ (.CLK(clknet_leaf_43_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20540_ (.CLK(clknet_leaf_46_usb_clk),
     .D(_01138_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[46][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20581_ (.CLK(clknet_leaf_57_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20541_ (.CLK(clknet_leaf_53_usb_clk),
     .D(_01139_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[46][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20582_ (.CLK(clknet_leaf_52_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20542_ (.CLK(clknet_leaf_53_usb_clk),
     .D(_01140_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[46][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20583_ (.CLK(clknet_leaf_57_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20543_ (.CLK(clknet_leaf_52_usb_clk),
     .D(_01141_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[46][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20584_ (.CLK(clknet_leaf_57_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20544_ (.CLK(clknet_leaf_53_usb_clk),
     .D(_01142_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[46][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20585_ (.CLK(clknet_leaf_32_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20545_ (.CLK(clknet_leaf_44_usb_clk),
     .D(_01143_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[45][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20586_ (.CLK(clknet_leaf_32_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20546_ (.CLK(clknet_leaf_44_usb_clk),
     .D(_01144_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[45][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20587_ (.CLK(clknet_leaf_32_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20547_ (.CLK(clknet_leaf_47_usb_clk),
     .D(_01145_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[45][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20588_ (.CLK(clknet_leaf_32_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20548_ (.CLK(clknet_leaf_45_usb_clk),
     .D(_01146_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[45][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20589_ (.CLK(clknet_leaf_60_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20549_ (.CLK(clknet_leaf_54_usb_clk),
     .D(_01147_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[45][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20590_ (.CLK(clknet_leaf_29_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20550_ (.CLK(clknet_leaf_48_usb_clk),
     .D(_01148_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[45][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20591_ (.CLK(clknet_leaf_25_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20551_ (.CLK(clknet_leaf_56_usb_clk),
     .D(_01149_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[45][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20592_ (.CLK(clknet_leaf_60_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20552_ (.CLK(clknet_leaf_55_usb_clk),
     .D(_01150_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[45][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20593_ (.CLK(clknet_leaf_32_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20553_ (.CLK(clknet_leaf_44_usb_clk),
     .D(_01151_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[44][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20594_ (.CLK(clknet_leaf_32_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20554_ (.CLK(clknet_leaf_44_usb_clk),
     .D(_01152_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[44][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20595_ (.CLK(clknet_leaf_31_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20555_ (.CLK(clknet_leaf_47_usb_clk),
     .D(_01153_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[44][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20596_ (.CLK(clknet_leaf_12_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20556_ (.CLK(clknet_leaf_45_usb_clk),
     .D(_01154_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[44][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20597_ (.CLK(clknet_leaf_60_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20557_ (.CLK(clknet_leaf_54_usb_clk),
     .D(_01155_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[44][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20598_ (.CLK(clknet_leaf_29_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20558_ (.CLK(clknet_leaf_48_usb_clk),
     .D(_01156_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[44][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20599_ (.CLK(clknet_leaf_25_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20559_ (.CLK(clknet_leaf_56_usb_clk),
     .D(_01157_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[44][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20600_ (.CLK(clknet_leaf_25_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20560_ (.CLK(clknet_leaf_54_usb_clk),
     .D(_01158_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[44][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20601_ (.CLK(clknet_leaf_32_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20561_ (.CLK(clknet_leaf_46_usb_clk),
     .D(_01159_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[43][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20602_ (.CLK(clknet_leaf_32_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20562_ (.CLK(clknet_leaf_45_usb_clk),
     .D(_01160_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[43][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20603_ (.CLK(clknet_leaf_31_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20563_ (.CLK(clknet_leaf_47_usb_clk),
     .D(_01161_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[43][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20604_ (.CLK(clknet_leaf_30_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20564_ (.CLK(clknet_leaf_45_usb_clk),
     .D(_01162_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[43][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20605_ (.CLK(clknet_leaf_63_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20565_ (.CLK(clknet_leaf_54_usb_clk),
     .D(_01163_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[43][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20606_ (.CLK(clknet_leaf_59_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20566_ (.CLK(clknet_leaf_54_usb_clk),
     .D(_01164_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[43][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20607_ (.CLK(clknet_leaf_25_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20567_ (.CLK(clknet_leaf_56_usb_clk),
     .D(_01165_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[43][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20608_ (.CLK(clknet_leaf_60_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20568_ (.CLK(clknet_leaf_56_usb_clk),
     .D(_01166_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[43][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20609_ (.CLK(clknet_leaf_32_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20569_ (.CLK(clknet_leaf_46_usb_clk),
     .D(_01167_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[42][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20610_ (.CLK(clknet_leaf_32_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20570_ (.CLK(clknet_leaf_45_usb_clk),
     .D(_01168_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[42][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20611_ (.CLK(clknet_leaf_34_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20571_ (.CLK(clknet_leaf_48_usb_clk),
     .D(_01169_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[42][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20612_ (.CLK(clknet_leaf_34_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20572_ (.CLK(clknet_leaf_45_usb_clk),
     .D(_01170_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[42][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20613_ (.CLK(clknet_leaf_63_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20573_ (.CLK(clknet_leaf_53_usb_clk),
     .D(_01171_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[42][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20614_ (.CLK(clknet_leaf_30_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20574_ (.CLK(clknet_leaf_48_usb_clk),
     .D(_01172_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[42][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20615_ (.CLK(clknet_leaf_60_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20575_ (.CLK(clknet_leaf_56_usb_clk),
     .D(_01173_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[42][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20616_ (.CLK(clknet_leaf_25_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20576_ (.CLK(clknet_leaf_54_usb_clk),
     .D(_01174_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[42][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20617_ (.CLK(clknet_leaf_35_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20577_ (.CLK(clknet_leaf_43_usb_clk),
     .D(_01175_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[41][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20618_ (.CLK(clknet_leaf_36_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20578_ (.CLK(clknet_leaf_44_usb_clk),
     .D(_01176_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[41][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20619_ (.CLK(clknet_leaf_35_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20579_ (.CLK(clknet_leaf_44_usb_clk),
     .D(_01177_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[41][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20620_ (.CLK(clknet_leaf_35_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20580_ (.CLK(clknet_leaf_45_usb_clk),
     .D(_01178_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[41][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20621_ (.CLK(clknet_leaf_53_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20581_ (.CLK(clknet_leaf_53_usb_clk),
     .D(_01179_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[41][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20622_ (.CLK(clknet_leaf_48_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20582_ (.CLK(clknet_leaf_48_usb_clk),
     .D(_01180_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[41][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20623_ (.CLK(clknet_leaf_51_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20583_ (.CLK(clknet_leaf_56_usb_clk),
     .D(_01181_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[41][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20624_ (.CLK(clknet_leaf_51_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20584_ (.CLK(clknet_leaf_53_usb_clk),
     .D(_01182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[41][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20625_ (.CLK(clknet_leaf_36_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20585_ (.CLK(clknet_leaf_46_usb_clk),
     .D(_01183_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[40][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20626_ (.CLK(clknet_leaf_36_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20586_ (.CLK(clknet_leaf_44_usb_clk),
     .D(_01184_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[40][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20627_ (.CLK(clknet_leaf_35_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20587_ (.CLK(clknet_leaf_49_usb_clk),
     .D(_01185_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[40][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20628_ (.CLK(clknet_leaf_35_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20588_ (.CLK(clknet_leaf_46_usb_clk),
     .D(_01186_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[40][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20629_ (.CLK(clknet_leaf_52_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20589_ (.CLK(clknet_leaf_54_usb_clk),
     .D(_01187_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[40][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20630_ (.CLK(clknet_leaf_48_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20590_ (.CLK(clknet_leaf_49_usb_clk),
     .D(_01188_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[40][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20631_ (.CLK(clknet_leaf_49_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20591_ (.CLK(clknet_leaf_56_usb_clk),
     .D(_01189_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[40][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20632_ (.CLK(clknet_leaf_51_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20592_ (.CLK(clknet_leaf_53_usb_clk),
     .D(_01190_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[40][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20633_ (.CLK(clknet_leaf_36_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20593_ (.CLK(clknet_leaf_43_usb_clk),
     .D(_01191_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[39][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20634_ (.CLK(clknet_leaf_40_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20594_ (.CLK(clknet_leaf_44_usb_clk),
     .D(_01192_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[39][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20635_ (.CLK(clknet_leaf_35_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20595_ (.CLK(clknet_leaf_44_usb_clk),
     .D(_01193_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[39][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20636_ (.CLK(clknet_leaf_35_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20596_ (.CLK(clknet_leaf_45_usb_clk),
     .D(_01194_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[39][3] ));
- sky130_fd_sc_hd__dfxtp_2 _20637_ (.CLK(clknet_leaf_53_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20597_ (.CLK(clknet_leaf_56_usb_clk),
     .D(_01195_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[39][4] ));
- sky130_fd_sc_hd__dfxtp_2 _20638_ (.CLK(clknet_leaf_48_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20598_ (.CLK(clknet_leaf_49_usb_clk),
     .D(_01196_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[39][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20639_ (.CLK(clknet_leaf_51_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20599_ (.CLK(clknet_leaf_56_usb_clk),
     .D(_01197_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[39][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20640_ (.CLK(clknet_leaf_51_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20600_ (.CLK(clknet_leaf_53_usb_clk),
     .D(_01198_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[39][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20641_ (.CLK(clknet_leaf_35_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20601_ (.CLK(clknet_leaf_43_usb_clk),
     .D(_01199_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[38][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20642_ (.CLK(clknet_leaf_36_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20602_ (.CLK(clknet_leaf_44_usb_clk),
     .D(_01200_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[38][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20643_ (.CLK(clknet_leaf_35_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20603_ (.CLK(clknet_leaf_44_usb_clk),
     .D(_01201_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[38][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20644_ (.CLK(clknet_leaf_35_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20604_ (.CLK(clknet_leaf_43_usb_clk),
     .D(_01202_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[38][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20645_ (.CLK(clknet_leaf_52_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20605_ (.CLK(clknet_leaf_57_usb_clk),
     .D(_01203_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[38][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20646_ (.CLK(clknet_leaf_48_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20606_ (.CLK(clknet_leaf_54_usb_clk),
     .D(_01204_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[38][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20647_ (.CLK(clknet_leaf_49_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20607_ (.CLK(clknet_leaf_57_usb_clk),
     .D(_01205_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[38][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20648_ (.CLK(clknet_leaf_51_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20608_ (.CLK(clknet_leaf_53_usb_clk),
     .D(_01206_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[38][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20649_ (.CLK(clknet_leaf_45_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20609_ (.CLK(clknet_leaf_47_usb_clk),
     .D(_01207_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[37][0] ));
- sky130_fd_sc_hd__dfxtp_2 _20650_ (.CLK(clknet_leaf_45_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20610_ (.CLK(clknet_leaf_47_usb_clk),
     .D(_01208_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[37][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20651_ (.CLK(clknet_leaf_43_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20611_ (.CLK(clknet_leaf_47_usb_clk),
     .D(_01209_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[37][2] ));
- sky130_fd_sc_hd__dfxtp_2 _20652_ (.CLK(clknet_leaf_43_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20612_ (.CLK(clknet_leaf_47_usb_clk),
     .D(_01210_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[37][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20653_ (.CLK(clknet_leaf_52_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20613_ (.CLK(clknet_leaf_54_usb_clk),
     .D(_01211_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[37][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20654_ (.CLK(clknet_leaf_52_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20614_ (.CLK(clknet_leaf_48_usb_clk),
     .D(_01212_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[37][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20655_ (.CLK(clknet_leaf_52_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20615_ (.CLK(clknet_leaf_55_usb_clk),
     .D(_01213_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[37][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20656_ (.CLK(clknet_leaf_52_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20616_ (.CLK(clknet_leaf_55_usb_clk),
     .D(_01214_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[37][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20657_ (.CLK(clknet_leaf_44_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20617_ (.CLK(clknet_leaf_46_usb_clk),
     .D(_01215_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[36][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20658_ (.CLK(clknet_leaf_44_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20618_ (.CLK(clknet_leaf_45_usb_clk),
     .D(_01216_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[36][1] ));
- sky130_fd_sc_hd__dfxtp_2 _20659_ (.CLK(clknet_leaf_44_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20619_ (.CLK(clknet_leaf_47_usb_clk),
     .D(_01217_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[36][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20660_ (.CLK(clknet_leaf_44_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20620_ (.CLK(clknet_leaf_45_usb_clk),
     .D(_01218_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[36][3] ));
- sky130_fd_sc_hd__dfxtp_2 _20661_ (.CLK(clknet_leaf_53_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20621_ (.CLK(clknet_leaf_54_usb_clk),
     .D(_01219_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[36][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20662_ (.CLK(clknet_leaf_47_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20622_ (.CLK(clknet_leaf_47_usb_clk),
     .D(_01220_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[36][5] ));
- sky130_fd_sc_hd__dfxtp_2 _20663_ (.CLK(clknet_leaf_47_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20623_ (.CLK(clknet_leaf_55_usb_clk),
     .D(_01221_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[36][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20664_ (.CLK(clknet_leaf_53_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20624_ (.CLK(clknet_leaf_55_usb_clk),
     .D(_01222_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[36][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20665_ (.CLK(clknet_leaf_44_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20625_ (.CLK(clknet_leaf_45_usb_clk),
     .D(_01223_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[35][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20666_ (.CLK(clknet_leaf_45_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20626_ (.CLK(clknet_leaf_45_usb_clk),
     .D(_01224_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[35][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20667_ (.CLK(clknet_leaf_42_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20627_ (.CLK(clknet_leaf_47_usb_clk),
     .D(_01225_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[35][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20668_ (.CLK(clknet_leaf_44_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20628_ (.CLK(clknet_leaf_45_usb_clk),
     .D(_01226_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[35][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20669_ (.CLK(clknet_4_11_0_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20629_ (.CLK(clknet_leaf_54_usb_clk),
     .D(_01227_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[35][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20670_ (.CLK(clknet_leaf_54_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20630_ (.CLK(clknet_leaf_47_usb_clk),
     .D(_01228_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[35][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20671_ (.CLK(clknet_leaf_47_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20631_ (.CLK(clknet_leaf_55_usb_clk),
     .D(_01229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[35][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20672_ (.CLK(clknet_leaf_52_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20632_ (.CLK(clknet_leaf_55_usb_clk),
     .D(_01230_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[35][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20673_ (.CLK(clknet_leaf_45_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20633_ (.CLK(clknet_leaf_47_usb_clk),
     .D(_01231_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[34][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20674_ (.CLK(clknet_leaf_44_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20634_ (.CLK(clknet_leaf_47_usb_clk),
     .D(_01232_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[34][1] ));
- sky130_fd_sc_hd__dfxtp_2 _20675_ (.CLK(clknet_leaf_44_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20635_ (.CLK(clknet_leaf_47_usb_clk),
     .D(_01233_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[34][2] ));
- sky130_fd_sc_hd__dfxtp_2 _20676_ (.CLK(clknet_leaf_43_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20636_ (.CLK(clknet_leaf_47_usb_clk),
     .D(_01234_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[34][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20677_ (.CLK(clknet_leaf_53_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20637_ (.CLK(clknet_leaf_55_usb_clk),
     .D(_01235_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[34][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20678_ (.CLK(clknet_leaf_47_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20638_ (.CLK(clknet_leaf_47_usb_clk),
     .D(_01236_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[34][5] ));
- sky130_fd_sc_hd__dfxtp_2 _20679_ (.CLK(clknet_leaf_47_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20639_ (.CLK(clknet_leaf_55_usb_clk),
     .D(_01237_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[34][6] ));
- sky130_fd_sc_hd__dfxtp_2 _20680_ (.CLK(clknet_leaf_53_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20640_ (.CLK(clknet_leaf_54_usb_clk),
     .D(_01238_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[34][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20681_ (.CLK(clknet_leaf_12_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20641_ (.CLK(clknet_leaf_39_usb_clk),
     .D(_01239_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[33][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20682_ (.CLK(clknet_leaf_13_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20642_ (.CLK(clknet_leaf_40_usb_clk),
     .D(_01240_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[33][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20683_ (.CLK(clknet_leaf_14_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20643_ (.CLK(clknet_leaf_49_usb_clk),
     .D(_01241_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[33][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20684_ (.CLK(clknet_leaf_12_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20644_ (.CLK(clknet_leaf_43_usb_clk),
     .D(_01242_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[33][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20685_ (.CLK(clknet_leaf_26_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20645_ (.CLK(clknet_leaf_52_usb_clk),
     .D(_01243_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[33][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20686_ (.CLK(clknet_leaf_31_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20646_ (.CLK(clknet_leaf_52_usb_clk),
     .D(_01244_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[33][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20687_ (.CLK(clknet_leaf_27_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20647_ (.CLK(clknet_leaf_60_usb_clk),
     .D(_01245_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[33][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20688_ (.CLK(clknet_leaf_26_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20648_ (.CLK(clknet_leaf_60_usb_clk),
     .D(_01246_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[33][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20689_ (.CLK(clknet_leaf_12_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20649_ (.CLK(clknet_leaf_43_usb_clk),
     .D(_01247_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[32][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20690_ (.CLK(clknet_leaf_13_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20650_ (.CLK(clknet_leaf_43_usb_clk),
     .D(_01248_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[32][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20691_ (.CLK(clknet_leaf_12_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20651_ (.CLK(clknet_leaf_49_usb_clk),
     .D(_01249_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[32][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20692_ (.CLK(clknet_leaf_12_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20652_ (.CLK(clknet_leaf_42_usb_clk),
     .D(_01250_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[32][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20693_ (.CLK(clknet_leaf_26_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20653_ (.CLK(clknet_leaf_52_usb_clk),
     .D(_01251_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[32][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20694_ (.CLK(clknet_leaf_31_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20654_ (.CLK(clknet_leaf_50_usb_clk),
     .D(_01252_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[32][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20695_ (.CLK(clknet_leaf_27_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20655_ (.CLK(clknet_leaf_52_usb_clk),
     .D(_01253_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[32][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20696_ (.CLK(clknet_leaf_26_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20656_ (.CLK(clknet_leaf_52_usb_clk),
     .D(_01254_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[32][7] ));
- sky130_fd_sc_hd__dfxtp_2 _20697_ (.CLK(clknet_leaf_7_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20657_ (.CLK(clknet_leaf_5_usb_clk),
     .D(_01255_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[31][0] ));
- sky130_fd_sc_hd__dfxtp_2 _20698_ (.CLK(clknet_leaf_5_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20658_ (.CLK(clknet_leaf_8_usb_clk),
     .D(_01256_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[31][1] ));
- sky130_fd_sc_hd__dfxtp_2 _20699_ (.CLK(clknet_leaf_5_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20659_ (.CLK(clknet_leaf_11_usb_clk),
     .D(_01257_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[31][2] ));
- sky130_fd_sc_hd__dfxtp_2 _20700_ (.CLK(clknet_leaf_7_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20660_ (.CLK(clknet_leaf_9_usb_clk),
     .D(_01258_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[31][3] ));
- sky130_fd_sc_hd__dfxtp_2 _20701_ (.CLK(clknet_leaf_19_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20661_ (.CLK(clknet_leaf_22_usb_clk),
     .D(_01259_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[31][4] ));
- sky130_fd_sc_hd__dfxtp_2 _20702_ (.CLK(clknet_leaf_147_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20662_ (.CLK(clknet_leaf_24_usb_clk),
     .D(_01260_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[31][5] ));
- sky130_fd_sc_hd__dfxtp_2 _20703_ (.CLK(clknet_leaf_17_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20663_ (.CLK(clknet_leaf_21_usb_clk),
     .D(_01261_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[31][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20704_ (.CLK(clknet_leaf_18_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20664_ (.CLK(clknet_leaf_23_usb_clk),
     .D(_01262_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[31][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20705_ (.CLK(clknet_leaf_12_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20665_ (.CLK(clknet_leaf_43_usb_clk),
     .D(_01263_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[30][0] ));
- sky130_fd_sc_hd__dfxtp_2 _20706_ (.CLK(clknet_leaf_13_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20666_ (.CLK(clknet_leaf_42_usb_clk),
     .D(_01264_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[30][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20707_ (.CLK(clknet_leaf_13_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20667_ (.CLK(clknet_leaf_50_usb_clk),
     .D(_01265_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[30][2] ));
- sky130_fd_sc_hd__dfxtp_2 _20708_ (.CLK(clknet_leaf_12_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20668_ (.CLK(clknet_leaf_39_usb_clk),
     .D(_01266_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[30][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20709_ (.CLK(clknet_leaf_26_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20669_ (.CLK(clknet_leaf_52_usb_clk),
     .D(_01267_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[30][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20710_ (.CLK(clknet_leaf_27_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20670_ (.CLK(clknet_leaf_50_usb_clk),
     .D(_01268_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[30][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20711_ (.CLK(clknet_leaf_25_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20671_ (.CLK(clknet_leaf_52_usb_clk),
     .D(_01269_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[30][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20712_ (.CLK(clknet_leaf_26_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20672_ (.CLK(clknet_leaf_52_usb_clk),
     .D(_01270_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[30][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20713_ (.CLK(clknet_leaf_13_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20673_ (.CLK(clknet_leaf_50_usb_clk),
     .D(_01271_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[29][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20714_ (.CLK(clknet_leaf_13_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20674_ (.CLK(clknet_leaf_42_usb_clk),
     .D(_01272_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[29][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20715_ (.CLK(clknet_leaf_12_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20675_ (.CLK(clknet_leaf_49_usb_clk),
     .D(_01273_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[29][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20716_ (.CLK(clknet_leaf_11_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20676_ (.CLK(clknet_leaf_42_usb_clk),
     .D(_01274_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[29][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20717_ (.CLK(clknet_leaf_26_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20677_ (.CLK(clknet_leaf_52_usb_clk),
     .D(_01275_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[29][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20718_ (.CLK(clknet_leaf_25_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20678_ (.CLK(clknet_leaf_52_usb_clk),
     .D(_01276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[29][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20719_ (.CLK(clknet_leaf_25_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20679_ (.CLK(clknet_leaf_60_usb_clk),
     .D(_01277_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[29][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20720_ (.CLK(clknet_leaf_26_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20680_ (.CLK(clknet_leaf_52_usb_clk),
     .D(_01278_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[29][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20721_ (.CLK(clknet_leaf_13_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20681_ (.CLK(clknet_leaf_41_usb_clk),
     .D(_01279_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[28][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20722_ (.CLK(clknet_leaf_11_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20682_ (.CLK(clknet_leaf_41_usb_clk),
     .D(_01280_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[28][1] ));
- sky130_fd_sc_hd__dfxtp_2 _20723_ (.CLK(clknet_leaf_11_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20683_ (.CLK(clknet_leaf_39_usb_clk),
     .D(_01281_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[28][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20724_ (.CLK(clknet_leaf_11_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20684_ (.CLK(clknet_leaf_40_usb_clk),
     .D(_01282_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[28][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20725_ (.CLK(clknet_leaf_21_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20685_ (.CLK(clknet_leaf_59_usb_clk),
     .D(_01283_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[28][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20726_ (.CLK(clknet_leaf_14_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20686_ (.CLK(clknet_leaf_38_usb_clk),
     .D(_01284_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[28][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20727_ (.CLK(clknet_leaf_14_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20687_ (.CLK(clknet_leaf_59_usb_clk),
     .D(_01285_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[28][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20728_ (.CLK(clknet_leaf_21_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20688_ (.CLK(clknet_leaf_60_usb_clk),
     .D(_01286_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[28][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20729_ (.CLK(clknet_leaf_13_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20689_ (.CLK(clknet_leaf_41_usb_clk),
     .D(_01287_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[27][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20730_ (.CLK(clknet_leaf_11_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20690_ (.CLK(clknet_leaf_37_usb_clk),
     .D(_01288_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[27][1] ));
- sky130_fd_sc_hd__dfxtp_2 _20731_ (.CLK(clknet_leaf_11_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20691_ (.CLK(clknet_leaf_41_usb_clk),
     .D(_01289_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[27][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20732_ (.CLK(clknet_leaf_11_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20692_ (.CLK(clknet_leaf_37_usb_clk),
     .D(_01290_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[27][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20733_ (.CLK(clknet_leaf_27_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20693_ (.CLK(clknet_leaf_64_usb_clk),
     .D(_01291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[27][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20734_ (.CLK(clknet_leaf_14_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20694_ (.CLK(clknet_leaf_38_usb_clk),
     .D(_01292_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[27][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20735_ (.CLK(clknet_leaf_21_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20695_ (.CLK(clknet_leaf_59_usb_clk),
     .D(_01293_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[27][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20736_ (.CLK(clknet_leaf_21_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20696_ (.CLK(clknet_leaf_59_usb_clk),
     .D(_01294_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[27][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20737_ (.CLK(clknet_leaf_10_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20697_ (.CLK(clknet_leaf_41_usb_clk),
     .D(_01295_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[26][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20738_ (.CLK(clknet_leaf_11_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20698_ (.CLK(clknet_leaf_41_usb_clk),
     .D(_01296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[26][1] ));
- sky130_fd_sc_hd__dfxtp_2 _20739_ (.CLK(clknet_leaf_10_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20699_ (.CLK(clknet_leaf_41_usb_clk),
     .D(_01297_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[26][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20740_ (.CLK(clknet_leaf_11_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20700_ (.CLK(clknet_leaf_41_usb_clk),
     .D(_01298_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[26][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20741_ (.CLK(clknet_leaf_23_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20701_ (.CLK(clknet_leaf_64_usb_clk),
     .D(_01299_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[26][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20742_ (.CLK(clknet_leaf_23_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20702_ (.CLK(clknet_4_8_0_usb_clk),
     .D(_01300_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[26][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20743_ (.CLK(clknet_leaf_21_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20703_ (.CLK(clknet_leaf_59_usb_clk),
     .D(_01301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[26][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20744_ (.CLK(clknet_leaf_21_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20704_ (.CLK(clknet_leaf_59_usb_clk),
     .D(_01302_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[26][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20745_ (.CLK(clknet_leaf_13_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20705_ (.CLK(clknet_leaf_40_usb_clk),
     .D(_01303_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[25][0] ));
- sky130_fd_sc_hd__dfxtp_2 _20746_ (.CLK(clknet_leaf_11_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20706_ (.CLK(clknet_leaf_40_usb_clk),
     .D(_01304_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[25][1] ));
- sky130_fd_sc_hd__dfxtp_2 _20747_ (.CLK(clknet_leaf_10_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20707_ (.CLK(clknet_leaf_41_usb_clk),
     .D(_01305_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[25][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20748_ (.CLK(clknet_leaf_11_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20708_ (.CLK(clknet_leaf_41_usb_clk),
     .D(_01306_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[25][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20749_ (.CLK(clknet_leaf_26_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20709_ (.CLK(clknet_leaf_59_usb_clk),
     .D(_01307_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[25][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20750_ (.CLK(clknet_leaf_26_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20710_ (.CLK(clknet_leaf_59_usb_clk),
     .D(_01308_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[25][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20751_ (.CLK(clknet_leaf_27_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20711_ (.CLK(clknet_leaf_59_usb_clk),
     .D(_01309_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[25][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20752_ (.CLK(clknet_leaf_21_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20712_ (.CLK(clknet_leaf_58_usb_clk),
     .D(_01310_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[25][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20753_ (.CLK(clknet_leaf_34_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20713_ (.CLK(clknet_leaf_40_usb_clk),
     .D(_01311_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[24][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20754_ (.CLK(clknet_leaf_33_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20714_ (.CLK(clknet_leaf_39_usb_clk),
     .D(_01312_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[24][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20755_ (.CLK(clknet_leaf_34_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20715_ (.CLK(clknet_leaf_39_usb_clk),
     .D(_01313_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[24][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20756_ (.CLK(clknet_leaf_12_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20716_ (.CLK(clknet_leaf_40_usb_clk),
     .D(_01314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[24][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20757_ (.CLK(clknet_leaf_26_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20717_ (.CLK(clknet_leaf_51_usb_clk),
     .D(_01315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[24][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20758_ (.CLK(clknet_leaf_31_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20718_ (.CLK(clknet_leaf_51_usb_clk),
     .D(_01316_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[24][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20759_ (.CLK(clknet_leaf_27_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20719_ (.CLK(clknet_leaf_60_usb_clk),
     .D(_01317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[24][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20760_ (.CLK(clknet_leaf_58_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20720_ (.CLK(clknet_leaf_61_usb_clk),
     .D(_01318_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[24][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20761_ (.CLK(clknet_leaf_34_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20721_ (.CLK(clknet_leaf_39_usb_clk),
     .D(_01319_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[23][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20762_ (.CLK(clknet_leaf_33_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20722_ (.CLK(clknet_leaf_39_usb_clk),
     .D(_01320_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[23][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20763_ (.CLK(clknet_leaf_34_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20723_ (.CLK(clknet_leaf_51_usb_clk),
     .D(_01321_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[23][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20764_ (.CLK(clknet_leaf_33_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20724_ (.CLK(clknet_leaf_39_usb_clk),
     .D(_01322_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[23][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20765_ (.CLK(clknet_leaf_27_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20725_ (.CLK(clknet_leaf_61_usb_clk),
     .D(_01323_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[23][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20766_ (.CLK(clknet_leaf_30_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20726_ (.CLK(clknet_leaf_51_usb_clk),
     .D(_01324_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[23][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20767_ (.CLK(clknet_leaf_31_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20727_ (.CLK(clknet_leaf_60_usb_clk),
     .D(_01325_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[23][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20768_ (.CLK(clknet_leaf_29_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20728_ (.CLK(clknet_leaf_61_usb_clk),
     .D(_01326_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[23][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20769_ (.CLK(clknet_leaf_34_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20729_ (.CLK(clknet_leaf_40_usb_clk),
     .D(_01327_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[22][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20770_ (.CLK(clknet_leaf_33_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20730_ (.CLK(clknet_leaf_39_usb_clk),
     .D(_01328_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[22][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20771_ (.CLK(clknet_leaf_34_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20731_ (.CLK(clknet_leaf_50_usb_clk),
     .D(_01329_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[22][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20772_ (.CLK(clknet_leaf_33_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20732_ (.CLK(clknet_leaf_40_usb_clk),
     .D(_01330_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[22][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20773_ (.CLK(clknet_leaf_27_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20733_ (.CLK(clknet_leaf_51_usb_clk),
     .D(_01331_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[22][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20774_ (.CLK(clknet_leaf_31_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20734_ (.CLK(clknet_leaf_51_usb_clk),
     .D(_01332_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[22][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20775_ (.CLK(clknet_leaf_27_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20735_ (.CLK(clknet_leaf_61_usb_clk),
     .D(_01333_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[22][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20776_ (.CLK(clknet_leaf_58_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20736_ (.CLK(clknet_leaf_61_usb_clk),
     .D(_01334_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[22][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20777_ (.CLK(clknet_leaf_34_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20737_ (.CLK(clknet_leaf_39_usb_clk),
     .D(_01335_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[21][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20778_ (.CLK(clknet_leaf_34_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20738_ (.CLK(clknet_leaf_39_usb_clk),
     .D(_01336_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[21][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20779_ (.CLK(clknet_leaf_34_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20739_ (.CLK(clknet_leaf_39_usb_clk),
     .D(_01337_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[21][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20780_ (.CLK(clknet_leaf_33_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20740_ (.CLK(clknet_leaf_39_usb_clk),
     .D(_01338_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[21][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20781_ (.CLK(clknet_4_3_0_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20741_ (.CLK(clknet_leaf_51_usb_clk),
     .D(_01339_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[21][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20782_ (.CLK(clknet_leaf_31_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20742_ (.CLK(clknet_leaf_51_usb_clk),
     .D(_01340_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[21][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20783_ (.CLK(clknet_leaf_27_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20743_ (.CLK(clknet_leaf_60_usb_clk),
     .D(_01341_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[21][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20784_ (.CLK(clknet_leaf_58_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20744_ (.CLK(clknet_leaf_60_usb_clk),
     .D(_01342_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[21][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20785_ (.CLK(clknet_leaf_38_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20745_ (.CLK(clknet_leaf_41_usb_clk),
     .D(_01343_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[20][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20786_ (.CLK(clknet_leaf_38_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20746_ (.CLK(clknet_leaf_42_usb_clk),
     .D(_01344_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[20][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20787_ (.CLK(clknet_leaf_38_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20747_ (.CLK(clknet_leaf_50_usb_clk),
     .D(_01345_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[20][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20788_ (.CLK(clknet_leaf_38_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20748_ (.CLK(clknet_leaf_39_usb_clk),
     .D(_01346_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[20][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20789_ (.CLK(clknet_leaf_57_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20749_ (.CLK(clknet_leaf_60_usb_clk),
     .D(_01347_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[20][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20790_ (.CLK(clknet_leaf_50_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20750_ (.CLK(clknet_leaf_52_usb_clk),
     .D(_01348_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[20][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20791_ (.CLK(clknet_leaf_58_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20751_ (.CLK(clknet_leaf_58_usb_clk),
     .D(_01349_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[20][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20792_ (.CLK(clknet_leaf_58_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20752_ (.CLK(clknet_leaf_57_usb_clk),
     .D(_01350_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[20][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20793_ (.CLK(clknet_leaf_40_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20753_ (.CLK(clknet_leaf_42_usb_clk),
     .D(_01351_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[19][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20794_ (.CLK(clknet_leaf_38_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20754_ (.CLK(clknet_leaf_41_usb_clk),
     .D(_01352_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[19][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20795_ (.CLK(clknet_leaf_38_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20755_ (.CLK(clknet_leaf_50_usb_clk),
     .D(_01353_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[19][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20796_ (.CLK(clknet_leaf_37_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20756_ (.CLK(clknet_leaf_42_usb_clk),
     .D(_01354_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[19][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20797_ (.CLK(clknet_leaf_57_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20757_ (.CLK(clknet_leaf_60_usb_clk),
     .D(_01355_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[19][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20798_ (.CLK(clknet_leaf_37_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20758_ (.CLK(clknet_leaf_51_usb_clk),
     .D(_01356_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[19][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20799_ (.CLK(clknet_leaf_58_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20759_ (.CLK(clknet_leaf_58_usb_clk),
     .D(_01357_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[19][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20800_ (.CLK(clknet_leaf_58_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20760_ (.CLK(clknet_leaf_60_usb_clk),
     .D(_01358_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[19][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20801_ (.CLK(clknet_leaf_38_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20761_ (.CLK(clknet_leaf_42_usb_clk),
     .D(_01359_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[18][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20802_ (.CLK(clknet_leaf_38_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20762_ (.CLK(clknet_leaf_42_usb_clk),
     .D(_01360_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[18][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20803_ (.CLK(clknet_leaf_37_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20763_ (.CLK(clknet_leaf_42_usb_clk),
     .D(_01361_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[18][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20804_ (.CLK(clknet_leaf_37_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20764_ (.CLK(clknet_leaf_42_usb_clk),
     .D(_01362_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[18][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20805_ (.CLK(clknet_leaf_59_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20765_ (.CLK(clknet_leaf_60_usb_clk),
     .D(_01363_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[18][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20806_ (.CLK(clknet_leaf_29_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20766_ (.CLK(clknet_leaf_51_usb_clk),
     .D(_01364_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[18][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20807_ (.CLK(clknet_leaf_59_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20767_ (.CLK(clknet_leaf_58_usb_clk),
     .D(_01365_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[18][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20808_ (.CLK(clknet_leaf_59_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20768_ (.CLK(clknet_leaf_57_usb_clk),
     .D(_01366_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[18][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20809_ (.CLK(clknet_leaf_40_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20769_ (.CLK(clknet_leaf_42_usb_clk),
     .D(_01367_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[17][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20810_ (.CLK(clknet_leaf_34_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20770_ (.CLK(clknet_leaf_41_usb_clk),
     .D(_01368_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[17][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20811_ (.CLK(clknet_leaf_36_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20771_ (.CLK(clknet_leaf_42_usb_clk),
     .D(_01369_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[17][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20812_ (.CLK(clknet_leaf_34_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20772_ (.CLK(clknet_leaf_42_usb_clk),
     .D(_01370_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[17][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20813_ (.CLK(clknet_leaf_59_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20773_ (.CLK(clknet_leaf_60_usb_clk),
     .D(_01371_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[17][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20814_ (.CLK(clknet_leaf_37_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20774_ (.CLK(clknet_leaf_51_usb_clk),
     .D(_01372_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[17][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20815_ (.CLK(clknet_leaf_57_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20775_ (.CLK(clknet_leaf_60_usb_clk),
     .D(_01373_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[17][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20816_ (.CLK(clknet_leaf_58_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20776_ (.CLK(clknet_leaf_57_usb_clk),
     .D(_01374_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[17][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20817_ (.CLK(clknet_leaf_9_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20777_ (.CLK(clknet_leaf_8_usb_clk),
     .D(_01375_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[16][0] ));
- sky130_fd_sc_hd__dfxtp_2 _20818_ (.CLK(clknet_leaf_9_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20778_ (.CLK(clknet_leaf_7_usb_clk),
     .D(_01376_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[16][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20819_ (.CLK(clknet_leaf_9_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20779_ (.CLK(clknet_leaf_10_usb_clk),
     .D(_01377_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[16][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20820_ (.CLK(clknet_leaf_8_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20780_ (.CLK(clknet_leaf_8_usb_clk),
     .D(_01378_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[16][3] ));
- sky130_fd_sc_hd__dfxtp_2 _20821_ (.CLK(clknet_leaf_18_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20781_ (.CLK(clknet_leaf_24_usb_clk),
     .D(_01379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[16][4] ));
- sky130_fd_sc_hd__dfxtp_2 _20822_ (.CLK(clknet_leaf_18_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20782_ (.CLK(clknet_leaf_24_usb_clk),
     .D(_01380_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[16][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20823_ (.CLK(clknet_leaf_18_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20783_ (.CLK(clknet_leaf_23_usb_clk),
     .D(_01381_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[16][6] ));
- sky130_fd_sc_hd__dfxtp_2 _20824_ (.CLK(clknet_leaf_19_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20784_ (.CLK(clknet_leaf_24_usb_clk),
     .D(_01382_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[16][7] ));
- sky130_fd_sc_hd__dfxtp_2 _20825_ (.CLK(clknet_leaf_7_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20785_ (.CLK(clknet_leaf_8_usb_clk),
     .D(_01383_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[15][0] ));
- sky130_fd_sc_hd__dfxtp_2 _20826_ (.CLK(clknet_leaf_7_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20786_ (.CLK(clknet_leaf_8_usb_clk),
     .D(_01384_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[15][1] ));
- sky130_fd_sc_hd__dfxtp_2 _20827_ (.CLK(clknet_leaf_5_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20787_ (.CLK(clknet_leaf_9_usb_clk),
     .D(_01385_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[15][2] ));
- sky130_fd_sc_hd__dfxtp_2 _20828_ (.CLK(clknet_leaf_7_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20788_ (.CLK(clknet_leaf_9_usb_clk),
     .D(_01386_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[15][3] ));
- sky130_fd_sc_hd__dfxtp_2 _20829_ (.CLK(clknet_leaf_18_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20789_ (.CLK(clknet_leaf_23_usb_clk),
     .D(_01387_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[15][4] ));
- sky130_fd_sc_hd__dfxtp_2 _20830_ (.CLK(clknet_leaf_18_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20790_ (.CLK(clknet_leaf_24_usb_clk),
     .D(_01388_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[15][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20831_ (.CLK(clknet_leaf_18_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20791_ (.CLK(clknet_leaf_23_usb_clk),
     .D(_01389_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[15][6] ));
- sky130_fd_sc_hd__dfxtp_2 _20832_ (.CLK(clknet_leaf_18_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20792_ (.CLK(clknet_leaf_23_usb_clk),
     .D(_01390_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[15][7] ));
- sky130_fd_sc_hd__dfxtp_2 _20833_ (.CLK(clknet_leaf_7_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20793_ (.CLK(clknet_leaf_8_usb_clk),
     .D(_01391_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[14][0] ));
- sky130_fd_sc_hd__dfxtp_2 _20834_ (.CLK(clknet_leaf_5_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20794_ (.CLK(clknet_leaf_5_usb_clk),
     .D(_01392_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[14][1] ));
- sky130_fd_sc_hd__dfxtp_2 _20835_ (.CLK(clknet_leaf_8_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20795_ (.CLK(clknet_leaf_11_usb_clk),
     .D(_01393_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[14][2] ));
- sky130_fd_sc_hd__dfxtp_2 _20836_ (.CLK(clknet_leaf_8_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20796_ (.CLK(clknet_leaf_9_usb_clk),
     .D(_01394_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[14][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20837_ (.CLK(clknet_leaf_19_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20797_ (.CLK(clknet_leaf_21_usb_clk),
     .D(_01395_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[14][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20838_ (.CLK(clknet_leaf_147_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20798_ (.CLK(clknet_leaf_23_usb_clk),
     .D(_01396_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[14][5] ));
- sky130_fd_sc_hd__dfxtp_2 _20839_ (.CLK(clknet_leaf_17_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20799_ (.CLK(clknet_leaf_21_usb_clk),
     .D(_01397_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[14][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20840_ (.CLK(clknet_leaf_18_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20800_ (.CLK(clknet_leaf_23_usb_clk),
     .D(_01398_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[14][7] ));
- sky130_fd_sc_hd__dfxtp_2 _20841_ (.CLK(clknet_leaf_6_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20801_ (.CLK(clknet_leaf_8_usb_clk),
     .D(_01399_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[13][0] ));
- sky130_fd_sc_hd__dfxtp_2 _20842_ (.CLK(clknet_leaf_7_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20802_ (.CLK(clknet_leaf_7_usb_clk),
     .D(_01400_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[13][1] ));
- sky130_fd_sc_hd__dfxtp_2 _20843_ (.CLK(clknet_leaf_8_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20803_ (.CLK(clknet_leaf_10_usb_clk),
     .D(_01401_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[13][2] ));
- sky130_fd_sc_hd__dfxtp_2 _20844_ (.CLK(clknet_leaf_8_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20804_ (.CLK(clknet_leaf_33_usb_clk),
     .D(_01402_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[13][3] ));
- sky130_fd_sc_hd__dfxtp_2 _20845_ (.CLK(clknet_leaf_17_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20805_ (.CLK(clknet_leaf_24_usb_clk),
     .D(_01403_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[13][4] ));
- sky130_fd_sc_hd__dfxtp_2 _20846_ (.CLK(clknet_leaf_5_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20806_ (.CLK(clknet_leaf_30_usb_clk),
     .D(_01404_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[13][5] ));
- sky130_fd_sc_hd__dfxtp_2 _20847_ (.CLK(clknet_leaf_17_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20807_ (.CLK(clknet_leaf_22_usb_clk),
     .D(_01405_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[13][6] ));
- sky130_fd_sc_hd__dfxtp_2 _20848_ (.CLK(clknet_leaf_17_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20808_ (.CLK(clknet_leaf_23_usb_clk),
     .D(_01406_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[13][7] ));
- sky130_fd_sc_hd__dfxtp_2 _20849_ (.CLK(clknet_leaf_6_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20809_ (.CLK(clknet_leaf_10_usb_clk),
     .D(_01407_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[12][0] ));
- sky130_fd_sc_hd__dfxtp_2 _20850_ (.CLK(clknet_leaf_6_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20810_ (.CLK(clknet_leaf_7_usb_clk),
     .D(_01408_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[12][1] ));
- sky130_fd_sc_hd__dfxtp_2 _20851_ (.CLK(clknet_leaf_7_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20811_ (.CLK(clknet_leaf_10_usb_clk),
     .D(_01409_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[12][2] ));
- sky130_fd_sc_hd__dfxtp_2 _20852_ (.CLK(clknet_leaf_6_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20812_ (.CLK(clknet_leaf_32_usb_clk),
     .D(_01410_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[12][3] ));
- sky130_fd_sc_hd__dfxtp_2 _20853_ (.CLK(clknet_leaf_18_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20813_ (.CLK(clknet_leaf_24_usb_clk),
     .D(_01411_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[12][4] ));
- sky130_fd_sc_hd__dfxtp_2 _20854_ (.CLK(clknet_leaf_17_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20814_ (.CLK(clknet_leaf_30_usb_clk),
     .D(_01412_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[12][5] ));
- sky130_fd_sc_hd__dfxtp_2 _20855_ (.CLK(clknet_leaf_17_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20815_ (.CLK(clknet_leaf_22_usb_clk),
     .D(_01413_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[12][6] ));
- sky130_fd_sc_hd__dfxtp_2 _20856_ (.CLK(clknet_leaf_18_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20816_ (.CLK(clknet_leaf_23_usb_clk),
     .D(_01414_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[12][7] ));
- sky130_fd_sc_hd__dfxtp_2 _20857_ (.CLK(clknet_leaf_6_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20817_ (.CLK(clknet_leaf_8_usb_clk),
     .D(_01415_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[11][0] ));
- sky130_fd_sc_hd__dfxtp_2 _20858_ (.CLK(clknet_leaf_6_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20818_ (.CLK(clknet_leaf_7_usb_clk),
     .D(_01416_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[11][1] ));
- sky130_fd_sc_hd__dfxtp_2 _20859_ (.CLK(clknet_leaf_6_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20819_ (.CLK(clknet_leaf_10_usb_clk),
     .D(_01417_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[11][2] ));
- sky130_fd_sc_hd__dfxtp_2 _20860_ (.CLK(clknet_leaf_6_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20820_ (.CLK(clknet_leaf_33_usb_clk),
     .D(_01418_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[11][3] ));
- sky130_fd_sc_hd__dfxtp_2 _20861_ (.CLK(clknet_leaf_17_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20821_ (.CLK(clknet_leaf_22_usb_clk),
     .D(_01419_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[11][4] ));
- sky130_fd_sc_hd__dfxtp_2 _20862_ (.CLK(clknet_leaf_17_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20822_ (.CLK(clknet_leaf_30_usb_clk),
     .D(_01420_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[11][5] ));
- sky130_fd_sc_hd__dfxtp_2 _20863_ (.CLK(clknet_leaf_17_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20823_ (.CLK(clknet_leaf_22_usb_clk),
     .D(_01421_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[11][6] ));
- sky130_fd_sc_hd__dfxtp_2 _20864_ (.CLK(clknet_leaf_17_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20824_ (.CLK(clknet_leaf_23_usb_clk),
     .D(_01422_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[11][7] ));
- sky130_fd_sc_hd__dfxtp_2 _20865_ (.CLK(clknet_leaf_6_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20825_ (.CLK(clknet_leaf_31_usb_clk),
     .D(_01423_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[10][0] ));
- sky130_fd_sc_hd__dfxtp_2 _20866_ (.CLK(clknet_leaf_6_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20826_ (.CLK(clknet_leaf_7_usb_clk),
     .D(_01424_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[10][1] ));
- sky130_fd_sc_hd__dfxtp_2 _20867_ (.CLK(clknet_leaf_9_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20827_ (.CLK(clknet_leaf_10_usb_clk),
     .D(_01425_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[10][2] ));
- sky130_fd_sc_hd__dfxtp_2 _20868_ (.CLK(clknet_leaf_8_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20828_ (.CLK(clknet_leaf_33_usb_clk),
     .D(_01426_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[10][3] ));
- sky130_fd_sc_hd__dfxtp_2 _20869_ (.CLK(clknet_leaf_18_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20829_ (.CLK(clknet_leaf_24_usb_clk),
     .D(_01427_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[10][4] ));
- sky130_fd_sc_hd__dfxtp_2 _20870_ (.CLK(clknet_leaf_5_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20830_ (.CLK(clknet_leaf_30_usb_clk),
     .D(_01428_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[10][5] ));
- sky130_fd_sc_hd__dfxtp_2 _20871_ (.CLK(clknet_leaf_17_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20831_ (.CLK(clknet_leaf_25_usb_clk),
     .D(_01429_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[10][6] ));
- sky130_fd_sc_hd__dfxtp_2 _20872_ (.CLK(clknet_leaf_18_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20832_ (.CLK(clknet_leaf_23_usb_clk),
     .D(_01430_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[10][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20873_ (.CLK(clknet_leaf_9_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20833_ (.CLK(clknet_leaf_6_usb_clk),
     .D(_01431_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[9][0] ));
- sky130_fd_sc_hd__dfxtp_2 _20874_ (.CLK(clknet_leaf_6_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20834_ (.CLK(clknet_leaf_7_usb_clk),
     .D(_01432_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[9][1] ));
- sky130_fd_sc_hd__dfxtp_2 _20875_ (.CLK(clknet_leaf_9_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20835_ (.CLK(clknet_leaf_10_usb_clk),
     .D(_01433_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[9][2] ));
- sky130_fd_sc_hd__dfxtp_2 _20876_ (.CLK(clknet_leaf_11_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20836_ (.CLK(clknet_leaf_9_usb_clk),
     .D(_01434_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[9][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20877_ (.CLK(clknet_leaf_22_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20837_ (.CLK(clknet_leaf_16_usb_clk),
     .D(_01435_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[9][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20878_ (.CLK(clknet_leaf_22_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20838_ (.CLK(clknet_leaf_17_usb_clk),
     .D(_01436_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[9][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20879_ (.CLK(clknet_leaf_20_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20839_ (.CLK(clknet_leaf_17_usb_clk),
     .D(_01437_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[9][6] ));
- sky130_fd_sc_hd__dfxtp_2 _20880_ (.CLK(clknet_leaf_20_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20840_ (.CLK(clknet_leaf_17_usb_clk),
     .D(_01438_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[9][7] ));
- sky130_fd_sc_hd__dfxtp_2 _20881_ (.CLK(clknet_leaf_9_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20841_ (.CLK(clknet_leaf_8_usb_clk),
     .D(_01439_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[8][0] ));
- sky130_fd_sc_hd__dfxtp_2 _20882_ (.CLK(clknet_leaf_6_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20842_ (.CLK(clknet_leaf_8_usb_clk),
     .D(_01440_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[8][1] ));
- sky130_fd_sc_hd__dfxtp_2 _20883_ (.CLK(clknet_leaf_8_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20843_ (.CLK(clknet_leaf_8_usb_clk),
     .D(_01441_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[8][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20884_ (.CLK(clknet_leaf_8_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20844_ (.CLK(clknet_leaf_9_usb_clk),
     .D(_01442_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[8][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20885_ (.CLK(clknet_leaf_20_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20845_ (.CLK(clknet_leaf_16_usb_clk),
     .D(_01443_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[8][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20886_ (.CLK(clknet_leaf_15_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20846_ (.CLK(clknet_leaf_10_usb_clk),
     .D(_01444_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[8][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20887_ (.CLK(clknet_leaf_15_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20847_ (.CLK(clknet_leaf_17_usb_clk),
     .D(_01445_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[8][6] ));
- sky130_fd_sc_hd__dfxtp_2 _20888_ (.CLK(clknet_leaf_20_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20848_ (.CLK(clknet_leaf_17_usb_clk),
     .D(_01446_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[8][7] ));
- sky130_fd_sc_hd__dfxtp_2 _20889_ (.CLK(clknet_leaf_10_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20849_ (.CLK(clknet_leaf_8_usb_clk),
     .D(_01447_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[7][0] ));
- sky130_fd_sc_hd__dfxtp_2 _20890_ (.CLK(clknet_leaf_6_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20850_ (.CLK(clknet_leaf_7_usb_clk),
     .D(_01448_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[7][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20891_ (.CLK(clknet_leaf_8_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20851_ (.CLK(clknet_leaf_9_usb_clk),
     .D(_01449_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[7][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20892_ (.CLK(clknet_leaf_8_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20852_ (.CLK(clknet_leaf_9_usb_clk),
     .D(_01450_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[7][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20893_ (.CLK(clknet_leaf_20_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20853_ (.CLK(clknet_leaf_17_usb_clk),
     .D(_01451_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[7][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20894_ (.CLK(clknet_leaf_15_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20854_ (.CLK(clknet_leaf_17_usb_clk),
     .D(_01452_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[7][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20895_ (.CLK(clknet_leaf_20_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20855_ (.CLK(clknet_leaf_23_usb_clk),
     .D(_01453_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[7][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20896_ (.CLK(clknet_leaf_19_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20856_ (.CLK(clknet_leaf_17_usb_clk),
     .D(_01454_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[7][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20897_ (.CLK(clknet_leaf_10_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20857_ (.CLK(clknet_leaf_6_usb_clk),
     .D(_01455_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[6][0] ));
- sky130_fd_sc_hd__dfxtp_2 _20898_ (.CLK(clknet_leaf_6_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20858_ (.CLK(clknet_leaf_7_usb_clk),
     .D(_01456_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[6][1] ));
- sky130_fd_sc_hd__dfxtp_2 _20899_ (.CLK(clknet_leaf_9_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20859_ (.CLK(clknet_leaf_9_usb_clk),
     .D(_01457_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[6][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20900_ (.CLK(clknet_leaf_10_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20860_ (.CLK(clknet_leaf_9_usb_clk),
     .D(_01458_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[6][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20901_ (.CLK(clknet_leaf_20_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20861_ (.CLK(clknet_leaf_16_usb_clk),
     .D(_01459_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[6][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20902_ (.CLK(clknet_leaf_15_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20862_ (.CLK(clknet_leaf_10_usb_clk),
     .D(_01460_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[6][5] ));
- sky130_fd_sc_hd__dfxtp_2 _20903_ (.CLK(clknet_leaf_15_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20863_ (.CLK(clknet_leaf_23_usb_clk),
     .D(_01461_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[6][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20904_ (.CLK(clknet_leaf_20_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20864_ (.CLK(clknet_leaf_17_usb_clk),
     .D(_01462_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[6][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20905_ (.CLK(clknet_leaf_39_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20865_ (.CLK(clknet_leaf_34_usb_clk),
     .D(_01463_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[5][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20906_ (.CLK(clknet_leaf_38_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20866_ (.CLK(clknet_leaf_33_usb_clk),
     .D(_01464_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[5][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20907_ (.CLK(clknet_leaf_40_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20867_ (.CLK(clknet_leaf_7_usb_clk),
     .D(_01465_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[5][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20908_ (.CLK(clknet_leaf_38_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20868_ (.CLK(clknet_leaf_7_usb_clk),
     .D(_01466_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[5][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20909_ (.CLK(clknet_leaf_51_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20869_ (.CLK(clknet_leaf_22_usb_clk),
     .D(_01467_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[5][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20910_ (.CLK(clknet_leaf_50_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20870_ (.CLK(clknet_leaf_29_usb_clk),
     .D(_01468_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[5][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20911_ (.CLK(clknet_leaf_51_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20871_ (.CLK(clknet_leaf_22_usb_clk),
     .D(_01469_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[5][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20912_ (.CLK(clknet_leaf_51_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20872_ (.CLK(clknet_leaf_24_usb_clk),
     .D(_01470_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[5][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20913_ (.CLK(clknet_leaf_38_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20873_ (.CLK(clknet_leaf_34_usb_clk),
     .D(_01471_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[4][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20914_ (.CLK(clknet_leaf_40_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20874_ (.CLK(clknet_leaf_34_usb_clk),
     .D(_01472_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[4][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20915_ (.CLK(clknet_leaf_42_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20875_ (.CLK(clknet_leaf_7_usb_clk),
     .D(_01473_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[4][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20916_ (.CLK(clknet_leaf_49_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20876_ (.CLK(clknet_leaf_7_usb_clk),
     .D(_01474_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[4][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20917_ (.CLK(clknet_leaf_50_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20877_ (.CLK(clknet_leaf_24_usb_clk),
     .D(_01475_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[4][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20918_ (.CLK(clknet_leaf_50_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20878_ (.CLK(clknet_leaf_29_usb_clk),
     .D(_01476_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[4][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20919_ (.CLK(clknet_leaf_50_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20879_ (.CLK(clknet_leaf_21_usb_clk),
     .D(_01477_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[4][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20920_ (.CLK(clknet_leaf_50_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20880_ (.CLK(clknet_leaf_24_usb_clk),
     .D(_01478_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[4][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20921_ (.CLK(clknet_leaf_38_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20881_ (.CLK(clknet_leaf_34_usb_clk),
     .D(_01479_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[3][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20922_ (.CLK(clknet_leaf_39_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20882_ (.CLK(clknet_leaf_33_usb_clk),
     .D(_01480_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[3][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20923_ (.CLK(clknet_leaf_42_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20883_ (.CLK(clknet_leaf_34_usb_clk),
     .D(_01481_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[3][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20924_ (.CLK(clknet_leaf_49_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20884_ (.CLK(clknet_leaf_33_usb_clk),
     .D(_01482_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[3][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20925_ (.CLK(clknet_leaf_51_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20885_ (.CLK(clknet_leaf_24_usb_clk),
     .D(_01483_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[3][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20926_ (.CLK(clknet_leaf_50_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20886_ (.CLK(clknet_leaf_29_usb_clk),
     .D(_01484_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[3][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20927_ (.CLK(clknet_leaf_50_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20887_ (.CLK(clknet_leaf_25_usb_clk),
     .D(_01485_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[3][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20928_ (.CLK(clknet_leaf_50_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20888_ (.CLK(clknet_leaf_25_usb_clk),
     .D(_01486_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[3][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20929_ (.CLK(clknet_leaf_39_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20889_ (.CLK(clknet_leaf_34_usb_clk),
     .D(_01487_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[2][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20930_ (.CLK(clknet_leaf_39_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20890_ (.CLK(clknet_leaf_34_usb_clk),
     .D(_01488_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[2][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20931_ (.CLK(clknet_leaf_39_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20891_ (.CLK(clknet_leaf_34_usb_clk),
     .D(_01489_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[2][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20932_ (.CLK(clknet_leaf_49_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _20892_ (.CLK(clknet_leaf_33_usb_clk),
     .D(_01490_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[2][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20933_ (.CLK(clknet_leaf_50_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20893_ (.CLK(clknet_leaf_22_usb_clk),
     .D(_01491_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[2][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20934_ (.CLK(clknet_leaf_37_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20894_ (.CLK(clknet_leaf_29_usb_clk),
     .D(_01492_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[2][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20935_ (.CLK(clknet_leaf_50_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20895_ (.CLK(clknet_leaf_25_usb_clk),
     .D(_01493_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[2][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20936_ (.CLK(clknet_leaf_58_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20896_ (.CLK(clknet_leaf_25_usb_clk),
     .D(_01494_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[2][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20937_ (.CLK(clknet_leaf_10_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20897_ (.CLK(clknet_leaf_32_usb_clk),
     .D(_01495_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[1][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20938_ (.CLK(clknet_leaf_10_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20898_ (.CLK(clknet_leaf_33_usb_clk),
     .D(_01496_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[1][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20939_ (.CLK(clknet_leaf_9_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20899_ (.CLK(clknet_leaf_30_usb_clk),
     .D(_01497_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[1][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20940_ (.CLK(clknet_leaf_10_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20900_ (.CLK(clknet_leaf_32_usb_clk),
     .D(_01498_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[1][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20941_ (.CLK(clknet_leaf_21_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20901_ (.CLK(clknet_leaf_65_usb_clk),
     .D(_01499_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[1][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20942_ (.CLK(clknet_leaf_14_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20902_ (.CLK(clknet_leaf_28_usb_clk),
     .D(_01500_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[1][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20943_ (.CLK(clknet_leaf_20_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20903_ (.CLK(clknet_leaf_65_usb_clk),
     .D(_01501_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[1][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20944_ (.CLK(clknet_leaf_21_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20904_ (.CLK(clknet_leaf_64_usb_clk),
     .D(_01502_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[1][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20945_ (.CLK(clknet_leaf_13_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20905_ (.CLK(clknet_leaf_32_usb_clk),
     .D(_01503_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[0][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20946_ (.CLK(clknet_leaf_16_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20906_ (.CLK(clknet_leaf_33_usb_clk),
     .D(_01504_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[0][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20947_ (.CLK(clknet_leaf_6_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20907_ (.CLK(clknet_leaf_30_usb_clk),
     .D(_01505_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[0][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20948_ (.CLK(clknet_leaf_13_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20908_ (.CLK(clknet_leaf_32_usb_clk),
     .D(_01506_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[0][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20949_ (.CLK(clknet_leaf_22_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20909_ (.CLK(clknet_leaf_64_usb_clk),
     .D(_01507_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[0][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20950_ (.CLK(clknet_leaf_22_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20910_ (.CLK(clknet_leaf_27_usb_clk),
     .D(_01508_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[0][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20951_ (.CLK(clknet_leaf_21_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20911_ (.CLK(clknet_leaf_65_usb_clk),
     .D(_01509_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[0][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20952_ (.CLK(clknet_leaf_22_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20912_ (.CLK(clknet_leaf_64_usb_clk),
     .D(_01510_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.ram[0][7] ));
- sky130_fd_sc_hd__dfrtp_1 _20953_ (.CLK(clknet_leaf_25_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20913_ (.CLK(clknet_leaf_66_usb_clk),
     .D(_01511_),
-    .RESET_B(net106),
+    .RESET_B(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.count[0] ));
- sky130_fd_sc_hd__dfrtp_1 _20954_ (.CLK(clknet_leaf_63_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20914_ (.CLK(clknet_leaf_65_usb_clk),
     .D(_01512_),
-    .RESET_B(net106),
+    .RESET_B(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.count[1] ));
- sky130_fd_sc_hd__dfrtp_1 _20955_ (.CLK(clknet_leaf_64_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20915_ (.CLK(clknet_leaf_65_usb_clk),
     .D(_01513_),
-    .RESET_B(net106),
+    .RESET_B(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.count[2] ));
- sky130_fd_sc_hd__dfrtp_1 _20956_ (.CLK(clknet_leaf_25_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20916_ (.CLK(clknet_leaf_66_usb_clk),
     .D(_01514_),
-    .RESET_B(net106),
+    .RESET_B(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.count[3] ));
- sky130_fd_sc_hd__dfrtp_1 _20957_ (.CLK(clknet_leaf_24_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20917_ (.CLK(clknet_leaf_66_usb_clk),
     .D(_01515_),
-    .RESET_B(net106),
+    .RESET_B(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.count[4] ));
- sky130_fd_sc_hd__dfrtp_1 _20958_ (.CLK(clknet_leaf_24_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20918_ (.CLK(clknet_leaf_66_usb_clk),
     .D(_01516_),
-    .RESET_B(net106),
+    .RESET_B(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.count[5] ));
- sky130_fd_sc_hd__dfrtp_1 _20959_ (.CLK(clknet_leaf_24_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20919_ (.CLK(clknet_leaf_21_usb_clk),
     .D(_01517_),
-    .RESET_B(net106),
+    .RESET_B(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.count[6] ));
- sky130_fd_sc_hd__dfrtp_1 _20960_ (.CLK(clknet_leaf_13_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20920_ (.CLK(clknet_leaf_31_usb_clk),
     .D(_01518_),
-    .RESET_B(net110),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.rd_ptr[0] ));
- sky130_fd_sc_hd__dfrtp_1 _20961_ (.CLK(clknet_leaf_13_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20921_ (.CLK(clknet_leaf_31_usb_clk),
     .D(_01519_),
-    .RESET_B(net110),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.rd_ptr[1] ));
- sky130_fd_sc_hd__dfrtp_1 _20962_ (.CLK(clknet_leaf_13_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20922_ (.CLK(clknet_leaf_31_usb_clk),
     .D(_01520_),
-    .RESET_B(net110),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.rd_ptr[2] ));
- sky130_fd_sc_hd__dfrtp_1 _20963_ (.CLK(clknet_leaf_14_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20923_ (.CLK(clknet_leaf_30_usb_clk),
     .D(_01521_),
-    .RESET_B(net110),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.rd_ptr[3] ));
- sky130_fd_sc_hd__dfrtp_1 _20964_ (.CLK(clknet_leaf_14_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20924_ (.CLK(clknet_leaf_29_usb_clk),
     .D(_01522_),
-    .RESET_B(net110),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.rd_ptr[4] ));
- sky130_fd_sc_hd__dfrtp_1 _20965_ (.CLK(clknet_leaf_14_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20925_ (.CLK(clknet_leaf_29_usb_clk),
     .D(_01523_),
-    .RESET_B(net110),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.rd_ptr[5] ));
- sky130_fd_sc_hd__dfrtp_1 _20966_ (.CLK(clknet_leaf_16_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20926_ (.CLK(clknet_leaf_16_usb_clk),
     .D(_01524_),
-    .RESET_B(net110),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.wr_ptr[0] ));
- sky130_fd_sc_hd__dfrtp_1 _20967_ (.CLK(clknet_leaf_16_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20927_ (.CLK(clknet_leaf_16_usb_clk),
     .D(_01525_),
-    .RESET_B(net110),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.wr_ptr[1] ));
- sky130_fd_sc_hd__dfrtp_1 _20968_ (.CLK(clknet_leaf_17_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20928_ (.CLK(clknet_leaf_11_usb_clk),
     .D(_01526_),
-    .RESET_B(net110),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.wr_ptr[2] ));
- sky130_fd_sc_hd__dfrtp_1 _20969_ (.CLK(clknet_leaf_17_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20929_ (.CLK(clknet_leaf_11_usb_clk),
     .D(_01527_),
-    .RESET_B(net110),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.wr_ptr[3] ));
- sky130_fd_sc_hd__dfrtp_1 _20970_ (.CLK(clknet_leaf_16_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20930_ (.CLK(clknet_leaf_30_usb_clk),
     .D(_01528_),
-    .RESET_B(net110),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.wr_ptr[4] ));
- sky130_fd_sc_hd__dfrtp_1 _20971_ (.CLK(clknet_leaf_15_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _20931_ (.CLK(clknet_leaf_24_usb_clk),
     .D(_01529_),
-    .RESET_B(net110),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_tx.wr_ptr[5] ));
- sky130_fd_sc_hd__dfxtp_1 _20972_ (.CLK(clknet_leaf_118_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20932_ (.CLK(clknet_leaf_126_usb_clk),
     .D(_01530_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[63][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20973_ (.CLK(clknet_leaf_119_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20933_ (.CLK(clknet_leaf_103_usb_clk),
     .D(_01531_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[63][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20974_ (.CLK(clknet_leaf_119_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20934_ (.CLK(clknet_leaf_126_usb_clk),
     .D(_01532_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[63][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20975_ (.CLK(clknet_leaf_111_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20935_ (.CLK(clknet_leaf_97_usb_clk),
     .D(_01533_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[63][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20976_ (.CLK(clknet_leaf_108_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20936_ (.CLK(clknet_leaf_98_usb_clk),
     .D(_01534_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[63][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20977_ (.CLK(clknet_leaf_80_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20937_ (.CLK(clknet_leaf_90_usb_clk),
     .D(_01535_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[63][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20978_ (.CLK(clknet_leaf_80_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20938_ (.CLK(clknet_leaf_90_usb_clk),
     .D(_01536_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[63][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20979_ (.CLK(clknet_leaf_80_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20939_ (.CLK(clknet_leaf_88_usb_clk),
     .D(_01537_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[63][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20980_ (.CLK(\clknet_leaf_5_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _20940_ (.CLK(\clknet_leaf_5_u_uart_core.line_clk_16x ),
     .D(_01538_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[4][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20981_ (.CLK(\clknet_leaf_4_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _20941_ (.CLK(\clknet_leaf_0_u_uart_core.line_clk_16x ),
     .D(_01539_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[4][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20982_ (.CLK(\clknet_leaf_3_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _20942_ (.CLK(\clknet_leaf_0_u_uart_core.line_clk_16x ),
     .D(_01540_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[4][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20983_ (.CLK(\clknet_leaf_7_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _20943_ (.CLK(\clknet_leaf_10_u_uart_core.line_clk_16x ),
     .D(_01541_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[4][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20984_ (.CLK(\clknet_leaf_14_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _20944_ (.CLK(\clknet_leaf_14_u_uart_core.line_clk_16x ),
     .D(_01542_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[4][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20985_ (.CLK(\clknet_leaf_14_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _20945_ (.CLK(\clknet_leaf_7_u_uart_core.line_clk_16x ),
     .D(_01543_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[4][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20986_ (.CLK(\clknet_leaf_14_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _20946_ (.CLK(\clknet_leaf_8_u_uart_core.line_clk_16x ),
     .D(_01544_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[4][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20987_ (.CLK(\clknet_leaf_13_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _20947_ (.CLK(\clknet_leaf_7_u_uart_core.line_clk_16x ),
     .D(_01545_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[4][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20988_ (.CLK(clknet_leaf_104_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20948_ (.CLK(clknet_leaf_111_usb_clk),
     .D(_01546_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[62][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20989_ (.CLK(clknet_leaf_103_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20949_ (.CLK(clknet_leaf_106_usb_clk),
     .D(_01547_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[62][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20990_ (.CLK(clknet_leaf_105_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20950_ (.CLK(clknet_leaf_105_usb_clk),
     .D(_01548_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[62][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20991_ (.CLK(clknet_leaf_104_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20951_ (.CLK(clknet_leaf_106_usb_clk),
     .D(_01549_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[62][3] ));
- sky130_fd_sc_hd__dfxtp_1 _20992_ (.CLK(clknet_leaf_96_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20952_ (.CLK(clknet_leaf_95_usb_clk),
     .D(_01550_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[62][4] ));
- sky130_fd_sc_hd__dfxtp_1 _20993_ (.CLK(clknet_leaf_91_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20953_ (.CLK(clknet_leaf_86_usb_clk),
     .D(_01551_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[62][5] ));
- sky130_fd_sc_hd__dfxtp_1 _20994_ (.CLK(clknet_leaf_93_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20954_ (.CLK(clknet_leaf_86_usb_clk),
     .D(_01552_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[62][6] ));
- sky130_fd_sc_hd__dfxtp_1 _20995_ (.CLK(clknet_leaf_91_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20955_ (.CLK(clknet_leaf_86_usb_clk),
     .D(_01553_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[62][7] ));
- sky130_fd_sc_hd__dfxtp_1 _20996_ (.CLK(clknet_leaf_105_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20956_ (.CLK(clknet_leaf_105_usb_clk),
     .D(_01554_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[61][0] ));
- sky130_fd_sc_hd__dfxtp_1 _20997_ (.CLK(clknet_leaf_127_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20957_ (.CLK(clknet_leaf_105_usb_clk),
     .D(_01555_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[61][1] ));
- sky130_fd_sc_hd__dfxtp_1 _20998_ (.CLK(clknet_leaf_125_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20958_ (.CLK(clknet_leaf_111_usb_clk),
     .D(_01556_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[61][2] ));
- sky130_fd_sc_hd__dfxtp_1 _20999_ (.CLK(clknet_leaf_100_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20959_ (.CLK(clknet_leaf_106_usb_clk),
     .D(_01557_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[61][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21000_ (.CLK(clknet_leaf_96_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20960_ (.CLK(clknet_leaf_83_usb_clk),
     .D(_01558_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[61][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21001_ (.CLK(clknet_leaf_97_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20961_ (.CLK(clknet_leaf_83_usb_clk),
     .D(_01559_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[61][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21002_ (.CLK(clknet_leaf_91_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20962_ (.CLK(clknet_leaf_85_usb_clk),
     .D(_01560_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[61][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21003_ (.CLK(clknet_leaf_90_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20963_ (.CLK(clknet_leaf_85_usb_clk),
     .D(_01561_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[61][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21004_ (.CLK(clknet_leaf_125_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20964_ (.CLK(clknet_leaf_120_usb_clk),
     .D(_01562_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[60][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21005_ (.CLK(clknet_leaf_103_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20965_ (.CLK(clknet_leaf_106_usb_clk),
     .D(_01563_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[60][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21006_ (.CLK(clknet_leaf_126_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20966_ (.CLK(clknet_leaf_125_usb_clk),
     .D(_01564_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[60][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21007_ (.CLK(clknet_leaf_104_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20967_ (.CLK(clknet_leaf_106_usb_clk),
     .D(_01565_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[60][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21008_ (.CLK(clknet_leaf_96_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20968_ (.CLK(clknet_leaf_83_usb_clk),
     .D(_01566_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[60][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21009_ (.CLK(clknet_leaf_93_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20969_ (.CLK(clknet_leaf_86_usb_clk),
     .D(_01567_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[60][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21010_ (.CLK(clknet_leaf_93_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20970_ (.CLK(clknet_leaf_86_usb_clk),
     .D(_01568_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[60][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21011_ (.CLK(clknet_leaf_91_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20971_ (.CLK(clknet_leaf_86_usb_clk),
     .D(_01569_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[60][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21012_ (.CLK(clknet_leaf_105_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20972_ (.CLK(clknet_leaf_111_usb_clk),
     .D(_01570_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[59][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21013_ (.CLK(clknet_leaf_127_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20973_ (.CLK(clknet_leaf_105_usb_clk),
     .D(_01571_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[59][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21014_ (.CLK(clknet_leaf_127_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20974_ (.CLK(clknet_leaf_112_usb_clk),
     .D(_01572_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[59][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21015_ (.CLK(clknet_leaf_100_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20975_ (.CLK(clknet_leaf_111_usb_clk),
     .D(_01573_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[59][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21016_ (.CLK(clknet_leaf_96_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20976_ (.CLK(clknet_leaf_73_usb_clk),
     .D(_01574_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[59][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21017_ (.CLK(clknet_leaf_97_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20977_ (.CLK(clknet_leaf_82_usb_clk),
     .D(_01575_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[59][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21018_ (.CLK(clknet_leaf_90_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20978_ (.CLK(clknet_leaf_80_usb_clk),
     .D(_01576_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[59][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21019_ (.CLK(clknet_leaf_90_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20979_ (.CLK(clknet_leaf_80_usb_clk),
     .D(_01577_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[59][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21020_ (.CLK(clknet_leaf_124_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20980_ (.CLK(clknet_leaf_104_usb_clk),
     .D(_01578_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[58][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21021_ (.CLK(clknet_leaf_122_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20981_ (.CLK(clknet_leaf_106_usb_clk),
     .D(_01579_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[58][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21022_ (.CLK(clknet_leaf_124_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20982_ (.CLK(clknet_leaf_111_usb_clk),
     .D(_01580_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[58][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21023_ (.CLK(clknet_leaf_111_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20983_ (.CLK(clknet_leaf_96_usb_clk),
     .D(_01581_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[58][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21024_ (.CLK(clknet_leaf_109_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20984_ (.CLK(clknet_leaf_95_usb_clk),
     .D(_01582_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[58][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21025_ (.CLK(clknet_leaf_76_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20985_ (.CLK(clknet_leaf_87_usb_clk),
     .D(_01583_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[58][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21026_ (.CLK(clknet_leaf_76_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20986_ (.CLK(clknet_leaf_87_usb_clk),
     .D(_01584_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[58][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21027_ (.CLK(clknet_leaf_83_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20987_ (.CLK(clknet_leaf_85_usb_clk),
     .D(_01585_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[58][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21028_ (.CLK(clknet_leaf_118_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20988_ (.CLK(clknet_leaf_111_usb_clk),
     .D(_01586_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[57][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21029_ (.CLK(clknet_leaf_122_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20989_ (.CLK(clknet_leaf_110_usb_clk),
     .D(_01587_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[57][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21030_ (.CLK(clknet_leaf_121_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20990_ (.CLK(clknet_leaf_111_usb_clk),
     .D(_01588_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[57][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21031_ (.CLK(clknet_leaf_111_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20991_ (.CLK(clknet_leaf_109_usb_clk),
     .D(_01589_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[57][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21032_ (.CLK(clknet_leaf_109_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20992_ (.CLK(clknet_leaf_73_usb_clk),
     .D(_01590_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[57][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21033_ (.CLK(clknet_leaf_76_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20993_ (.CLK(clknet_leaf_78_usb_clk),
     .D(_01591_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[57][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21034_ (.CLK(clknet_leaf_76_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20994_ (.CLK(clknet_leaf_78_usb_clk),
     .D(_01592_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[57][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21035_ (.CLK(clknet_leaf_77_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20995_ (.CLK(clknet_leaf_80_usb_clk),
     .D(_01593_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[57][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21036_ (.CLK(clknet_leaf_118_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20996_ (.CLK(clknet_leaf_104_usb_clk),
     .D(_01594_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[56][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21037_ (.CLK(clknet_leaf_122_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20997_ (.CLK(clknet_leaf_103_usb_clk),
     .D(_01595_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[56][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21038_ (.CLK(clknet_leaf_121_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20998_ (.CLK(clknet_leaf_105_usb_clk),
     .D(_01596_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[56][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21039_ (.CLK(clknet_leaf_111_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _20999_ (.CLK(clknet_leaf_96_usb_clk),
     .D(_01597_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[56][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21040_ (.CLK(clknet_leaf_109_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21000_ (.CLK(clknet_leaf_95_usb_clk),
     .D(_01598_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[56][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21041_ (.CLK(clknet_leaf_76_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21001_ (.CLK(clknet_leaf_87_usb_clk),
     .D(_01599_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[56][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21042_ (.CLK(clknet_leaf_76_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21002_ (.CLK(clknet_leaf_87_usb_clk),
     .D(_01600_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[56][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21043_ (.CLK(clknet_leaf_77_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21003_ (.CLK(clknet_leaf_85_usb_clk),
     .D(_01601_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[56][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21044_ (.CLK(clknet_leaf_105_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21004_ (.CLK(clknet_leaf_104_usb_clk),
     .D(_01602_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[55][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21045_ (.CLK(clknet_leaf_123_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21005_ (.CLK(clknet_leaf_106_usb_clk),
     .D(_01603_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[55][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21046_ (.CLK(clknet_leaf_124_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21006_ (.CLK(clknet_leaf_104_usb_clk),
     .D(_01604_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[55][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21047_ (.CLK(clknet_leaf_106_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21007_ (.CLK(clknet_leaf_107_usb_clk),
     .D(_01605_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[55][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21048_ (.CLK(clknet_leaf_109_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21008_ (.CLK(clknet_leaf_95_usb_clk),
     .D(_01606_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[55][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21049_ (.CLK(clknet_leaf_83_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21009_ (.CLK(clknet_leaf_87_usb_clk),
     .D(_01607_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[55][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21050_ (.CLK(clknet_leaf_83_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21010_ (.CLK(clknet_leaf_87_usb_clk),
     .D(_01608_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[55][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21051_ (.CLK(clknet_leaf_83_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21011_ (.CLK(clknet_leaf_88_usb_clk),
     .D(_01609_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[55][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21052_ (.CLK(clknet_leaf_120_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21012_ (.CLK(clknet_leaf_106_usb_clk),
     .D(_01610_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[54][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21053_ (.CLK(clknet_leaf_121_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21013_ (.CLK(clknet_leaf_106_usb_clk),
     .D(_01611_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[54][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21054_ (.CLK(clknet_leaf_119_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21014_ (.CLK(clknet_leaf_106_usb_clk),
     .D(_01612_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[54][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21055_ (.CLK(clknet_leaf_110_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21015_ (.CLK(clknet_leaf_109_usb_clk),
     .D(_01613_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[54][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21056_ (.CLK(clknet_leaf_71_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21016_ (.CLK(clknet_leaf_109_usb_clk),
     .D(_01614_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[54][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21057_ (.CLK(clknet_leaf_70_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21017_ (.CLK(clknet_leaf_78_usb_clk),
     .D(_01615_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[54][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21058_ (.CLK(clknet_leaf_72_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21018_ (.CLK(clknet_leaf_78_usb_clk),
     .D(_01616_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[54][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21059_ (.CLK(clknet_leaf_72_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21019_ (.CLK(clknet_leaf_80_usb_clk),
     .D(_01617_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[54][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21060_ (.CLK(clknet_leaf_120_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21020_ (.CLK(clknet_leaf_112_usb_clk),
     .D(_01618_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[53][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21061_ (.CLK(clknet_leaf_120_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21021_ (.CLK(clknet_leaf_111_usb_clk),
     .D(_01619_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[53][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21062_ (.CLK(clknet_leaf_119_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21022_ (.CLK(clknet_leaf_112_usb_clk),
     .D(_01620_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[53][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21063_ (.CLK(clknet_leaf_110_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21023_ (.CLK(clknet_leaf_109_usb_clk),
     .D(_01621_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[53][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21064_ (.CLK(clknet_leaf_70_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21024_ (.CLK(clknet_leaf_109_usb_clk),
     .D(_01622_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[53][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21065_ (.CLK(clknet_leaf_71_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21025_ (.CLK(clknet_leaf_78_usb_clk),
     .D(_01623_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[53][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21066_ (.CLK(clknet_leaf_72_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21026_ (.CLK(clknet_leaf_78_usb_clk),
     .D(_01624_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[53][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21067_ (.CLK(clknet_leaf_72_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21027_ (.CLK(clknet_leaf_80_usb_clk),
     .D(_01625_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[53][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21068_ (.CLK(clknet_leaf_119_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21028_ (.CLK(clknet_leaf_112_usb_clk),
     .D(_01626_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[52][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21069_ (.CLK(clknet_leaf_120_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21029_ (.CLK(clknet_leaf_110_usb_clk),
     .D(_01627_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[52][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21070_ (.CLK(clknet_leaf_119_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21030_ (.CLK(clknet_leaf_112_usb_clk),
     .D(_01628_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[52][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21071_ (.CLK(clknet_leaf_113_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21031_ (.CLK(clknet_leaf_109_usb_clk),
     .D(_01629_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[52][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21072_ (.CLK(clknet_leaf_70_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21032_ (.CLK(clknet_leaf_72_usb_clk),
     .D(_01630_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[52][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21073_ (.CLK(clknet_leaf_70_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21033_ (.CLK(clknet_leaf_78_usb_clk),
     .D(_01631_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[52][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21074_ (.CLK(clknet_leaf_74_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21034_ (.CLK(clknet_leaf_78_usb_clk),
     .D(_01632_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[52][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21075_ (.CLK(clknet_leaf_72_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21035_ (.CLK(clknet_leaf_78_usb_clk),
     .D(_01633_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[52][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21076_ (.CLK(clknet_leaf_121_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21036_ (.CLK(clknet_leaf_102_usb_clk),
     .D(_01634_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[51][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21077_ (.CLK(clknet_leaf_121_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21037_ (.CLK(clknet_leaf_105_usb_clk),
     .D(_01635_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[51][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21078_ (.CLK(clknet_leaf_119_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21038_ (.CLK(clknet_leaf_103_usb_clk),
     .D(_01636_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[51][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21079_ (.CLK(clknet_leaf_110_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21039_ (.CLK(clknet_leaf_109_usb_clk),
     .D(_01637_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[51][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21080_ (.CLK(clknet_leaf_71_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21040_ (.CLK(clknet_leaf_108_usb_clk),
     .D(_01638_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[51][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21081_ (.CLK(clknet_leaf_71_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21041_ (.CLK(clknet_leaf_79_usb_clk),
     .D(_01639_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[51][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21082_ (.CLK(clknet_leaf_73_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21042_ (.CLK(clknet_leaf_79_usb_clk),
     .D(_01640_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[51][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21083_ (.CLK(clknet_leaf_73_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21043_ (.CLK(clknet_leaf_80_usb_clk),
     .D(_01641_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[51][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21084_ (.CLK(clknet_leaf_131_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21044_ (.CLK(clknet_leaf_104_usb_clk),
     .D(_01642_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[50][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21085_ (.CLK(clknet_leaf_121_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21045_ (.CLK(clknet_leaf_107_usb_clk),
     .D(_01643_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[50][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21086_ (.CLK(clknet_leaf_131_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21046_ (.CLK(clknet_leaf_103_usb_clk),
     .D(_01644_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[50][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21087_ (.CLK(clknet_leaf_107_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21047_ (.CLK(clknet_leaf_96_usb_clk),
     .D(_01645_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[50][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21088_ (.CLK(clknet_leaf_107_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21048_ (.CLK(clknet_leaf_95_usb_clk),
     .D(_01646_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[50][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21089_ (.CLK(clknet_leaf_83_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21049_ (.CLK(clknet_leaf_87_usb_clk),
     .D(_01647_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[50][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21090_ (.CLK(clknet_leaf_83_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21050_ (.CLK(clknet_leaf_87_usb_clk),
     .D(_01648_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[50][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21091_ (.CLK(clknet_leaf_82_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21051_ (.CLK(clknet_leaf_87_usb_clk),
     .D(_01649_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[50][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21092_ (.CLK(clknet_leaf_131_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21052_ (.CLK(clknet_leaf_126_usb_clk),
     .D(_01650_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[49][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21093_ (.CLK(clknet_leaf_131_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21053_ (.CLK(clknet_leaf_100_usb_clk),
     .D(_01651_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[49][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21094_ (.CLK(clknet_leaf_130_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21054_ (.CLK(clknet_leaf_102_usb_clk),
     .D(_01652_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[49][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21095_ (.CLK(clknet_leaf_100_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21055_ (.CLK(clknet_leaf_107_usb_clk),
     .D(_01653_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[49][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21096_ (.CLK(clknet_leaf_100_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21056_ (.CLK(clknet_leaf_73_usb_clk),
     .D(_01654_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[49][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21097_ (.CLK(clknet_leaf_86_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21057_ (.CLK(clknet_leaf_87_usb_clk),
     .D(_01655_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[49][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21098_ (.CLK(clknet_leaf_86_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21058_ (.CLK(clknet_leaf_87_usb_clk),
     .D(_01656_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[49][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21099_ (.CLK(clknet_leaf_86_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21059_ (.CLK(clknet_leaf_87_usb_clk),
     .D(_01657_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[49][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21100_ (.CLK(clknet_leaf_122_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21060_ (.CLK(clknet_leaf_103_usb_clk),
     .D(_01658_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[48][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21101_ (.CLK(clknet_leaf_122_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21061_ (.CLK(clknet_leaf_100_usb_clk),
     .D(_01659_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[48][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21102_ (.CLK(clknet_leaf_122_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21062_ (.CLK(clknet_leaf_103_usb_clk),
     .D(_01660_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[48][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21103_ (.CLK(clknet_leaf_111_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21063_ (.CLK(clknet_leaf_97_usb_clk),
     .D(_01661_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[48][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21104_ (.CLK(clknet_leaf_109_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21064_ (.CLK(clknet_leaf_95_usb_clk),
     .D(_01662_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[48][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21105_ (.CLK(clknet_leaf_77_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21065_ (.CLK(clknet_leaf_89_usb_clk),
     .D(_01663_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[48][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21106_ (.CLK(clknet_leaf_77_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21066_ (.CLK(clknet_leaf_89_usb_clk),
     .D(_01664_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[48][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21107_ (.CLK(clknet_leaf_79_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21067_ (.CLK(clknet_leaf_89_usb_clk),
     .D(_01665_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[48][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21108_ (.CLK(clknet_leaf_116_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21068_ (.CLK(clknet_leaf_114_usb_clk),
     .D(_01666_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[47][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21109_ (.CLK(clknet_leaf_115_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21069_ (.CLK(clknet_leaf_114_usb_clk),
     .D(_01667_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[47][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21110_ (.CLK(clknet_leaf_114_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21070_ (.CLK(clknet_leaf_113_usb_clk),
     .D(_01668_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[47][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21111_ (.CLK(clknet_leaf_113_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21071_ (.CLK(clknet_leaf_72_usb_clk),
     .D(_01669_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[47][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21112_ (.CLK(clknet_leaf_69_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21072_ (.CLK(clknet_leaf_72_usb_clk),
     .D(_01670_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[47][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21113_ (.CLK(clknet_leaf_69_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21073_ (.CLK(clknet_leaf_75_usb_clk),
     .D(_01671_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[47][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21114_ (.CLK(clknet_leaf_74_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21074_ (.CLK(clknet_leaf_75_usb_clk),
     .D(_01672_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[47][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21115_ (.CLK(clknet_leaf_74_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21075_ (.CLK(clknet_leaf_75_usb_clk),
     .D(_01673_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[47][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21116_ (.CLK(clknet_leaf_117_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21076_ (.CLK(clknet_leaf_114_usb_clk),
     .D(_01674_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[46][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21117_ (.CLK(clknet_leaf_117_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21077_ (.CLK(clknet_leaf_114_usb_clk),
     .D(_01675_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[46][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21118_ (.CLK(clknet_leaf_113_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21078_ (.CLK(clknet_leaf_113_usb_clk),
     .D(_01676_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[46][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21119_ (.CLK(clknet_leaf_113_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21079_ (.CLK(clknet_leaf_72_usb_clk),
     .D(_01677_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[46][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21120_ (.CLK(clknet_leaf_69_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21080_ (.CLK(clknet_leaf_72_usb_clk),
     .D(_01678_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[46][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21121_ (.CLK(clknet_leaf_74_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21081_ (.CLK(clknet_leaf_74_usb_clk),
     .D(_01679_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[46][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21122_ (.CLK(clknet_leaf_74_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21082_ (.CLK(clknet_leaf_75_usb_clk),
     .D(_01680_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[46][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21123_ (.CLK(clknet_leaf_74_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21083_ (.CLK(clknet_leaf_75_usb_clk),
     .D(_01681_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[46][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21124_ (.CLK(clknet_leaf_116_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21084_ (.CLK(clknet_leaf_120_usb_clk),
     .D(_01682_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[45][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21125_ (.CLK(clknet_leaf_117_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21085_ (.CLK(clknet_leaf_110_usb_clk),
     .D(_01683_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[45][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21126_ (.CLK(clknet_leaf_116_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21086_ (.CLK(clknet_leaf_113_usb_clk),
     .D(_01684_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[45][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21127_ (.CLK(clknet_leaf_113_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21087_ (.CLK(clknet_leaf_110_usb_clk),
     .D(_01685_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[45][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21128_ (.CLK(clknet_leaf_69_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21088_ (.CLK(clknet_leaf_72_usb_clk),
     .D(_01686_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[45][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21129_ (.CLK(clknet_leaf_73_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21089_ (.CLK(clknet_leaf_74_usb_clk),
     .D(_01687_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[45][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21130_ (.CLK(clknet_leaf_73_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21090_ (.CLK(clknet_leaf_75_usb_clk),
     .D(_01688_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[45][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21131_ (.CLK(clknet_leaf_73_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21091_ (.CLK(clknet_leaf_75_usb_clk),
     .D(_01689_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[45][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21132_ (.CLK(clknet_leaf_121_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21092_ (.CLK(clknet_leaf_127_usb_clk),
     .D(_01690_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[44][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21133_ (.CLK(clknet_leaf_121_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21093_ (.CLK(clknet_leaf_127_usb_clk),
     .D(_01691_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[44][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21134_ (.CLK(clknet_leaf_124_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21094_ (.CLK(clknet_leaf_127_usb_clk),
     .D(_01692_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[44][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21135_ (.CLK(clknet_leaf_106_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21095_ (.CLK(clknet_leaf_96_usb_clk),
     .D(_01693_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[44][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21136_ (.CLK(clknet_leaf_108_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21096_ (.CLK(clknet_leaf_96_usb_clk),
     .D(_01694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[44][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21137_ (.CLK(clknet_leaf_83_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21097_ (.CLK(clknet_leaf_89_usb_clk),
     .D(_01695_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[44][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21138_ (.CLK(clknet_leaf_83_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21098_ (.CLK(clknet_leaf_89_usb_clk),
     .D(_01696_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[44][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21139_ (.CLK(clknet_leaf_82_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21099_ (.CLK(clknet_leaf_88_usb_clk),
     .D(_01697_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[44][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21140_ (.CLK(clknet_leaf_121_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21100_ (.CLK(clknet_leaf_127_usb_clk),
     .D(_01698_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[43][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21141_ (.CLK(clknet_leaf_121_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21101_ (.CLK(clknet_leaf_124_usb_clk),
     .D(_01699_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[43][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21142_ (.CLK(clknet_leaf_106_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21102_ (.CLK(clknet_leaf_124_usb_clk),
     .D(_01700_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[43][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21143_ (.CLK(clknet_leaf_111_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21103_ (.CLK(clknet_leaf_96_usb_clk),
     .D(_01701_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[43][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21144_ (.CLK(clknet_leaf_109_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21104_ (.CLK(clknet_leaf_96_usb_clk),
     .D(_01702_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[43][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21145_ (.CLK(clknet_leaf_94_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21105_ (.CLK(clknet_leaf_88_usb_clk),
     .D(_01703_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[43][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21146_ (.CLK(clknet_leaf_80_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21106_ (.CLK(clknet_leaf_88_usb_clk),
     .D(_01704_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[43][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21147_ (.CLK(clknet_leaf_80_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21107_ (.CLK(clknet_leaf_85_usb_clk),
     .D(_01705_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[43][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21148_ (.CLK(clknet_leaf_121_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21108_ (.CLK(clknet_leaf_120_usb_clk),
     .D(_01706_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[42][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21149_ (.CLK(clknet_leaf_131_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21109_ (.CLK(clknet_leaf_124_usb_clk),
     .D(_01707_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[42][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21150_ (.CLK(clknet_leaf_118_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21110_ (.CLK(clknet_leaf_121_usb_clk),
     .D(_01708_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[42][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21151_ (.CLK(clknet_leaf_105_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21111_ (.CLK(clknet_leaf_108_usb_clk),
     .D(_01709_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[42][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21152_ (.CLK(clknet_leaf_94_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21112_ (.CLK(clknet_leaf_95_usb_clk),
     .D(_01710_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[42][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21153_ (.CLK(clknet_leaf_81_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21113_ (.CLK(clknet_leaf_86_usb_clk),
     .D(_01711_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[42][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21154_ (.CLK(clknet_leaf_81_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21114_ (.CLK(clknet_leaf_87_usb_clk),
     .D(_01712_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[42][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21155_ (.CLK(clknet_leaf_81_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21115_ (.CLK(clknet_leaf_86_usb_clk),
     .D(_01713_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[42][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21156_ (.CLK(clknet_leaf_121_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21116_ (.CLK(clknet_leaf_125_usb_clk),
     .D(_01714_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[41][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21157_ (.CLK(clknet_leaf_121_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21117_ (.CLK(clknet_leaf_124_usb_clk),
     .D(_01715_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[41][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21158_ (.CLK(clknet_leaf_105_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21118_ (.CLK(clknet_leaf_124_usb_clk),
     .D(_01716_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[41][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21159_ (.CLK(clknet_leaf_106_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21119_ (.CLK(clknet_leaf_109_usb_clk),
     .D(_01717_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[41][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21160_ (.CLK(clknet_leaf_108_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21120_ (.CLK(clknet_leaf_108_usb_clk),
     .D(_01718_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[41][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21161_ (.CLK(clknet_leaf_94_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21121_ (.CLK(clknet_leaf_79_usb_clk),
     .D(_01719_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[41][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21162_ (.CLK(clknet_leaf_80_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21122_ (.CLK(clknet_leaf_79_usb_clk),
     .D(_01720_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[41][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21163_ (.CLK(clknet_leaf_80_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21123_ (.CLK(clknet_leaf_79_usb_clk),
     .D(_01721_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[41][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21164_ (.CLK(clknet_leaf_131_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21124_ (.CLK(clknet_leaf_103_usb_clk),
     .D(_01722_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[40][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21165_ (.CLK(clknet_leaf_122_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21125_ (.CLK(clknet_leaf_104_usb_clk),
     .D(_01723_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[40][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21166_ (.CLK(clknet_leaf_131_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21126_ (.CLK(clknet_leaf_102_usb_clk),
     .D(_01724_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[40][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21167_ (.CLK(clknet_leaf_106_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21127_ (.CLK(clknet_leaf_96_usb_clk),
     .D(_01725_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[40][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21168_ (.CLK(clknet_leaf_94_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21128_ (.CLK(clknet_leaf_97_usb_clk),
     .D(_01726_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[40][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21169_ (.CLK(clknet_leaf_83_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21129_ (.CLK(clknet_leaf_87_usb_clk),
     .D(_01727_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[40][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21170_ (.CLK(clknet_leaf_83_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21130_ (.CLK(clknet_leaf_87_usb_clk),
     .D(_01728_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[40][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21171_ (.CLK(clknet_leaf_83_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21131_ (.CLK(clknet_leaf_87_usb_clk),
     .D(_01729_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[40][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21172_ (.CLK(clknet_leaf_129_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21132_ (.CLK(clknet_leaf_125_usb_clk),
     .D(_01730_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[39][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21173_ (.CLK(clknet_leaf_127_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21133_ (.CLK(clknet_leaf_105_usb_clk),
     .D(_01731_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[39][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21174_ (.CLK(clknet_leaf_129_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21134_ (.CLK(clknet_leaf_125_usb_clk),
     .D(_01732_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[39][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21175_ (.CLK(clknet_leaf_103_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21135_ (.CLK(clknet_leaf_108_usb_clk),
     .D(_01733_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[39][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21176_ (.CLK(clknet_leaf_99_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21136_ (.CLK(clknet_leaf_95_usb_clk),
     .D(_01734_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[39][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21177_ (.CLK(clknet_leaf_92_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21137_ (.CLK(clknet_leaf_83_usb_clk),
     .D(_01735_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[39][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21178_ (.CLK(clknet_leaf_92_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21138_ (.CLK(clknet_leaf_83_usb_clk),
     .D(_01736_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[39][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21179_ (.CLK(clknet_leaf_97_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21139_ (.CLK(clknet_leaf_84_usb_clk),
     .D(_01737_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[39][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21180_ (.CLK(clknet_leaf_130_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21140_ (.CLK(clknet_leaf_125_usb_clk),
     .D(_01738_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[38][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21181_ (.CLK(clknet_leaf_130_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21141_ (.CLK(clknet_leaf_105_usb_clk),
     .D(_01739_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[38][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21182_ (.CLK(clknet_leaf_129_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21142_ (.CLK(clknet_leaf_125_usb_clk),
     .D(_01740_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[38][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21183_ (.CLK(clknet_leaf_100_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21143_ (.CLK(clknet_leaf_107_usb_clk),
     .D(_01741_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[38][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21184_ (.CLK(clknet_leaf_99_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21144_ (.CLK(clknet_leaf_108_usb_clk),
     .D(_01742_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[38][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21185_ (.CLK(clknet_leaf_86_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21145_ (.CLK(clknet_leaf_95_usb_clk),
     .D(_01743_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[38][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21186_ (.CLK(clknet_leaf_86_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21146_ (.CLK(clknet_leaf_85_usb_clk),
     .D(_01744_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[38][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21187_ (.CLK(clknet_leaf_88_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21147_ (.CLK(clknet_leaf_85_usb_clk),
     .D(_01745_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[38][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21188_ (.CLK(clknet_leaf_130_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21148_ (.CLK(clknet_leaf_126_usb_clk),
     .D(_01746_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[37][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21189_ (.CLK(clknet_leaf_130_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21149_ (.CLK(clknet_leaf_106_usb_clk),
     .D(_01747_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[37][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21190_ (.CLK(clknet_leaf_129_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21150_ (.CLK(clknet_leaf_125_usb_clk),
     .D(_01748_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[37][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21191_ (.CLK(clknet_leaf_104_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21151_ (.CLK(clknet_leaf_107_usb_clk),
     .D(_01749_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[37][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21192_ (.CLK(clknet_leaf_96_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21152_ (.CLK(clknet_leaf_108_usb_clk),
     .D(_01750_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[37][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21193_ (.CLK(clknet_leaf_87_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21153_ (.CLK(clknet_leaf_83_usb_clk),
     .D(_01751_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[37][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21194_ (.CLK(clknet_leaf_87_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21154_ (.CLK(clknet_leaf_84_usb_clk),
     .D(_01752_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[37][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21195_ (.CLK(clknet_leaf_85_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21155_ (.CLK(clknet_leaf_84_usb_clk),
     .D(_01753_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[37][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21196_ (.CLK(clknet_leaf_127_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21156_ (.CLK(clknet_leaf_101_usb_clk),
     .D(_01754_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[36][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21197_ (.CLK(clknet_leaf_127_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21157_ (.CLK(clknet_leaf_100_usb_clk),
     .D(_01755_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[36][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21198_ (.CLK(clknet_leaf_126_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21158_ (.CLK(clknet_leaf_101_usb_clk),
     .D(_01756_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[36][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21199_ (.CLK(clknet_leaf_100_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21159_ (.CLK(clknet_leaf_100_usb_clk),
     .D(_01757_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[36][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21200_ (.CLK(clknet_leaf_96_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21160_ (.CLK(clknet_leaf_99_usb_clk),
     .D(_01758_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[36][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21201_ (.CLK(clknet_leaf_88_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21161_ (.CLK(clknet_leaf_92_usb_clk),
     .D(_01759_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[36][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21202_ (.CLK(clknet_leaf_88_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21162_ (.CLK(clknet_leaf_91_usb_clk),
     .D(_01760_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[36][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21203_ (.CLK(clknet_leaf_88_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21163_ (.CLK(clknet_leaf_91_usb_clk),
     .D(_01761_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[36][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21204_ (.CLK(clknet_leaf_130_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21164_ (.CLK(clknet_leaf_105_usb_clk),
     .D(_01762_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[35][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21205_ (.CLK(clknet_leaf_130_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21165_ (.CLK(clknet_leaf_106_usb_clk),
     .D(_01763_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[35][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21206_ (.CLK(clknet_leaf_129_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21166_ (.CLK(clknet_leaf_126_usb_clk),
     .D(_01764_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[35][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21207_ (.CLK(clknet_leaf_100_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21167_ (.CLK(clknet_leaf_107_usb_clk),
     .D(_01765_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[35][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21208_ (.CLK(clknet_leaf_96_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21168_ (.CLK(clknet_leaf_108_usb_clk),
     .D(_01766_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[35][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21209_ (.CLK(clknet_leaf_87_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21169_ (.CLK(clknet_leaf_95_usb_clk),
     .D(_01767_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[35][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21210_ (.CLK(clknet_leaf_87_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21170_ (.CLK(clknet_leaf_94_usb_clk),
     .D(_01768_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[35][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21211_ (.CLK(clknet_leaf_87_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21171_ (.CLK(clknet_leaf_84_usb_clk),
     .D(_01769_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[35][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21212_ (.CLK(clknet_leaf_102_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21172_ (.CLK(clknet_leaf_102_usb_clk),
     .D(_01770_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[34][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21213_ (.CLK(clknet_leaf_129_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21173_ (.CLK(clknet_leaf_102_usb_clk),
     .D(_01771_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[34][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21214_ (.CLK(clknet_leaf_129_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21174_ (.CLK(clknet_leaf_101_usb_clk),
     .D(_01772_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[34][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21215_ (.CLK(clknet_leaf_101_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21175_ (.CLK(clknet_leaf_99_usb_clk),
     .D(_01773_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[34][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21216_ (.CLK(clknet_leaf_97_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21176_ (.CLK(clknet_leaf_99_usb_clk),
     .D(_01774_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[34][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21217_ (.CLK(clknet_leaf_88_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21177_ (.CLK(clknet_leaf_92_usb_clk),
     .D(_01775_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[34][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21218_ (.CLK(clknet_leaf_88_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21178_ (.CLK(clknet_leaf_91_usb_clk),
     .D(_01776_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[34][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21219_ (.CLK(clknet_leaf_91_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21179_ (.CLK(clknet_leaf_91_usb_clk),
     .D(_01777_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[34][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21220_ (.CLK(clknet_leaf_127_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21180_ (.CLK(clknet_leaf_102_usb_clk),
     .D(_01778_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[33][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21221_ (.CLK(clknet_leaf_130_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21181_ (.CLK(clknet_leaf_102_usb_clk),
     .D(_01779_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[33][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21222_ (.CLK(clknet_leaf_130_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21182_ (.CLK(clknet_leaf_101_usb_clk),
     .D(_01780_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[33][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21223_ (.CLK(clknet_leaf_100_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21183_ (.CLK(clknet_leaf_100_usb_clk),
     .D(_01781_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[33][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21224_ (.CLK(clknet_leaf_98_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21184_ (.CLK(clknet_leaf_99_usb_clk),
     .D(_01782_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[33][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21225_ (.CLK(clknet_leaf_88_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21185_ (.CLK(clknet_leaf_92_usb_clk),
     .D(_01783_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[33][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21226_ (.CLK(clknet_leaf_88_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21186_ (.CLK(clknet_leaf_90_usb_clk),
     .D(_01784_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[33][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21227_ (.CLK(clknet_leaf_89_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21187_ (.CLK(clknet_leaf_90_usb_clk),
     .D(_01785_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[33][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21228_ (.CLK(clknet_leaf_127_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21188_ (.CLK(clknet_leaf_104_usb_clk),
     .D(_01786_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[32][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21229_ (.CLK(clknet_leaf_129_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21189_ (.CLK(clknet_leaf_100_usb_clk),
     .D(_01787_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[32][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21230_ (.CLK(clknet_leaf_129_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21190_ (.CLK(clknet_leaf_103_usb_clk),
     .D(_01788_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[32][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21231_ (.CLK(clknet_leaf_100_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21191_ (.CLK(clknet_leaf_100_usb_clk),
     .D(_01789_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[32][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21232_ (.CLK(clknet_leaf_97_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21192_ (.CLK(clknet_leaf_99_usb_clk),
     .D(_01790_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[32][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21233_ (.CLK(clknet_leaf_89_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21193_ (.CLK(clknet_leaf_92_usb_clk),
     .D(_01791_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[32][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21234_ (.CLK(clknet_leaf_89_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21194_ (.CLK(clknet_leaf_91_usb_clk),
     .D(_01792_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[32][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21235_ (.CLK(clknet_leaf_89_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21195_ (.CLK(clknet_leaf_91_usb_clk),
     .D(_01793_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[32][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21236_ (.CLK(clknet_leaf_113_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21196_ (.CLK(clknet_leaf_113_usb_clk),
     .D(_01794_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[31][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21237_ (.CLK(clknet_leaf_112_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21197_ (.CLK(clknet_leaf_110_usb_clk),
     .D(_01795_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[31][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21238_ (.CLK(clknet_leaf_112_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21198_ (.CLK(clknet_leaf_113_usb_clk),
     .D(_01796_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[31][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21239_ (.CLK(clknet_leaf_106_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21199_ (.CLK(clknet_leaf_109_usb_clk),
     .D(_01797_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[31][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21240_ (.CLK(clknet_leaf_108_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21200_ (.CLK(clknet_leaf_72_usb_clk),
     .D(_01798_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[31][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21241_ (.CLK(clknet_leaf_84_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21201_ (.CLK(clknet_leaf_93_usb_clk),
     .D(_01799_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[31][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21242_ (.CLK(clknet_leaf_83_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21202_ (.CLK(clknet_leaf_93_usb_clk),
     .D(_01800_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[31][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21243_ (.CLK(clknet_leaf_84_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21203_ (.CLK(clknet_leaf_94_usb_clk),
     .D(_01801_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[31][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21244_ (.CLK(clknet_leaf_125_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21204_ (.CLK(clknet_leaf_104_usb_clk),
     .D(_01802_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[30][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21245_ (.CLK(clknet_leaf_124_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21205_ (.CLK(clknet_leaf_106_usb_clk),
     .D(_01803_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[30][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21246_ (.CLK(clknet_leaf_124_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21206_ (.CLK(clknet_leaf_103_usb_clk),
     .D(_01804_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[30][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21247_ (.CLK(clknet_leaf_107_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21207_ (.CLK(clknet_leaf_100_usb_clk),
     .D(_01805_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[30][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21248_ (.CLK(clknet_leaf_108_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21208_ (.CLK(clknet_leaf_98_usb_clk),
     .D(_01806_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[30][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21249_ (.CLK(clknet_leaf_84_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21209_ (.CLK(clknet_leaf_93_usb_clk),
     .D(_01807_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[30][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21250_ (.CLK(clknet_leaf_84_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21210_ (.CLK(clknet_leaf_93_usb_clk),
     .D(_01808_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[30][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21251_ (.CLK(clknet_leaf_84_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21211_ (.CLK(clknet_leaf_93_usb_clk),
     .D(_01809_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[30][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21252_ (.CLK(clknet_leaf_125_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21212_ (.CLK(clknet_leaf_112_usb_clk),
     .D(_01810_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[29][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21253_ (.CLK(clknet_leaf_125_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21213_ (.CLK(clknet_leaf_110_usb_clk),
     .D(_01811_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[29][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21254_ (.CLK(clknet_leaf_105_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21214_ (.CLK(clknet_leaf_112_usb_clk),
     .D(_01812_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[29][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21255_ (.CLK(clknet_leaf_106_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21215_ (.CLK(clknet_leaf_109_usb_clk),
     .D(_01813_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[29][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21256_ (.CLK(clknet_leaf_108_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21216_ (.CLK(clknet_leaf_73_usb_clk),
     .D(_01814_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[29][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21257_ (.CLK(clknet_leaf_84_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21217_ (.CLK(clknet_leaf_73_usb_clk),
     .D(_01815_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[29][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21258_ (.CLK(clknet_leaf_84_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21218_ (.CLK(clknet_leaf_74_usb_clk),
     .D(_01816_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[29][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21259_ (.CLK(clknet_leaf_84_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21219_ (.CLK(clknet_leaf_74_usb_clk),
     .D(_01817_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[29][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21260_ (.CLK(clknet_leaf_105_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21220_ (.CLK(clknet_leaf_125_usb_clk),
     .D(_01818_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[28][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21261_ (.CLK(clknet_leaf_112_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21221_ (.CLK(clknet_leaf_126_usb_clk),
     .D(_01819_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[28][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21262_ (.CLK(clknet_leaf_111_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21222_ (.CLK(clknet_leaf_126_usb_clk),
     .D(_01820_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[28][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21263_ (.CLK(clknet_leaf_107_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21223_ (.CLK(clknet_leaf_107_usb_clk),
     .D(_01821_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[28][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21264_ (.CLK(clknet_leaf_107_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21224_ (.CLK(clknet_leaf_108_usb_clk),
     .D(_01822_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[28][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21265_ (.CLK(clknet_leaf_84_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21225_ (.CLK(clknet_leaf_95_usb_clk),
     .D(_01823_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[28][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21266_ (.CLK(clknet_leaf_84_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21226_ (.CLK(clknet_leaf_84_usb_clk),
     .D(_01824_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[28][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21267_ (.CLK(clknet_leaf_84_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21227_ (.CLK(clknet_leaf_84_usb_clk),
     .D(_01825_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[28][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21268_ (.CLK(clknet_leaf_102_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21228_ (.CLK(clknet_leaf_124_usb_clk),
     .D(_01826_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[27][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21269_ (.CLK(clknet_leaf_128_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21229_ (.CLK(clknet_leaf_124_usb_clk),
     .D(_01827_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[27][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21270_ (.CLK(clknet_leaf_128_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21230_ (.CLK(clknet_leaf_125_usb_clk),
     .D(_01828_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[27][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21271_ (.CLK(clknet_leaf_101_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21231_ (.CLK(clknet_leaf_120_usb_clk),
     .D(_01829_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[27][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21272_ (.CLK(clknet_leaf_99_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21232_ (.CLK(clknet_leaf_73_usb_clk),
     .D(_01830_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[27][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21273_ (.CLK(clknet_leaf_98_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21233_ (.CLK(clknet_leaf_82_usb_clk),
     .D(_01831_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[27][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21274_ (.CLK(clknet_leaf_90_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21234_ (.CLK(clknet_leaf_82_usb_clk),
     .D(_01832_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[27][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21275_ (.CLK(clknet_leaf_90_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21235_ (.CLK(clknet_leaf_80_usb_clk),
     .D(_01833_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[27][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21276_ (.CLK(clknet_leaf_128_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21236_ (.CLK(clknet_leaf_124_usb_clk),
     .D(_01834_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[26][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21277_ (.CLK(clknet_leaf_128_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21237_ (.CLK(clknet_leaf_124_usb_clk),
     .D(_01835_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[26][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21278_ (.CLK(clknet_leaf_128_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21238_ (.CLK(clknet_leaf_124_usb_clk),
     .D(_01836_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[26][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21279_ (.CLK(clknet_leaf_101_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _21239_ (.CLK(clknet_leaf_120_usb_clk),
     .D(_01837_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[26][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21280_ (.CLK(clknet_leaf_99_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21240_ (.CLK(clknet_leaf_73_usb_clk),
     .D(_01838_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[26][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21281_ (.CLK(clknet_leaf_97_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21241_ (.CLK(clknet_leaf_73_usb_clk),
     .D(_01839_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[26][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21282_ (.CLK(clknet_leaf_90_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21242_ (.CLK(clknet_leaf_84_usb_clk),
     .D(_01840_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[26][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21283_ (.CLK(clknet_leaf_90_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21243_ (.CLK(clknet_leaf_84_usb_clk),
     .D(_01841_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[26][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21284_ (.CLK(clknet_leaf_101_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21244_ (.CLK(clknet_leaf_126_usb_clk),
     .D(_01842_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[25][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21285_ (.CLK(clknet_leaf_128_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21245_ (.CLK(clknet_leaf_127_usb_clk),
     .D(_01843_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[25][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21286_ (.CLK(clknet_leaf_128_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21246_ (.CLK(clknet_leaf_125_usb_clk),
     .D(_01844_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[25][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21287_ (.CLK(clknet_leaf_101_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21247_ (.CLK(clknet_leaf_120_usb_clk),
     .D(_01845_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[25][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21288_ (.CLK(clknet_leaf_99_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21248_ (.CLK(clknet_leaf_73_usb_clk),
     .D(_01846_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[25][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21289_ (.CLK(clknet_leaf_98_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21249_ (.CLK(clknet_leaf_82_usb_clk),
     .D(_01847_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[25][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21290_ (.CLK(clknet_leaf_90_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21250_ (.CLK(clknet_leaf_82_usb_clk),
     .D(_01848_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[25][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21291_ (.CLK(clknet_leaf_89_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21251_ (.CLK(clknet_leaf_82_usb_clk),
     .D(_01849_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[25][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21292_ (.CLK(clknet_leaf_126_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21252_ (.CLK(clknet_leaf_101_usb_clk),
     .D(_01850_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[24][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21293_ (.CLK(clknet_leaf_123_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21253_ (.CLK(clknet_leaf_101_usb_clk),
     .D(_01851_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[24][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21294_ (.CLK(clknet_leaf_130_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21254_ (.CLK(clknet_leaf_101_usb_clk),
     .D(_01852_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[24][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21295_ (.CLK(clknet_leaf_103_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21255_ (.CLK(clknet_leaf_99_usb_clk),
     .D(_01853_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[24][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21296_ (.CLK(clknet_leaf_95_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21256_ (.CLK(clknet_leaf_98_usb_clk),
     .D(_01854_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[24][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21297_ (.CLK(clknet_leaf_93_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21257_ (.CLK(clknet_leaf_92_usb_clk),
     .D(_01855_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[24][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21298_ (.CLK(clknet_leaf_92_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21258_ (.CLK(clknet_leaf_91_usb_clk),
     .D(_01856_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[24][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21299_ (.CLK(clknet_leaf_91_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21259_ (.CLK(clknet_leaf_91_usb_clk),
     .D(_01857_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[24][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21300_ (.CLK(clknet_leaf_101_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21260_ (.CLK(clknet_leaf_126_usb_clk),
     .D(_01858_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[23][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21301_ (.CLK(clknet_leaf_128_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21261_ (.CLK(clknet_leaf_126_usb_clk),
     .D(_01859_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[23][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21302_ (.CLK(clknet_leaf_128_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21262_ (.CLK(clknet_leaf_124_usb_clk),
     .D(_01860_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[23][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21303_ (.CLK(clknet_leaf_101_usb_clk),
+ sky130_fd_sc_hd__dfxtp_2 _21263_ (.CLK(clknet_leaf_120_usb_clk),
     .D(_01861_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[23][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21304_ (.CLK(clknet_leaf_99_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21264_ (.CLK(clknet_leaf_73_usb_clk),
     .D(_01862_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[23][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21305_ (.CLK(clknet_leaf_98_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21265_ (.CLK(clknet_leaf_83_usb_clk),
     .D(_01863_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[23][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21306_ (.CLK(clknet_leaf_98_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21266_ (.CLK(clknet_leaf_82_usb_clk),
     .D(_01864_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[23][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21307_ (.CLK(clknet_leaf_98_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21267_ (.CLK(clknet_leaf_82_usb_clk),
     .D(_01865_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[23][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21308_ (.CLK(clknet_leaf_131_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21268_ (.CLK(clknet_leaf_130_usb_clk),
     .D(_01866_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[22][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21309_ (.CLK(clknet_leaf_123_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21269_ (.CLK(clknet_leaf_129_usb_clk),
     .D(_01867_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[22][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21310_ (.CLK(clknet_leaf_131_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21270_ (.CLK(clknet_leaf_128_usb_clk),
     .D(_01868_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[22][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21311_ (.CLK(clknet_leaf_107_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21271_ (.CLK(clknet_leaf_97_usb_clk),
     .D(_01869_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[22][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21312_ (.CLK(clknet_leaf_95_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21272_ (.CLK(clknet_leaf_93_usb_clk),
     .D(_01870_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[22][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21313_ (.CLK(clknet_leaf_84_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21273_ (.CLK(clknet_leaf_94_usb_clk),
     .D(_01871_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[22][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21314_ (.CLK(clknet_leaf_84_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21274_ (.CLK(clknet_leaf_94_usb_clk),
     .D(_01872_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[22][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21315_ (.CLK(clknet_leaf_82_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21275_ (.CLK(clknet_leaf_91_usb_clk),
     .D(_01873_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[22][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21316_ (.CLK(clknet_leaf_125_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21276_ (.CLK(clknet_leaf_127_usb_clk),
     .D(_01874_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[21][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21317_ (.CLK(clknet_leaf_125_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21277_ (.CLK(clknet_leaf_127_usb_clk),
     .D(_01875_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[21][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21318_ (.CLK(clknet_leaf_125_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21278_ (.CLK(clknet_leaf_128_usb_clk),
     .D(_01876_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[21][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21319_ (.CLK(clknet_leaf_104_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21279_ (.CLK(clknet_leaf_100_usb_clk),
     .D(_01877_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[21][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21320_ (.CLK(clknet_leaf_107_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21280_ (.CLK(clknet_leaf_93_usb_clk),
     .D(_01878_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[21][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21321_ (.CLK(clknet_leaf_87_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21281_ (.CLK(clknet_leaf_94_usb_clk),
     .D(_01879_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[21][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21322_ (.CLK(clknet_leaf_85_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21282_ (.CLK(clknet_leaf_94_usb_clk),
     .D(_01880_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[21][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21323_ (.CLK(clknet_leaf_85_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21283_ (.CLK(clknet_leaf_94_usb_clk),
     .D(_01881_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[21][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21324_ (.CLK(clknet_leaf_126_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21284_ (.CLK(clknet_leaf_102_usb_clk),
     .D(_01882_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[20][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21325_ (.CLK(clknet_leaf_126_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21285_ (.CLK(clknet_leaf_101_usb_clk),
     .D(_01883_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[20][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21326_ (.CLK(clknet_leaf_126_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21286_ (.CLK(clknet_leaf_101_usb_clk),
     .D(_01884_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[20][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21327_ (.CLK(clknet_leaf_103_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21287_ (.CLK(clknet_leaf_99_usb_clk),
     .D(_01885_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[20][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21328_ (.CLK(clknet_leaf_95_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21288_ (.CLK(clknet_leaf_99_usb_clk),
     .D(_01886_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[20][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21329_ (.CLK(clknet_leaf_82_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21289_ (.CLK(clknet_leaf_92_usb_clk),
     .D(_01887_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[20][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21330_ (.CLK(clknet_leaf_86_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21290_ (.CLK(clknet_leaf_91_usb_clk),
     .D(_01888_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[20][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21331_ (.CLK(clknet_leaf_81_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21291_ (.CLK(clknet_leaf_91_usb_clk),
     .D(_01889_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[20][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21332_ (.CLK(clknet_leaf_123_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21292_ (.CLK(clknet_leaf_127_usb_clk),
     .D(_01890_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[19][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21333_ (.CLK(clknet_leaf_123_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21293_ (.CLK(clknet_leaf_130_usb_clk),
     .D(_01891_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[19][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21334_ (.CLK(clknet_leaf_123_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21294_ (.CLK(clknet_leaf_128_usb_clk),
     .D(_01892_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[19][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21335_ (.CLK(clknet_leaf_105_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21295_ (.CLK(clknet_leaf_100_usb_clk),
     .D(_01893_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[19][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21336_ (.CLK(clknet_leaf_95_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21296_ (.CLK(clknet_leaf_93_usb_clk),
     .D(_01894_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[19][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21337_ (.CLK(clknet_leaf_86_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21297_ (.CLK(clknet_leaf_93_usb_clk),
     .D(_01895_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[19][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21338_ (.CLK(clknet_leaf_86_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21298_ (.CLK(clknet_leaf_93_usb_clk),
     .D(_01896_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[19][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21339_ (.CLK(clknet_leaf_81_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21299_ (.CLK(clknet_leaf_91_usb_clk),
     .D(_01897_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[19][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21340_ (.CLK(clknet_leaf_126_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21300_ (.CLK(clknet_leaf_101_usb_clk),
     .D(_01898_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[18][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21341_ (.CLK(clknet_leaf_125_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21301_ (.CLK(clknet_leaf_101_usb_clk),
     .D(_01899_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[18][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21342_ (.CLK(clknet_leaf_125_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21302_ (.CLK(clknet_leaf_101_usb_clk),
     .D(_01900_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[18][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21343_ (.CLK(clknet_leaf_104_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21303_ (.CLK(clknet_leaf_99_usb_clk),
     .D(_01901_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[18][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21344_ (.CLK(clknet_leaf_95_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21304_ (.CLK(clknet_leaf_98_usb_clk),
     .D(_01902_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[18][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21345_ (.CLK(clknet_leaf_93_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21305_ (.CLK(clknet_leaf_92_usb_clk),
     .D(_01903_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[18][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21346_ (.CLK(clknet_leaf_92_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21306_ (.CLK(clknet_leaf_92_usb_clk),
     .D(_01904_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[18][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21347_ (.CLK(clknet_leaf_81_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21307_ (.CLK(clknet_leaf_92_usb_clk),
     .D(_01905_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[18][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21348_ (.CLK(clknet_leaf_126_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21308_ (.CLK(clknet_leaf_110_usb_clk),
     .D(_01906_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[17][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21349_ (.CLK(clknet_leaf_126_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21309_ (.CLK(clknet_leaf_111_usb_clk),
     .D(_01907_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[17][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21350_ (.CLK(clknet_leaf_126_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21310_ (.CLK(clknet_leaf_105_usb_clk),
     .D(_01908_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[17][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21351_ (.CLK(clknet_leaf_104_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21311_ (.CLK(clknet_leaf_109_usb_clk),
     .D(_01909_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[17][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21352_ (.CLK(clknet_leaf_95_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21312_ (.CLK(clknet_leaf_108_usb_clk),
     .D(_01910_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[17][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21353_ (.CLK(clknet_leaf_93_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21313_ (.CLK(clknet_leaf_86_usb_clk),
     .D(_01911_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[17][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21354_ (.CLK(clknet_leaf_93_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21314_ (.CLK(clknet_leaf_86_usb_clk),
     .D(_01912_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[17][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21355_ (.CLK(clknet_leaf_93_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21315_ (.CLK(clknet_leaf_80_usb_clk),
     .D(_01913_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[17][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21356_ (.CLK(clknet_leaf_131_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21316_ (.CLK(clknet_leaf_128_usb_clk),
     .D(_01914_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[16][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21357_ (.CLK(clknet_leaf_123_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21317_ (.CLK(clknet_leaf_129_usb_clk),
     .D(_01915_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[16][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21358_ (.CLK(clknet_leaf_131_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21318_ (.CLK(clknet_leaf_128_usb_clk),
     .D(_01916_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[16][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21359_ (.CLK(clknet_leaf_104_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21319_ (.CLK(clknet_leaf_100_usb_clk),
     .D(_01917_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[16][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21360_ (.CLK(clknet_leaf_108_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21320_ (.CLK(clknet_leaf_93_usb_clk),
     .D(_01918_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[16][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21361_ (.CLK(clknet_leaf_82_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21321_ (.CLK(clknet_leaf_88_usb_clk),
     .D(_01919_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[16][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21362_ (.CLK(clknet_leaf_86_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21322_ (.CLK(clknet_leaf_88_usb_clk),
     .D(_01920_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[16][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21363_ (.CLK(clknet_leaf_81_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21323_ (.CLK(clknet_leaf_88_usb_clk),
     .D(_01921_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[16][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21364_ (.CLK(clknet_leaf_113_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21324_ (.CLK(clknet_leaf_114_usb_clk),
     .D(_01922_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[15][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21365_ (.CLK(clknet_leaf_117_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21325_ (.CLK(clknet_leaf_114_usb_clk),
     .D(_01923_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[15][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21366_ (.CLK(clknet_leaf_113_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21326_ (.CLK(clknet_leaf_113_usb_clk),
     .D(_01924_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[15][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21367_ (.CLK(clknet_leaf_113_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21327_ (.CLK(clknet_leaf_110_usb_clk),
     .D(_01925_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[15][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21368_ (.CLK(clknet_leaf_69_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21328_ (.CLK(clknet_leaf_72_usb_clk),
     .D(_01926_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[15][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21369_ (.CLK(clknet_leaf_73_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21329_ (.CLK(clknet_leaf_74_usb_clk),
     .D(_01927_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[15][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21370_ (.CLK(clknet_leaf_73_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21330_ (.CLK(clknet_leaf_74_usb_clk),
     .D(_01928_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[15][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21371_ (.CLK(clknet_leaf_73_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21331_ (.CLK(clknet_leaf_81_usb_clk),
     .D(_01929_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[15][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21372_ (.CLK(clknet_leaf_116_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21332_ (.CLK(clknet_leaf_113_usb_clk),
     .D(_01930_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[14][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21373_ (.CLK(clknet_leaf_116_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21333_ (.CLK(clknet_leaf_112_usb_clk),
     .D(_01931_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[14][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21374_ (.CLK(clknet_leaf_119_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21334_ (.CLK(clknet_leaf_119_usb_clk),
     .D(_01932_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[14][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21375_ (.CLK(clknet_leaf_113_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21335_ (.CLK(clknet_leaf_109_usb_clk),
     .D(_01933_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[14][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21376_ (.CLK(clknet_leaf_70_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21336_ (.CLK(clknet_leaf_73_usb_clk),
     .D(_01934_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[14][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21377_ (.CLK(clknet_leaf_73_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21337_ (.CLK(clknet_leaf_74_usb_clk),
     .D(_01935_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[14][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21378_ (.CLK(clknet_leaf_73_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21338_ (.CLK(clknet_leaf_74_usb_clk),
     .D(_01936_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[14][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21379_ (.CLK(clknet_leaf_78_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21339_ (.CLK(clknet_leaf_74_usb_clk),
     .D(_01937_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[14][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21380_ (.CLK(clknet_leaf_112_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21340_ (.CLK(clknet_leaf_113_usb_clk),
     .D(_01938_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[13][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21381_ (.CLK(clknet_leaf_117_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21341_ (.CLK(clknet_leaf_110_usb_clk),
     .D(_01939_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[13][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21382_ (.CLK(clknet_leaf_117_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21342_ (.CLK(clknet_leaf_114_usb_clk),
     .D(_01940_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[13][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21383_ (.CLK(clknet_leaf_113_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21343_ (.CLK(clknet_leaf_110_usb_clk),
     .D(_01941_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[13][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21384_ (.CLK(clknet_leaf_69_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21344_ (.CLK(clknet_leaf_72_usb_clk),
     .D(_01942_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[13][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21385_ (.CLK(clknet_leaf_73_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21345_ (.CLK(clknet_leaf_74_usb_clk),
     .D(_01943_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[13][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21386_ (.CLK(clknet_leaf_73_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21346_ (.CLK(clknet_leaf_74_usb_clk),
     .D(_01944_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[13][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21387_ (.CLK(clknet_leaf_73_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21347_ (.CLK(clknet_leaf_74_usb_clk),
     .D(_01945_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[13][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21388_ (.CLK(clknet_leaf_112_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21348_ (.CLK(clknet_leaf_119_usb_clk),
     .D(_01946_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[12][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21389_ (.CLK(clknet_leaf_117_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21349_ (.CLK(clknet_leaf_111_usb_clk),
     .D(_01947_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[12][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21390_ (.CLK(clknet_leaf_112_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21350_ (.CLK(clknet_leaf_119_usb_clk),
     .D(_01948_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[12][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21391_ (.CLK(clknet_leaf_113_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21351_ (.CLK(clknet_leaf_109_usb_clk),
     .D(_01949_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[12][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21392_ (.CLK(clknet_leaf_70_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21352_ (.CLK(clknet_leaf_73_usb_clk),
     .D(_01950_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[12][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21393_ (.CLK(clknet_leaf_73_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21353_ (.CLK(clknet_leaf_78_usb_clk),
     .D(_01951_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[12][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21394_ (.CLK(clknet_leaf_73_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21354_ (.CLK(clknet_leaf_77_usb_clk),
     .D(_01952_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[12][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21395_ (.CLK(clknet_leaf_73_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21355_ (.CLK(clknet_leaf_77_usb_clk),
     .D(_01953_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[12][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21396_ (.CLK(clknet_leaf_102_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21356_ (.CLK(clknet_leaf_120_usb_clk),
     .D(_01954_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[11][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21397_ (.CLK(clknet_leaf_102_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21357_ (.CLK(clknet_leaf_125_usb_clk),
     .D(_01955_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[11][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21398_ (.CLK(clknet_leaf_103_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21358_ (.CLK(clknet_leaf_125_usb_clk),
     .D(_01956_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[11][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21399_ (.CLK(clknet_leaf_101_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21359_ (.CLK(clknet_leaf_107_usb_clk),
     .D(_01957_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[11][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21400_ (.CLK(clknet_leaf_99_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21360_ (.CLK(clknet_leaf_95_usb_clk),
     .D(_01958_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[11][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21401_ (.CLK(clknet_leaf_88_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21361_ (.CLK(clknet_leaf_95_usb_clk),
     .D(_01959_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[11][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21402_ (.CLK(clknet_leaf_88_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21362_ (.CLK(clknet_leaf_85_usb_clk),
     .D(_01960_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[11][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21403_ (.CLK(clknet_leaf_89_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21363_ (.CLK(clknet_leaf_85_usb_clk),
     .D(_01961_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[11][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21404_ (.CLK(clknet_leaf_102_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21364_ (.CLK(clknet_leaf_120_usb_clk),
     .D(_01962_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[10][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21405_ (.CLK(clknet_leaf_127_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21365_ (.CLK(clknet_leaf_111_usb_clk),
     .D(_01963_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[10][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21406_ (.CLK(clknet_leaf_102_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21366_ (.CLK(clknet_leaf_120_usb_clk),
     .D(_01964_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[10][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21407_ (.CLK(clknet_leaf_101_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21367_ (.CLK(clknet_leaf_110_usb_clk),
     .D(_01965_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[10][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21408_ (.CLK(clknet_leaf_99_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21368_ (.CLK(clknet_leaf_73_usb_clk),
     .D(_01966_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[10][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21409_ (.CLK(clknet_leaf_97_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21369_ (.CLK(clknet_leaf_73_usb_clk),
     .D(_01967_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[10][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21410_ (.CLK(clknet_leaf_89_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21370_ (.CLK(clknet_leaf_82_usb_clk),
     .D(_01968_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[10][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21411_ (.CLK(clknet_leaf_89_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21371_ (.CLK(clknet_leaf_82_usb_clk),
     .D(_01969_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[10][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21412_ (.CLK(clknet_leaf_129_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21372_ (.CLK(clknet_leaf_120_usb_clk),
     .D(_01970_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[9][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21413_ (.CLK(clknet_leaf_128_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21373_ (.CLK(clknet_leaf_110_usb_clk),
     .D(_01971_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[9][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21414_ (.CLK(clknet_leaf_129_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21374_ (.CLK(clknet_leaf_120_usb_clk),
     .D(_01972_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[9][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21415_ (.CLK(clknet_leaf_101_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21375_ (.CLK(clknet_leaf_109_usb_clk),
     .D(_01973_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[9][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21416_ (.CLK(clknet_leaf_99_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21376_ (.CLK(clknet_leaf_73_usb_clk),
     .D(_01974_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[9][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21417_ (.CLK(clknet_leaf_89_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21377_ (.CLK(clknet_leaf_73_usb_clk),
     .D(_01975_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[9][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21418_ (.CLK(clknet_leaf_89_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21378_ (.CLK(clknet_leaf_82_usb_clk),
     .D(_01976_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[9][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21419_ (.CLK(clknet_leaf_89_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21379_ (.CLK(clknet_leaf_81_usb_clk),
     .D(_01977_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[9][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21420_ (.CLK(clknet_leaf_117_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21380_ (.CLK(clknet_leaf_129_usb_clk),
     .D(_01978_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[8][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21421_ (.CLK(clknet_leaf_117_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21381_ (.CLK(clknet_leaf_129_usb_clk),
     .D(_01979_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[8][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21422_ (.CLK(clknet_leaf_112_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21382_ (.CLK(clknet_leaf_128_usb_clk),
     .D(_01980_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[8][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21423_ (.CLK(clknet_leaf_111_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21383_ (.CLK(clknet_leaf_99_usb_clk),
     .D(_01981_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[8][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21424_ (.CLK(clknet_leaf_109_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21384_ (.CLK(clknet_leaf_98_usb_clk),
     .D(_01982_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[8][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21425_ (.CLK(clknet_leaf_72_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21385_ (.CLK(clknet_leaf_92_usb_clk),
     .D(_01983_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[8][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21426_ (.CLK(clknet_leaf_79_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21386_ (.CLK(clknet_leaf_91_usb_clk),
     .D(_01984_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[8][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21427_ (.CLK(clknet_leaf_79_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21387_ (.CLK(clknet_leaf_91_usb_clk),
     .D(_01985_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[8][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21428_ (.CLK(clknet_leaf_102_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21388_ (.CLK(clknet_leaf_126_usb_clk),
     .D(_01986_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[7][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21429_ (.CLK(clknet_leaf_102_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21389_ (.CLK(clknet_leaf_125_usb_clk),
     .D(_01987_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[7][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21430_ (.CLK(clknet_leaf_103_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21390_ (.CLK(clknet_leaf_125_usb_clk),
     .D(_01988_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[7][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21431_ (.CLK(clknet_leaf_101_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21391_ (.CLK(clknet_leaf_107_usb_clk),
     .D(_01989_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[7][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21432_ (.CLK(clknet_leaf_99_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21392_ (.CLK(clknet_leaf_95_usb_clk),
     .D(_01990_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[7][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21433_ (.CLK(clknet_leaf_97_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21393_ (.CLK(clknet_leaf_94_usb_clk),
     .D(_01991_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[7][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21434_ (.CLK(clknet_leaf_91_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21394_ (.CLK(clknet_leaf_94_usb_clk),
     .D(_01992_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[7][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21435_ (.CLK(clknet_leaf_91_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21395_ (.CLK(clknet_leaf_94_usb_clk),
     .D(_01993_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[7][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21436_ (.CLK(clknet_leaf_118_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21396_ (.CLK(clknet_leaf_128_usb_clk),
     .D(_01994_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[6][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21437_ (.CLK(clknet_leaf_118_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21397_ (.CLK(clknet_leaf_103_usb_clk),
     .D(_01995_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[6][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21438_ (.CLK(clknet_leaf_112_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21398_ (.CLK(clknet_leaf_128_usb_clk),
     .D(_01996_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[6][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21439_ (.CLK(clknet_leaf_110_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21399_ (.CLK(clknet_leaf_97_usb_clk),
     .D(_01997_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[6][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21440_ (.CLK(clknet_leaf_110_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21400_ (.CLK(clknet_leaf_98_usb_clk),
     .D(_01998_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[6][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21441_ (.CLK(clknet_leaf_71_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21401_ (.CLK(clknet_leaf_88_usb_clk),
     .D(_01999_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[6][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21442_ (.CLK(clknet_leaf_72_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21402_ (.CLK(clknet_leaf_90_usb_clk),
     .D(_02000_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[6][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21443_ (.CLK(clknet_leaf_78_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21403_ (.CLK(clknet_leaf_90_usb_clk),
     .D(_02001_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[6][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21444_ (.CLK(clknet_leaf_124_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21404_ (.CLK(clknet_leaf_128_usb_clk),
     .D(_02002_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[5][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21445_ (.CLK(clknet_leaf_118_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21405_ (.CLK(clknet_leaf_128_usb_clk),
     .D(_02003_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[5][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21446_ (.CLK(clknet_leaf_123_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21406_ (.CLK(clknet_leaf_128_usb_clk),
     .D(_02004_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[5][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21447_ (.CLK(clknet_leaf_111_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21407_ (.CLK(clknet_leaf_97_usb_clk),
     .D(_02005_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[5][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21448_ (.CLK(clknet_leaf_109_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21408_ (.CLK(clknet_leaf_98_usb_clk),
     .D(_02006_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[5][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21449_ (.CLK(clknet_leaf_76_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21409_ (.CLK(clknet_leaf_89_usb_clk),
     .D(_02007_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[5][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21450_ (.CLK(clknet_leaf_76_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21410_ (.CLK(clknet_leaf_88_usb_clk),
     .D(_02008_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[5][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21451_ (.CLK(clknet_leaf_77_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21411_ (.CLK(clknet_leaf_90_usb_clk),
     .D(_02009_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[5][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21452_ (.CLK(clknet_leaf_119_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21412_ (.CLK(clknet_leaf_101_usb_clk),
     .D(_02010_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[4][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21453_ (.CLK(clknet_leaf_119_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21413_ (.CLK(clknet_leaf_100_usb_clk),
     .D(_02011_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[4][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21454_ (.CLK(clknet_leaf_119_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21414_ (.CLK(clknet_leaf_101_usb_clk),
     .D(_02012_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[4][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21455_ (.CLK(clknet_leaf_111_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21415_ (.CLK(clknet_leaf_99_usb_clk),
     .D(_02013_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[4][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21456_ (.CLK(clknet_leaf_109_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21416_ (.CLK(clknet_leaf_98_usb_clk),
     .D(_02014_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[4][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21457_ (.CLK(clknet_leaf_72_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21417_ (.CLK(clknet_leaf_90_usb_clk),
     .D(_02015_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[4][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21458_ (.CLK(clknet_leaf_79_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21418_ (.CLK(clknet_leaf_90_usb_clk),
     .D(_02016_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[4][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21459_ (.CLK(clknet_leaf_79_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21419_ (.CLK(clknet_leaf_90_usb_clk),
     .D(_02017_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[4][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21460_ (.CLK(clknet_leaf_125_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21420_ (.CLK(clknet_leaf_126_usb_clk),
     .D(_02018_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[3][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21461_ (.CLK(clknet_leaf_123_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21421_ (.CLK(clknet_leaf_103_usb_clk),
     .D(_02019_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[3][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21462_ (.CLK(clknet_leaf_125_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21422_ (.CLK(clknet_leaf_126_usb_clk),
     .D(_02020_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[3][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21463_ (.CLK(clknet_leaf_103_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21423_ (.CLK(clknet_leaf_100_usb_clk),
     .D(_02021_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[3][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21464_ (.CLK(clknet_leaf_96_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21424_ (.CLK(clknet_leaf_98_usb_clk),
     .D(_02022_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[3][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21465_ (.CLK(clknet_leaf_97_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21425_ (.CLK(clknet_leaf_93_usb_clk),
     .D(_02023_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[3][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21466_ (.CLK(clknet_leaf_91_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21426_ (.CLK(clknet_leaf_90_usb_clk),
     .D(_02024_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[3][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21467_ (.CLK(clknet_leaf_91_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21427_ (.CLK(clknet_leaf_90_usb_clk),
     .D(_02025_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[3][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21468_ (.CLK(clknet_leaf_112_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21428_ (.CLK(clknet_leaf_102_usb_clk),
     .D(_02026_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[2][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21469_ (.CLK(clknet_leaf_112_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21429_ (.CLK(clknet_leaf_100_usb_clk),
     .D(_02027_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[2][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21470_ (.CLK(clknet_leaf_112_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21430_ (.CLK(clknet_leaf_129_usb_clk),
     .D(_02028_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[2][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21471_ (.CLK(clknet_leaf_110_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21431_ (.CLK(clknet_leaf_99_usb_clk),
     .D(_02029_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[2][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21472_ (.CLK(clknet_leaf_109_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21432_ (.CLK(clknet_leaf_98_usb_clk),
     .D(_02030_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[2][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21473_ (.CLK(clknet_leaf_71_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21433_ (.CLK(clknet_leaf_90_usb_clk),
     .D(_02031_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[2][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21474_ (.CLK(clknet_leaf_78_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21434_ (.CLK(clknet_leaf_90_usb_clk),
     .D(_02032_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[2][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21475_ (.CLK(clknet_leaf_78_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21435_ (.CLK(clknet_leaf_90_usb_clk),
     .D(_02033_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[2][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21476_ (.CLK(clknet_leaf_112_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21436_ (.CLK(clknet_leaf_128_usb_clk),
     .D(_02034_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[1][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21477_ (.CLK(clknet_leaf_117_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21437_ (.CLK(clknet_leaf_100_usb_clk),
     .D(_02035_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[1][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21478_ (.CLK(clknet_leaf_117_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21438_ (.CLK(clknet_leaf_101_usb_clk),
     .D(_02036_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[1][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21479_ (.CLK(clknet_leaf_111_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21439_ (.CLK(clknet_leaf_99_usb_clk),
     .D(_02037_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[1][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21480_ (.CLK(clknet_leaf_71_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21440_ (.CLK(clknet_leaf_98_usb_clk),
     .D(_02038_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[1][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21481_ (.CLK(clknet_leaf_78_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21441_ (.CLK(clknet_leaf_92_usb_clk),
     .D(_02039_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[1][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21482_ (.CLK(clknet_leaf_78_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21442_ (.CLK(clknet_leaf_91_usb_clk),
     .D(_02040_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[1][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21483_ (.CLK(clknet_leaf_79_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21443_ (.CLK(clknet_leaf_91_usb_clk),
     .D(_02041_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[1][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21484_ (.CLK(clknet_leaf_116_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21444_ (.CLK(clknet_leaf_115_usb_clk),
     .D(_02042_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[0][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21485_ (.CLK(clknet_leaf_115_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21445_ (.CLK(clknet_leaf_114_usb_clk),
     .D(_02043_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[0][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21486_ (.CLK(clknet_leaf_116_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21446_ (.CLK(clknet_leaf_115_usb_clk),
     .D(_02044_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[0][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21487_ (.CLK(clknet_leaf_113_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21447_ (.CLK(clknet_leaf_69_usb_clk),
     .D(_02045_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[0][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21488_ (.CLK(clknet_leaf_113_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21448_ (.CLK(clknet_leaf_71_usb_clk),
     .D(_02046_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[0][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21489_ (.CLK(clknet_leaf_69_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21449_ (.CLK(clknet_leaf_75_usb_clk),
     .D(_02047_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[0][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21490_ (.CLK(clknet_leaf_69_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21450_ (.CLK(clknet_leaf_75_usb_clk),
     .D(_02048_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[0][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21491_ (.CLK(clknet_leaf_69_usb_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21451_ (.CLK(clknet_leaf_75_usb_clk),
     .D(_02049_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.ram[0][7] ));
- sky130_fd_sc_hd__dfrtp_1 _21492_ (.CLK(clknet_leaf_68_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21452_ (.CLK(clknet_leaf_59_usb_clk),
     .D(_02050_),
-    .RESET_B(net106),
+    .RESET_B(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.count[0] ));
- sky130_fd_sc_hd__dfrtp_1 _21493_ (.CLK(clknet_leaf_67_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21453_ (.CLK(clknet_leaf_58_usb_clk),
     .D(_02051_),
-    .RESET_B(net106),
+    .RESET_B(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.count[1] ));
- sky130_fd_sc_hd__dfrtp_1 _21494_ (.CLK(clknet_leaf_67_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21454_ (.CLK(clknet_leaf_58_usb_clk),
     .D(_02052_),
-    .RESET_B(net106),
+    .RESET_B(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.count[2] ));
- sky130_fd_sc_hd__dfrtp_1 _21495_ (.CLK(clknet_leaf_68_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21455_ (.CLK(clknet_leaf_76_usb_clk),
     .D(_02053_),
-    .RESET_B(net106),
+    .RESET_B(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.count[3] ));
- sky130_fd_sc_hd__dfrtp_1 _21496_ (.CLK(clknet_leaf_61_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21456_ (.CLK(clknet_leaf_76_usb_clk),
     .D(_02054_),
-    .RESET_B(net106),
+    .RESET_B(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.count[4] ));
- sky130_fd_sc_hd__dfrtp_1 _21497_ (.CLK(clknet_leaf_62_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21457_ (.CLK(clknet_leaf_76_usb_clk),
     .D(_02055_),
-    .RESET_B(net106),
+    .RESET_B(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.count[5] ));
- sky130_fd_sc_hd__dfrtp_1 _21498_ (.CLK(clknet_leaf_62_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21458_ (.CLK(clknet_leaf_70_usb_clk),
     .D(_02056_),
-    .RESET_B(net106),
+    .RESET_B(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.count[6] ));
- sky130_fd_sc_hd__dfrtp_1 _21499_ (.CLK(clknet_leaf_75_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21459_ (.CLK(clknet_leaf_77_usb_clk),
     .D(_02057_),
-    .RESET_B(net106),
+    .RESET_B(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.rd_ptr[0] ));
- sky130_fd_sc_hd__dfrtp_1 _21500_ (.CLK(clknet_leaf_75_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21460_ (.CLK(clknet_leaf_81_usb_clk),
     .D(_02058_),
-    .RESET_B(net106),
+    .RESET_B(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.rd_ptr[1] ));
- sky130_fd_sc_hd__dfrtp_1 _21501_ (.CLK(clknet_leaf_75_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21461_ (.CLK(clknet_leaf_81_usb_clk),
     .D(_02059_),
-    .RESET_B(net106),
+    .RESET_B(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.rd_ptr[2] ));
- sky130_fd_sc_hd__dfrtp_1 _21502_ (.CLK(clknet_leaf_77_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21462_ (.CLK(clknet_leaf_77_usb_clk),
     .D(_02060_),
-    .RESET_B(net106),
+    .RESET_B(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.rd_ptr[3] ));
- sky130_fd_sc_hd__dfrtp_1 _21503_ (.CLK(clknet_leaf_78_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21463_ (.CLK(clknet_leaf_77_usb_clk),
     .D(_02061_),
-    .RESET_B(net106),
+    .RESET_B(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.rd_ptr[4] ));
- sky130_fd_sc_hd__dfrtp_1 _21504_ (.CLK(clknet_leaf_78_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21464_ (.CLK(clknet_leaf_77_usb_clk),
     .D(_02062_),
-    .RESET_B(net106),
+    .RESET_B(net107),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.rd_ptr[5] ));
- sky130_fd_sc_hd__dfrtp_1 _21505_ (.CLK(clknet_leaf_70_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21465_ (.CLK(clknet_leaf_71_usb_clk),
     .D(_02063_),
     .RESET_B(net107),
     .VGND(vssd1),
@@ -249506,7 +248713,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.wr_ptr[0] ));
- sky130_fd_sc_hd__dfrtp_1 _21506_ (.CLK(clknet_leaf_70_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21466_ (.CLK(clknet_leaf_71_usb_clk),
     .D(_02064_),
     .RESET_B(net107),
     .VGND(vssd1),
@@ -249514,7 +248721,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.wr_ptr[1] ));
- sky130_fd_sc_hd__dfrtp_1 _21507_ (.CLK(clknet_leaf_70_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21467_ (.CLK(clknet_leaf_72_usb_clk),
     .D(_02065_),
     .RESET_B(net107),
     .VGND(vssd1),
@@ -249522,7 +248729,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.wr_ptr[2] ));
- sky130_fd_sc_hd__dfrtp_1 _21508_ (.CLK(clknet_leaf_110_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21468_ (.CLK(clknet_leaf_71_usb_clk),
     .D(_02066_),
     .RESET_B(net107),
     .VGND(vssd1),
@@ -249530,7 +248737,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.wr_ptr[3] ));
- sky130_fd_sc_hd__dfrtp_1 _21509_ (.CLK(clknet_leaf_66_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21469_ (.CLK(clknet_leaf_71_usb_clk),
     .D(_02067_),
     .RESET_B(net107),
     .VGND(vssd1),
@@ -249538,7 +248745,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.wr_ptr[4] ));
- sky130_fd_sc_hd__dfrtp_1 _21510_ (.CLK(clknet_leaf_66_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21470_ (.CLK(clknet_leaf_71_usb_clk),
     .D(_02068_),
     .RESET_B(net107),
     .VGND(vssd1),
@@ -249546,15 +248753,15 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.u_fifo_rx.wr_ptr[5] ));
- sky130_fd_sc_hd__dfrtp_1 _21511_ (.CLK(clknet_leaf_156_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21471_ (.CLK(clknet_leaf_145_usb_clk),
     .D(_02069_),
-    .RESET_B(net110),
+    .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_core.cfg_wr ));
- sky130_fd_sc_hd__dfrtp_1 _21512_ (.CLK(clknet_leaf_5_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21472_ (.CLK(clknet_leaf_5_app_clk),
     .D(_02070_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -249562,7 +248769,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.cfg_dataout[16] ));
- sky130_fd_sc_hd__dfrtp_1 _21513_ (.CLK(clknet_leaf_5_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21473_ (.CLK(clknet_leaf_4_app_clk),
     .D(_02071_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -249570,7 +248777,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.cfg_dataout[17] ));
- sky130_fd_sc_hd__dfrtp_1 _21514_ (.CLK(clknet_leaf_5_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21474_ (.CLK(clknet_leaf_4_app_clk),
     .D(_02072_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -249578,7 +248785,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.cfg_dataout[18] ));
- sky130_fd_sc_hd__dfrtp_1 _21515_ (.CLK(clknet_leaf_6_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21475_ (.CLK(clknet_leaf_4_app_clk),
     .D(_02073_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -249586,7 +248793,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.cfg_dataout[19] ));
- sky130_fd_sc_hd__dfrtp_1 _21516_ (.CLK(clknet_leaf_6_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21476_ (.CLK(clknet_leaf_20_app_clk),
     .D(_02074_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -249594,7 +248801,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.cfg_dataout[20] ));
- sky130_fd_sc_hd__dfrtp_1 _21517_ (.CLK(clknet_leaf_6_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21477_ (.CLK(clknet_leaf_20_app_clk),
     .D(_02075_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -249602,7 +248809,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.cfg_dataout[21] ));
- sky130_fd_sc_hd__dfrtp_1 _21518_ (.CLK(clknet_leaf_6_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21478_ (.CLK(clknet_leaf_5_app_clk),
     .D(_02076_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -249610,7 +248817,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.cfg_dataout[22] ));
- sky130_fd_sc_hd__dfrtp_1 _21519_ (.CLK(clknet_leaf_6_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21479_ (.CLK(clknet_leaf_19_app_clk),
     .D(_02077_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -249618,71 +248825,71 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.cfg_dataout[23] ));
- sky130_fd_sc_hd__dfrtp_1 _21520_ (.CLK(clknet_leaf_155_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21480_ (.CLK(clknet_leaf_145_usb_clk),
     .D(\u_usb_host.reg_ack ),
-    .RESET_B(net110),
+    .RESET_B(net109),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.wbs_ack_f ));
- sky130_fd_sc_hd__dfrtp_1 _21521_ (.CLK(clknet_leaf_23_app_clk),
-    .D(net362),
-    .RESET_B(net110),
+ sky130_fd_sc_hd__dfrtp_1 _21481_ (.CLK(clknet_leaf_72_app_clk),
+    .D(net363),
+    .RESET_B(net56),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.sync_rd_ptr_1[0] ));
- sky130_fd_sc_hd__dfrtp_1 _21522_ (.CLK(clknet_leaf_23_app_clk),
-    .D(net365),
-    .RESET_B(net110),
+ sky130_fd_sc_hd__dfrtp_1 _21482_ (.CLK(clknet_leaf_72_app_clk),
+    .D(net361),
+    .RESET_B(net56),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.sync_rd_ptr_1[1] ));
- sky130_fd_sc_hd__dfrtp_1 _21523_ (.CLK(clknet_leaf_23_app_clk),
-    .D(net358),
-    .RESET_B(net110),
+ sky130_fd_sc_hd__dfrtp_1 _21483_ (.CLK(clknet_leaf_71_app_clk),
+    .D(net327),
+    .RESET_B(net56),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.sync_rd_ptr[2] ));
- sky130_fd_sc_hd__dfrtp_1 _21524_ (.CLK(clknet_leaf_23_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21484_ (.CLK(clknet_leaf_72_app_clk),
     .D(\u_usb_host.u_async_wb.u_cmd_if.grey_rd_ptr[0] ),
-    .RESET_B(net110),
+    .RESET_B(net56),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.sync_rd_ptr_0[0] ));
- sky130_fd_sc_hd__dfrtp_1 _21525_ (.CLK(clknet_leaf_23_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21485_ (.CLK(clknet_leaf_72_app_clk),
     .D(\u_usb_host.u_async_wb.u_cmd_if.grey_rd_ptr[1] ),
-    .RESET_B(net110),
+    .RESET_B(net56),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.sync_rd_ptr_0[1] ));
- sky130_fd_sc_hd__dfrtp_1 _21526_ (.CLK(clknet_leaf_23_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21486_ (.CLK(clknet_leaf_70_app_clk),
     .D(\u_usb_host.u_async_wb.u_cmd_if.grey_rd_ptr[2] ),
-    .RESET_B(net110),
+    .RESET_B(net56),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.sync_rd_ptr_0[2] ));
- sky130_fd_sc_hd__dfrtp_1 _21527_ (.CLK(clknet_leaf_155_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21487_ (.CLK(clknet_leaf_149_usb_clk),
     .D(_02078_),
-    .RESET_B(net110),
+    .RESET_B(net56),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.grey_rd_ptr[0] ));
- sky130_fd_sc_hd__dfrtp_1 _21528_ (.CLK(clknet_leaf_155_usb_clk),
+ sky130_fd_sc_hd__dfrtp_2 _21488_ (.CLK(clknet_leaf_149_usb_clk),
     .D(_02079_),
     .RESET_B(net110),
     .VGND(vssd1),
@@ -249690,79 +248897,79 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.grey_rd_ptr[1] ));
- sky130_fd_sc_hd__dfrtp_1 _21529_ (.CLK(clknet_leaf_13_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21489_ (.CLK(clknet_leaf_10_app_clk),
     .D(_02080_),
-    .RESET_B(net110),
+    .RESET_B(net56),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.PendingRd ));
- sky130_fd_sc_hd__dfrtp_1 _21530_ (.CLK(clknet_leaf_153_usb_clk),
-    .D(net338),
-    .RESET_B(net110),
+ sky130_fd_sc_hd__dfrtp_1 _21490_ (.CLK(clknet_leaf_148_usb_clk),
+    .D(net351),
+    .RESET_B(net56),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.sync_wr_ptr_1[0] ));
- sky130_fd_sc_hd__dfrtp_1 _21531_ (.CLK(clknet_leaf_153_usb_clk),
-    .D(net355),
-    .RESET_B(net110),
+ sky130_fd_sc_hd__dfrtp_1 _21491_ (.CLK(clknet_leaf_148_usb_clk),
+    .D(\u_usb_host.u_async_wb.u_cmd_if.sync_wr_ptr_0[1] ),
+    .RESET_B(net56),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.sync_wr_ptr_1[1] ));
- sky130_fd_sc_hd__dfrtp_1 _21532_ (.CLK(clknet_leaf_153_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21492_ (.CLK(clknet_leaf_148_usb_clk),
     .D(net352),
-    .RESET_B(net110),
+    .RESET_B(net56),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.sync_wr_ptr[2] ));
- sky130_fd_sc_hd__dfrtp_1 _21533_ (.CLK(clknet_leaf_153_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21493_ (.CLK(clknet_leaf_148_usb_clk),
     .D(\u_usb_host.u_async_wb.u_cmd_if.grey_wr_ptr[0] ),
-    .RESET_B(net110),
+    .RESET_B(net56),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.sync_wr_ptr_0[0] ));
- sky130_fd_sc_hd__dfrtp_1 _21534_ (.CLK(clknet_leaf_153_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21494_ (.CLK(clknet_leaf_148_usb_clk),
     .D(\u_usb_host.u_async_wb.u_cmd_if.grey_wr_ptr[1] ),
-    .RESET_B(net110),
+    .RESET_B(net56),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.sync_wr_ptr_0[1] ));
- sky130_fd_sc_hd__dfrtp_1 _21535_ (.CLK(clknet_leaf_153_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21495_ (.CLK(clknet_leaf_148_usb_clk),
     .D(\u_usb_host.u_async_wb.u_cmd_if.grey_wr_ptr[2] ),
-    .RESET_B(net110),
+    .RESET_B(net56),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.sync_wr_ptr_0[2] ));
- sky130_fd_sc_hd__dfrtp_1 _21536_ (.CLK(clknet_leaf_13_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21496_ (.CLK(clknet_leaf_72_app_clk),
     .D(_02081_),
-    .RESET_B(net110),
+    .RESET_B(net56),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.wr_ptr[0] ));
- sky130_fd_sc_hd__dfrtp_2 _21537_ (.CLK(clknet_leaf_69_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21497_ (.CLK(clknet_leaf_72_app_clk),
     .D(_02082_),
-    .RESET_B(net110),
+    .RESET_B(net56),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.wr_ptr[1] ));
- sky130_fd_sc_hd__dfrtp_4 _21538_ (.CLK(clknet_leaf_155_usb_clk),
+ sky130_fd_sc_hd__dfrtp_2 _21498_ (.CLK(clknet_leaf_145_usb_clk),
     .D(_02083_),
     .RESET_B(net110),
     .VGND(vssd1),
@@ -249770,7 +248977,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[0] ));
- sky130_fd_sc_hd__dfrtp_4 _21539_ (.CLK(clknet_leaf_155_usb_clk),
+ sky130_fd_sc_hd__dfrtp_4 _21499_ (.CLK(clknet_leaf_145_usb_clk),
     .D(_02084_),
     .RESET_B(net110),
     .VGND(vssd1),
@@ -249778,7 +248985,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.rd_ptr[1] ));
- sky130_fd_sc_hd__dfrtp_2 _21540_ (.CLK(clknet_leaf_155_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21500_ (.CLK(clknet_leaf_145_usb_clk),
     .D(_02085_),
     .RESET_B(net110),
     .VGND(vssd1),
@@ -249786,367 +248993,367 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.grey_rd_ptr[2] ));
- sky130_fd_sc_hd__dfxtp_1 _21541_ (.CLK(clknet_leaf_75_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21501_ (.CLK(clknet_leaf_75_app_clk),
     .D(_02086_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[2][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21542_ (.CLK(clknet_leaf_75_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21502_ (.CLK(clknet_leaf_75_app_clk),
     .D(_02087_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[2][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21543_ (.CLK(clknet_leaf_75_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21503_ (.CLK(clknet_leaf_75_app_clk),
     .D(_02088_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[2][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21544_ (.CLK(clknet_leaf_75_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21504_ (.CLK(clknet_leaf_76_app_clk),
     .D(_02089_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[2][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21545_ (.CLK(clknet_leaf_74_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21505_ (.CLK(clknet_leaf_77_app_clk),
     .D(_02090_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[2][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21546_ (.CLK(clknet_leaf_75_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21506_ (.CLK(clknet_leaf_77_app_clk),
     .D(_02091_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[2][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21547_ (.CLK(clknet_leaf_75_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21507_ (.CLK(clknet_leaf_77_app_clk),
     .D(_02092_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[2][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21548_ (.CLK(clknet_leaf_74_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21508_ (.CLK(clknet_leaf_76_app_clk),
     .D(_02093_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_txfifo.mem[2][7] ));
- sky130_fd_sc_hd__dfrtp_1 _21549_ (.CLK(clknet_leaf_162_usb_clk),
-    .D(net369),
-    .RESET_B(net56),
+ sky130_fd_sc_hd__dfrtp_1 _21509_ (.CLK(clknet_leaf_6_usb_clk),
+    .D(net364),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.sync_rd_ptr_1[0] ));
- sky130_fd_sc_hd__dfrtp_1 _21550_ (.CLK(clknet_leaf_162_usb_clk),
-    .D(net340),
-    .RESET_B(net56),
+ sky130_fd_sc_hd__dfrtp_1 _21510_ (.CLK(clknet_leaf_6_usb_clk),
+    .D(net386),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.sync_rd_ptr_1[1] ));
- sky130_fd_sc_hd__dfrtp_1 _21551_ (.CLK(clknet_leaf_162_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21511_ (.CLK(clknet_leaf_6_usb_clk),
     .D(\u_usb_host.u_async_wb.u_resp_if.grey_rd_ptr[0] ),
-    .RESET_B(net56),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.sync_rd_ptr_0[0] ));
- sky130_fd_sc_hd__dfrtp_1 _21552_ (.CLK(clknet_leaf_162_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21512_ (.CLK(clknet_leaf_6_usb_clk),
     .D(\u_usb_host.u_async_wb.u_resp_if.grey_rd_ptr[1] ),
-    .RESET_B(net56),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.sync_rd_ptr_0[1] ));
- sky130_fd_sc_hd__dfrtp_1 _21553_ (.CLK(clknet_leaf_21_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21513_ (.CLK(clknet_leaf_22_app_clk),
     .D(_02094_),
-    .RESET_B(net56),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.grey_rd_ptr[0] ));
- sky130_fd_sc_hd__dfrtp_1 _21554_ (.CLK(clknet_leaf_21_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21514_ (.CLK(clknet_leaf_22_app_clk),
     .D(_02095_),
-    .RESET_B(net56),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.grey_rd_ptr[1] ));
- sky130_fd_sc_hd__dfrtp_1 _21555_ (.CLK(clknet_leaf_23_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21515_ (.CLK(clknet_leaf_73_app_clk),
     .D(_02096_),
-    .RESET_B(net110),
+    .RESET_B(net56),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.grey_wr_ptr[0] ));
- sky130_fd_sc_hd__dfrtp_1 _21556_ (.CLK(clknet_leaf_23_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21516_ (.CLK(clknet_leaf_72_app_clk),
     .D(_02097_),
-    .RESET_B(net110),
+    .RESET_B(net56),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.grey_wr_ptr[1] ));
- sky130_fd_sc_hd__dfrtp_1 _21557_ (.CLK(clknet_leaf_23_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21517_ (.CLK(clknet_leaf_72_app_clk),
     .D(_02098_),
-    .RESET_B(net110),
+    .RESET_B(net56),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_cmd_if.grey_wr_ptr[2] ));
- sky130_fd_sc_hd__dfrtp_1 _21558_ (.CLK(clknet_leaf_22_app_clk),
-    .D(net341),
-    .RESET_B(net56),
+ sky130_fd_sc_hd__dfrtp_1 _21518_ (.CLK(clknet_leaf_22_app_clk),
+    .D(net358),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.sync_wr_ptr_1[0] ));
- sky130_fd_sc_hd__dfrtp_1 _21559_ (.CLK(clknet_leaf_17_app_clk),
-    .D(net371),
-    .RESET_B(net56),
+ sky130_fd_sc_hd__dfrtp_1 _21519_ (.CLK(clknet_leaf_22_app_clk),
+    .D(net355),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.sync_wr_ptr_1[1] ));
- sky130_fd_sc_hd__dfrtp_1 _21560_ (.CLK(clknet_leaf_22_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21520_ (.CLK(clknet_leaf_22_app_clk),
     .D(\u_usb_host.u_async_wb.u_resp_if.grey_wr_ptr[0] ),
-    .RESET_B(net56),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.sync_wr_ptr_0[0] ));
- sky130_fd_sc_hd__dfrtp_1 _21561_ (.CLK(clknet_leaf_17_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21521_ (.CLK(clknet_leaf_22_app_clk),
     .D(\u_usb_host.u_async_wb.u_resp_if.grey_wr_ptr[1] ),
-    .RESET_B(net56),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.sync_wr_ptr_0[1] ));
- sky130_fd_sc_hd__dfrtp_2 _21562_ (.CLK(clknet_leaf_160_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21522_ (.CLK(clknet_leaf_1_usb_clk),
     .D(_02099_),
-    .RESET_B(net56),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.wr_ptr[0] ));
- sky130_fd_sc_hd__dfrtp_1 _21563_ (.CLK(clknet_leaf_160_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21523_ (.CLK(clknet_leaf_4_usb_clk),
     .D(_02100_),
-    .RESET_B(net56),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.wr_ptr[1] ));
- sky130_fd_sc_hd__dfrtp_1 _21564_ (.CLK(clknet_leaf_17_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21524_ (.CLK(clknet_leaf_22_app_clk),
     .D(_02101_),
-    .RESET_B(net56),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ));
- sky130_fd_sc_hd__dfrtp_1 _21565_ (.CLK(clknet_leaf_21_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21525_ (.CLK(clknet_leaf_22_app_clk),
     .D(_02102_),
-    .RESET_B(net56),
+    .RESET_B(net106),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[1] ));
- sky130_fd_sc_hd__dfxtp_1 _21566_ (.CLK(\clknet_leaf_5_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _21526_ (.CLK(\clknet_leaf_3_u_uart_core.line_clk_16x ),
     .D(_02103_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[2][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21567_ (.CLK(\clknet_leaf_3_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _21527_ (.CLK(\clknet_leaf_1_u_uart_core.line_clk_16x ),
     .D(_02104_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[2][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21568_ (.CLK(\clknet_leaf_3_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _21528_ (.CLK(\clknet_leaf_0_u_uart_core.line_clk_16x ),
     .D(_02105_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[2][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21569_ (.CLK(\clknet_leaf_7_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _21529_ (.CLK(\clknet_leaf_10_u_uart_core.line_clk_16x ),
     .D(_02106_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[2][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21570_ (.CLK(\clknet_leaf_8_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _21530_ (.CLK(\clknet_leaf_14_u_uart_core.line_clk_16x ),
     .D(_02107_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[2][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21571_ (.CLK(\clknet_leaf_16_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _21531_ (.CLK(\clknet_leaf_14_u_uart_core.line_clk_16x ),
     .D(_02108_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[2][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21572_ (.CLK(\clknet_leaf_16_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _21532_ (.CLK(\clknet_leaf_15_u_uart_core.line_clk_16x ),
     .D(_02109_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[2][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21573_ (.CLK(\clknet_leaf_15_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _21533_ (.CLK(\clknet_leaf_12_u_uart_core.line_clk_16x ),
     .D(_02110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[2][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21574_ (.CLK(\clknet_leaf_4_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _21534_ (.CLK(\clknet_leaf_4_u_uart_core.line_clk_16x ),
     .D(_02111_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[0][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21575_ (.CLK(\clknet_leaf_4_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _21535_ (.CLK(\clknet_leaf_2_u_uart_core.line_clk_16x ),
     .D(_02112_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[0][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21576_ (.CLK(\clknet_leaf_3_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _21536_ (.CLK(\clknet_leaf_0_u_uart_core.line_clk_16x ),
     .D(_02113_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[0][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21577_ (.CLK(\clknet_leaf_7_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _21537_ (.CLK(\clknet_leaf_9_u_uart_core.line_clk_16x ),
     .D(_02114_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[0][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21578_ (.CLK(\clknet_leaf_8_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _21538_ (.CLK(\clknet_leaf_6_u_uart_core.line_clk_16x ),
     .D(_02115_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[0][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21579_ (.CLK(\clknet_leaf_15_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _21539_ (.CLK(\clknet_leaf_4_u_uart_core.line_clk_16x ),
     .D(_02116_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[0][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21580_ (.CLK(\clknet_leaf_15_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _21540_ (.CLK(\clknet_leaf_5_u_uart_core.line_clk_16x ),
     .D(_02117_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[0][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21581_ (.CLK(\clknet_leaf_13_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _21541_ (.CLK(\clknet_leaf_5_u_uart_core.line_clk_16x ),
     .D(_02118_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[0][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21582_ (.CLK(\clknet_leaf_5_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _21542_ (.CLK(\clknet_leaf_3_u_uart_core.line_clk_16x ),
     .D(_02119_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[10][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21583_ (.CLK(\clknet_leaf_4_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _21543_ (.CLK(\clknet_leaf_3_u_uart_core.line_clk_16x ),
     .D(_02120_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[10][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21584_ (.CLK(\clknet_leaf_2_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _21544_ (.CLK(\clknet_leaf_2_u_uart_core.line_clk_16x ),
     .D(_02121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[10][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21585_ (.CLK(\clknet_leaf_7_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _21545_ (.CLK(\clknet_leaf_9_u_uart_core.line_clk_16x ),
     .D(_02122_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[10][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21586_ (.CLK(\clknet_leaf_9_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _21546_ (.CLK(\clknet_leaf_10_u_uart_core.line_clk_16x ),
     .D(_02123_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[10][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21587_ (.CLK(\clknet_leaf_17_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _21547_ (.CLK(\clknet_leaf_13_u_uart_core.line_clk_16x ),
     .D(_02124_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[10][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21588_ (.CLK(\clknet_leaf_17_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _21548_ (.CLK(\clknet_leaf_8_u_uart_core.line_clk_16x ),
     .D(_02125_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[10][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21589_ (.CLK(\clknet_leaf_17_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _21549_ (.CLK(\clknet_leaf_9_u_uart_core.line_clk_16x ),
     .D(_02126_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[10][7] ));
- sky130_fd_sc_hd__dfrtp_1 _21590_ (.CLK(clknet_leaf_29_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21550_ (.CLK(clknet_leaf_27_app_clk),
     .D(_02127_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -250154,7 +249361,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[0] ));
- sky130_fd_sc_hd__dfrtp_1 _21591_ (.CLK(clknet_leaf_29_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21551_ (.CLK(clknet_leaf_27_app_clk),
     .D(_02128_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -250162,7 +249369,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[1] ));
- sky130_fd_sc_hd__dfrtp_1 _21592_ (.CLK(clknet_leaf_29_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21552_ (.CLK(clknet_leaf_27_app_clk),
     .D(_02129_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -250170,7 +249377,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[2] ));
- sky130_fd_sc_hd__dfrtp_1 _21593_ (.CLK(clknet_leaf_28_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21553_ (.CLK(clknet_leaf_29_app_clk),
     .D(_02130_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -250178,7 +249385,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[3] ));
- sky130_fd_sc_hd__dfrtp_1 _21594_ (.CLK(clknet_leaf_28_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21554_ (.CLK(clknet_leaf_29_app_clk),
     .D(_02131_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -250186,7 +249393,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[4] ));
- sky130_fd_sc_hd__dfrtp_1 _21595_ (.CLK(clknet_leaf_28_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21555_ (.CLK(clknet_leaf_29_app_clk),
     .D(_02132_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -250194,7 +249401,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[5] ));
- sky130_fd_sc_hd__dfrtp_1 _21596_ (.CLK(clknet_leaf_28_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21556_ (.CLK(clknet_leaf_29_app_clk),
     .D(_02133_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -250202,7 +249409,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[6] ));
- sky130_fd_sc_hd__dfrtp_1 _21597_ (.CLK(clknet_leaf_28_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21557_ (.CLK(clknet_leaf_30_app_clk),
     .D(_02134_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -250210,7 +249417,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[7] ));
- sky130_fd_sc_hd__dfrtp_1 _21598_ (.CLK(clknet_leaf_28_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21558_ (.CLK(clknet_leaf_31_app_clk),
     .D(_02135_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -250218,7 +249425,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[8] ));
- sky130_fd_sc_hd__dfrtp_1 _21599_ (.CLK(clknet_leaf_28_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21559_ (.CLK(clknet_leaf_29_app_clk),
     .D(_02136_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -250226,7 +249433,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[9] ));
- sky130_fd_sc_hd__dfrtp_1 _21600_ (.CLK(clknet_leaf_28_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21560_ (.CLK(clknet_leaf_30_app_clk),
     .D(_02137_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -250234,7 +249441,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[10] ));
- sky130_fd_sc_hd__dfrtp_1 _21601_ (.CLK(clknet_leaf_26_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21561_ (.CLK(clknet_leaf_30_app_clk),
     .D(_02138_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -250242,7 +249449,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[11] ));
- sky130_fd_sc_hd__dfrtp_1 _21602_ (.CLK(clknet_leaf_26_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21562_ (.CLK(clknet_leaf_35_app_clk),
     .D(_02139_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -250250,7 +249457,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[12] ));
- sky130_fd_sc_hd__dfrtp_1 _21603_ (.CLK(clknet_leaf_26_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21563_ (.CLK(clknet_leaf_35_app_clk),
     .D(_02140_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -250258,7 +249465,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[13] ));
- sky130_fd_sc_hd__dfrtp_1 _21604_ (.CLK(clknet_leaf_34_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21564_ (.CLK(clknet_leaf_40_app_clk),
     .D(_02141_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -250266,7 +249473,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[14] ));
- sky130_fd_sc_hd__dfrtp_1 _21605_ (.CLK(clknet_leaf_34_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21565_ (.CLK(clknet_leaf_40_app_clk),
     .D(_02142_),
     .RESET_B(net1),
     .VGND(vssd1),
@@ -250274,7 +249481,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cnt[15] ));
- sky130_fd_sc_hd__dfrtp_1 _21606_ (.CLK(clknet_leaf_18_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21566_ (.CLK(clknet_leaf_10_app_clk),
     .D(_00069_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250282,7 +249489,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.reg_ack ));
- sky130_fd_sc_hd__dfrtp_1 _21607_ (.CLK(clknet_leaf_75_app_clk),
+ sky130_fd_sc_hd__dfrtp_2 _21567_ (.CLK(clknet_leaf_79_app_clk),
     .D(_02143_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250290,7 +249497,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[6].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _21608_ (.CLK(clknet_leaf_75_app_clk),
+ sky130_fd_sc_hd__dfrtp_2 _21568_ (.CLK(clknet_leaf_79_app_clk),
     .D(_02144_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250298,7 +249505,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[5].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _21609_ (.CLK(clknet_leaf_73_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21569_ (.CLK(clknet_leaf_80_app_clk),
     .D(_02145_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250306,7 +249513,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[4].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _21610_ (.CLK(clknet_leaf_73_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21570_ (.CLK(clknet_leaf_80_app_clk),
     .D(_02146_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250314,7 +249521,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[3].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _21611_ (.CLK(clknet_leaf_76_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21571_ (.CLK(clknet_leaf_81_app_clk),
     .D(_02147_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250322,7 +249529,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[2].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _21612_ (.CLK(clknet_leaf_76_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21572_ (.CLK(clknet_leaf_80_app_clk),
     .D(_02148_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250330,7 +249537,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[1].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _21613_ (.CLK(clknet_leaf_76_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21573_ (.CLK(clknet_leaf_80_app_clk),
     .D(_02149_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250338,7 +249545,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[0].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_2 _21614_ (.CLK(clknet_leaf_72_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21574_ (.CLK(clknet_leaf_17_app_clk),
     .D(_02150_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250346,7 +249553,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.reg_rdata[0] ));
- sky130_fd_sc_hd__dfrtp_2 _21615_ (.CLK(clknet_leaf_72_app_clk),
+ sky130_fd_sc_hd__dfrtp_2 _21575_ (.CLK(clknet_leaf_9_app_clk),
     .D(_02151_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250354,7 +249561,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.reg_rdata[1] ));
- sky130_fd_sc_hd__dfrtp_2 _21616_ (.CLK(clknet_leaf_72_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21576_ (.CLK(clknet_leaf_9_app_clk),
     .D(_02152_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250362,7 +249569,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.reg_rdata[2] ));
- sky130_fd_sc_hd__dfrtp_2 _21617_ (.CLK(clknet_leaf_72_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21577_ (.CLK(clknet_leaf_17_app_clk),
     .D(_02153_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250370,7 +249577,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.reg_rdata[3] ));
- sky130_fd_sc_hd__dfrtp_2 _21618_ (.CLK(clknet_leaf_72_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21578_ (.CLK(clknet_leaf_17_app_clk),
     .D(_02154_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250378,7 +249585,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.reg_rdata[4] ));
- sky130_fd_sc_hd__dfrtp_2 _21619_ (.CLK(clknet_leaf_72_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21579_ (.CLK(clknet_leaf_17_app_clk),
     .D(_02155_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250386,7 +249593,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.reg_rdata[5] ));
- sky130_fd_sc_hd__dfrtp_2 _21620_ (.CLK(clknet_leaf_72_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21580_ (.CLK(clknet_leaf_18_app_clk),
     .D(_02156_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250394,7 +249601,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.reg_rdata[6] ));
- sky130_fd_sc_hd__dfrtp_2 _21621_ (.CLK(clknet_leaf_72_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21581_ (.CLK(clknet_leaf_17_app_clk),
     .D(_02157_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250402,7 +249609,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.reg_rdata[7] ));
- sky130_fd_sc_hd__dfrtp_1 _21622_ (.CLK(clknet_leaf_21_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21582_ (.CLK(clknet_leaf_18_app_clk),
     .D(_02158_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250410,7 +249617,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.reg_rdata[8] ));
- sky130_fd_sc_hd__dfrtp_1 _21623_ (.CLK(clknet_leaf_19_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21583_ (.CLK(clknet_leaf_18_app_clk),
     .D(_02159_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250418,7 +249625,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.reg_rdata[9] ));
- sky130_fd_sc_hd__dfrtp_1 _21624_ (.CLK(clknet_leaf_21_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21584_ (.CLK(clknet_leaf_17_app_clk),
     .D(_02160_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250426,7 +249633,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.reg_rdata[10] ));
- sky130_fd_sc_hd__dfrtp_1 _21625_ (.CLK(clknet_leaf_21_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21585_ (.CLK(clknet_leaf_17_app_clk),
     .D(_02161_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250434,7 +249641,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.reg_rdata[11] ));
- sky130_fd_sc_hd__dfrtp_1 _21626_ (.CLK(clknet_leaf_21_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21586_ (.CLK(clknet_leaf_21_app_clk),
     .D(_02162_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250442,7 +249649,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.reg_rdata[12] ));
- sky130_fd_sc_hd__dfrtp_1 _21627_ (.CLK(clknet_leaf_21_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21587_ (.CLK(clknet_leaf_21_app_clk),
     .D(_02163_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250450,7 +249657,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.reg_rdata[13] ));
- sky130_fd_sc_hd__dfrtp_1 _21628_ (.CLK(clknet_leaf_21_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21588_ (.CLK(clknet_leaf_21_app_clk),
     .D(_02164_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250458,7 +249665,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.reg_rdata[14] ));
- sky130_fd_sc_hd__dfrtp_1 _21629_ (.CLK(clknet_leaf_21_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21589_ (.CLK(clknet_leaf_21_app_clk),
     .D(_02165_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250466,7 +249673,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.reg_rdata[15] ));
- sky130_fd_sc_hd__dfrtp_1 _21630_ (.CLK(clknet_leaf_20_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21590_ (.CLK(clknet_leaf_21_app_clk),
     .D(_02166_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250474,7 +249681,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.reg_rdata[16] ));
- sky130_fd_sc_hd__dfrtp_1 _21631_ (.CLK(clknet_leaf_6_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21591_ (.CLK(clknet_leaf_21_app_clk),
     .D(_02167_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250482,7 +249689,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.reg_rdata[17] ));
- sky130_fd_sc_hd__dfrtp_1 _21632_ (.CLK(clknet_leaf_20_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21592_ (.CLK(clknet_leaf_20_app_clk),
     .D(_02168_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250490,7 +249697,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.reg_rdata[18] ));
- sky130_fd_sc_hd__dfrtp_1 _21633_ (.CLK(clknet_leaf_6_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21593_ (.CLK(clknet_leaf_21_app_clk),
     .D(_02169_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250498,7 +249705,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.reg_rdata[19] ));
- sky130_fd_sc_hd__dfrtp_1 _21634_ (.CLK(clknet_leaf_19_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21594_ (.CLK(clknet_leaf_20_app_clk),
     .D(_02170_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250506,7 +249713,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.reg_rdata[20] ));
- sky130_fd_sc_hd__dfrtp_1 _21635_ (.CLK(clknet_leaf_19_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21595_ (.CLK(clknet_leaf_20_app_clk),
     .D(_02171_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250514,7 +249721,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.reg_rdata[21] ));
- sky130_fd_sc_hd__dfrtp_1 _21636_ (.CLK(clknet_leaf_6_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21596_ (.CLK(clknet_leaf_20_app_clk),
     .D(_02172_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250522,7 +249729,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.reg_rdata[22] ));
- sky130_fd_sc_hd__dfrtp_1 _21637_ (.CLK(clknet_leaf_6_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21597_ (.CLK(clknet_leaf_20_app_clk),
     .D(_02173_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250530,7 +249737,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.reg_rdata[23] ));
- sky130_fd_sc_hd__dfrtp_1 _21638_ (.CLK(clknet_leaf_19_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21598_ (.CLK(clknet_leaf_20_app_clk),
     .D(_02174_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250538,7 +249745,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.reg_rdata[24] ));
- sky130_fd_sc_hd__dfrtp_1 _21639_ (.CLK(clknet_leaf_19_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21599_ (.CLK(clknet_leaf_20_app_clk),
     .D(_02175_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250546,7 +249753,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.reg_rdata[25] ));
- sky130_fd_sc_hd__dfrtp_1 _21640_ (.CLK(clknet_leaf_19_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21600_ (.CLK(clknet_leaf_21_app_clk),
     .D(_02176_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250554,7 +249761,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.reg_rdata[26] ));
- sky130_fd_sc_hd__dfrtp_1 _21641_ (.CLK(clknet_leaf_19_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21601_ (.CLK(clknet_leaf_21_app_clk),
     .D(_02177_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250562,7 +249769,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.reg_rdata[27] ));
- sky130_fd_sc_hd__dfrtp_1 _21642_ (.CLK(clknet_leaf_18_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21602_ (.CLK(clknet_leaf_21_app_clk),
     .D(_02178_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250570,7 +249777,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.reg_rdata[28] ));
- sky130_fd_sc_hd__dfrtp_1 _21643_ (.CLK(clknet_leaf_18_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21603_ (.CLK(clknet_leaf_21_app_clk),
     .D(_02179_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250578,7 +249785,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.reg_rdata[29] ));
- sky130_fd_sc_hd__dfrtp_1 _21644_ (.CLK(clknet_leaf_17_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21604_ (.CLK(clknet_leaf_21_app_clk),
     .D(_02180_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250586,7 +249793,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.reg_rdata[30] ));
- sky130_fd_sc_hd__dfrtp_1 _21645_ (.CLK(clknet_leaf_18_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21605_ (.CLK(clknet_leaf_21_app_clk),
     .D(_02181_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250594,7 +249801,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.reg_rdata[31] ));
- sky130_fd_sc_hd__dfrtp_1 _21646_ (.CLK(clknet_leaf_0_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21606_ (.CLK(clknet_leaf_3_app_clk),
     .D(_02182_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250602,7 +249809,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[6].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_2 _21647_ (.CLK(clknet_leaf_5_app_clk),
+ sky130_fd_sc_hd__dfrtp_2 _21607_ (.CLK(clknet_leaf_5_app_clk),
     .D(_02183_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250610,7 +249817,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[5].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_2 _21648_ (.CLK(clknet_leaf_5_app_clk),
+ sky130_fd_sc_hd__dfrtp_2 _21608_ (.CLK(clknet_leaf_4_app_clk),
     .D(_02184_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250618,7 +249825,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[4].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_4 _21649_ (.CLK(clknet_leaf_5_app_clk),
+ sky130_fd_sc_hd__dfrtp_4 _21609_ (.CLK(clknet_leaf_5_app_clk),
     .D(_02185_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250626,7 +249833,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[3].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_2 _21650_ (.CLK(clknet_leaf_82_app_clk),
+ sky130_fd_sc_hd__dfrtp_4 _21610_ (.CLK(clknet_leaf_4_app_clk),
     .D(_02186_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250634,7 +249841,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[2].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_2 _21651_ (.CLK(clknet_leaf_1_app_clk),
+ sky130_fd_sc_hd__dfrtp_4 _21611_ (.CLK(clknet_leaf_5_app_clk),
     .D(_02187_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250642,7 +249849,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[1].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_2 _21652_ (.CLK(clknet_leaf_82_app_clk),
+ sky130_fd_sc_hd__dfrtp_4 _21612_ (.CLK(clknet_leaf_6_app_clk),
     .D(_02188_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250650,7 +249857,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[0].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _21653_ (.CLK(clknet_leaf_78_app_clk),
+ sky130_fd_sc_hd__dfrtp_2 _21613_ (.CLK(clknet_leaf_80_app_clk),
     .D(_02189_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250658,7 +249865,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_ctrl_be0.gen_bit_reg[7].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _21654_ (.CLK(clknet_leaf_1_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21614_ (.CLK(clknet_leaf_85_app_clk),
     .D(_02190_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250666,7 +249873,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[6].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _21655_ (.CLK(clknet_leaf_79_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21615_ (.CLK(clknet_leaf_85_app_clk),
     .D(_02191_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250674,7 +249881,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[5].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_2 _21656_ (.CLK(clknet_leaf_0_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21616_ (.CLK(clknet_leaf_85_app_clk),
     .D(_02192_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250682,7 +249889,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[4].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_2 _21657_ (.CLK(clknet_leaf_0_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21617_ (.CLK(clknet_leaf_84_app_clk),
     .D(_02193_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250690,7 +249897,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[3].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_4 _21658_ (.CLK(clknet_leaf_4_app_clk),
+ sky130_fd_sc_hd__dfrtp_2 _21618_ (.CLK(clknet_leaf_3_app_clk),
     .D(_02194_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250698,7 +249905,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[2].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _21659_ (.CLK(clknet_leaf_0_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21619_ (.CLK(clknet_leaf_3_app_clk),
     .D(_02195_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250706,7 +249913,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[1].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_2 _21660_ (.CLK(clknet_leaf_0_app_clk),
+ sky130_fd_sc_hd__dfrtp_2 _21620_ (.CLK(clknet_leaf_3_app_clk),
     .D(_02196_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250714,7 +249921,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[0].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _21661_ (.CLK(clknet_leaf_0_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21621_ (.CLK(clknet_leaf_2_app_clk),
     .D(_02197_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250722,7 +249929,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_ctrl_be1.gen_bit_reg[7].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _21662_ (.CLK(clknet_leaf_1_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21622_ (.CLK(clknet_leaf_2_app_clk),
     .D(_02198_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250730,7 +249937,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_ctrl_be3.gen_bit_reg[0].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_4 _21663_ (.CLK(clknet_leaf_4_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21623_ (.CLK(clknet_leaf_2_app_clk),
     .D(_02199_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250738,7 +249945,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_ctrl_be2.gen_bit_reg[7].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _21664_ (.CLK(clknet_leaf_1_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21624_ (.CLK(clknet_leaf_2_app_clk),
     .D(_02200_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250746,7 +249953,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.cfg_endian ));
- sky130_fd_sc_hd__dfrtp_2 _21665_ (.CLK(clknet_leaf_73_app_clk),
+ sky130_fd_sc_hd__dfrtp_2 _21625_ (.CLK(clknet_leaf_73_app_clk),
     .D(_02201_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250754,7 +249961,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[6].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_4 _21666_ (.CLK(clknet_leaf_73_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21626_ (.CLK(clknet_leaf_73_app_clk),
     .D(_02202_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250762,7 +249969,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[5].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_4 _21667_ (.CLK(clknet_leaf_73_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21627_ (.CLK(clknet_leaf_9_app_clk),
     .D(_02203_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250770,7 +249977,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[4].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_4 _21668_ (.CLK(clknet_leaf_73_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21628_ (.CLK(clknet_leaf_9_app_clk),
     .D(_02204_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250778,7 +249985,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[3].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_2 _21669_ (.CLK(clknet_leaf_73_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21629_ (.CLK(clknet_leaf_9_app_clk),
     .D(_02205_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250786,7 +249993,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[2].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_2 _21670_ (.CLK(clknet_leaf_73_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21630_ (.CLK(clknet_leaf_9_app_clk),
     .D(_02206_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250794,7 +250001,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[1].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_2 _21671_ (.CLK(clknet_leaf_73_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21631_ (.CLK(clknet_leaf_9_app_clk),
     .D(_02207_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250802,7 +250009,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[0].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_4 _21672_ (.CLK(clknet_leaf_79_app_clk),
+ sky130_fd_sc_hd__dfrtp_4 _21632_ (.CLK(clknet_leaf_2_app_clk),
     .D(_02208_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250810,7 +250017,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.cfg_op_req ));
- sky130_fd_sc_hd__dfrtp_1 _21673_ (.CLK(clknet_leaf_2_app_clk),
+ sky130_fd_sc_hd__dfrtp_2 _21633_ (.CLK(clknet_leaf_6_app_clk),
     .D(_02209_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250818,7 +250025,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[6].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _21674_ (.CLK(clknet_leaf_2_app_clk),
+ sky130_fd_sc_hd__dfrtp_2 _21634_ (.CLK(clknet_leaf_6_app_clk),
     .D(_02210_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250826,7 +250033,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[5].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _21675_ (.CLK(clknet_leaf_2_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21635_ (.CLK(clknet_leaf_6_app_clk),
     .D(_02211_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250834,7 +250041,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[4].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _21676_ (.CLK(clknet_leaf_9_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21636_ (.CLK(clknet_leaf_7_app_clk),
     .D(_02212_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250842,7 +250049,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[3].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _21677_ (.CLK(clknet_leaf_2_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21637_ (.CLK(clknet_leaf_7_app_clk),
     .D(_02213_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250850,7 +250057,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[2].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _21678_ (.CLK(clknet_leaf_1_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21638_ (.CLK(clknet_leaf_6_app_clk),
     .D(_02214_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250858,7 +250065,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[1].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _21679_ (.CLK(clknet_leaf_2_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21639_ (.CLK(clknet_leaf_7_app_clk),
     .D(_02215_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250866,7 +250073,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[0].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_2 _21680_ (.CLK(clknet_leaf_72_app_clk),
+ sky130_fd_sc_hd__dfrtp_4 _21640_ (.CLK(clknet_leaf_73_app_clk),
     .D(_02216_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250874,7 +250081,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_din_be0.gen_bit_reg[7].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_2 _21681_ (.CLK(clknet_leaf_4_app_clk),
+ sky130_fd_sc_hd__dfrtp_2 _21641_ (.CLK(clknet_leaf_2_app_clk),
     .D(_02217_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250882,7 +250089,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[6].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_2 _21682_ (.CLK(clknet_leaf_4_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21642_ (.CLK(clknet_leaf_2_app_clk),
     .D(_02218_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250890,7 +250097,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[5].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_2 _21683_ (.CLK(clknet_leaf_4_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21643_ (.CLK(clknet_leaf_2_app_clk),
     .D(_02219_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250898,7 +250105,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[4].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_2 _21684_ (.CLK(clknet_leaf_4_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21644_ (.CLK(clknet_leaf_2_app_clk),
     .D(_02220_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250906,7 +250113,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[3].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_2 _21685_ (.CLK(clknet_leaf_5_app_clk),
+ sky130_fd_sc_hd__dfrtp_2 _21645_ (.CLK(clknet_leaf_3_app_clk),
     .D(_02221_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250914,7 +250121,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[2].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_2 _21686_ (.CLK(clknet_leaf_0_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21646_ (.CLK(clknet_leaf_3_app_clk),
     .D(_02222_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250922,7 +250129,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[1].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_2 _21687_ (.CLK(clknet_leaf_3_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21647_ (.CLK(clknet_leaf_3_app_clk),
     .D(_02223_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250930,7 +250137,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[0].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _21688_ (.CLK(clknet_leaf_1_app_clk),
+ sky130_fd_sc_hd__dfrtp_2 _21648_ (.CLK(clknet_leaf_8_app_clk),
     .D(_02224_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250938,7 +250145,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_din_be1.gen_bit_reg[7].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_4 _21689_ (.CLK(clknet_leaf_5_app_clk),
+ sky130_fd_sc_hd__dfrtp_4 _21649_ (.CLK(clknet_leaf_5_app_clk),
     .D(_02225_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250946,7 +250153,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[6].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_2 _21690_ (.CLK(clknet_leaf_5_app_clk),
+ sky130_fd_sc_hd__dfrtp_4 _21650_ (.CLK(clknet_leaf_5_app_clk),
     .D(_02226_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250954,7 +250161,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[5].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_4 _21691_ (.CLK(clknet_leaf_5_app_clk),
+ sky130_fd_sc_hd__dfrtp_4 _21651_ (.CLK(clknet_leaf_20_app_clk),
     .D(_02227_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250962,7 +250169,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[4].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_4 _21692_ (.CLK(clknet_leaf_5_app_clk),
+ sky130_fd_sc_hd__dfrtp_4 _21652_ (.CLK(clknet_leaf_5_app_clk),
     .D(_02228_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250970,7 +250177,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[3].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_2 _21693_ (.CLK(clknet_leaf_5_app_clk),
+ sky130_fd_sc_hd__dfrtp_4 _21653_ (.CLK(clknet_leaf_3_app_clk),
     .D(_02229_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250978,7 +250185,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[2].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_2 _21694_ (.CLK(clknet_leaf_9_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21654_ (.CLK(clknet_leaf_2_app_clk),
     .D(_02230_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250986,7 +250193,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[1].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _21695_ (.CLK(clknet_leaf_9_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21655_ (.CLK(clknet_leaf_4_app_clk),
     .D(_02231_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -250994,7 +250201,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[0].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_2 _21696_ (.CLK(clknet_leaf_3_app_clk),
+ sky130_fd_sc_hd__dfrtp_2 _21656_ (.CLK(clknet_leaf_3_app_clk),
     .D(_02232_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -251002,7 +250209,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_din_be2.gen_bit_reg[7].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _21697_ (.CLK(clknet_leaf_80_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21657_ (.CLK(clknet_leaf_83_app_clk),
     .D(_00076_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -251010,175 +250217,175 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.sck_ne ));
- sky130_fd_sc_hd__dfxtp_1 _21698_ (.CLK(\clknet_leaf_7_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _21658_ (.CLK(\clknet_leaf_3_u_uart_core.line_clk_16x ),
     .D(_02233_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[6][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21699_ (.CLK(\clknet_leaf_3_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _21659_ (.CLK(\clknet_leaf_1_u_uart_core.line_clk_16x ),
     .D(_02234_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[6][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21700_ (.CLK(\clknet_leaf_3_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _21660_ (.CLK(\clknet_leaf_28_u_uart_core.line_clk_16x ),
     .D(_02235_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[6][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21701_ (.CLK(\clknet_leaf_7_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _21661_ (.CLK(\clknet_leaf_10_u_uart_core.line_clk_16x ),
     .D(_02236_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[6][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21702_ (.CLK(\clknet_leaf_8_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _21662_ (.CLK(\clknet_leaf_13_u_uart_core.line_clk_16x ),
     .D(_02237_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[6][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21703_ (.CLK(\clknet_leaf_16_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _21663_ (.CLK(\clknet_leaf_14_u_uart_core.line_clk_16x ),
     .D(_02238_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[6][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21704_ (.CLK(\clknet_leaf_16_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _21664_ (.CLK(\clknet_leaf_14_u_uart_core.line_clk_16x ),
     .D(_02239_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[6][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21705_ (.CLK(\clknet_leaf_16_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _21665_ (.CLK(\clknet_leaf_13_u_uart_core.line_clk_16x ),
     .D(_02240_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[6][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21706_ (.CLK(\clknet_leaf_4_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _21666_ (.CLK(\clknet_leaf_3_u_uart_core.line_clk_16x ),
     .D(_02241_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[3][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21707_ (.CLK(\clknet_leaf_3_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _21667_ (.CLK(\clknet_leaf_1_u_uart_core.line_clk_16x ),
     .D(_02242_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[3][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21708_ (.CLK(\clknet_leaf_3_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _21668_ (.CLK(\clknet_leaf_28_u_uart_core.line_clk_16x ),
     .D(_02243_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[3][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21709_ (.CLK(\clknet_leaf_7_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _21669_ (.CLK(\clknet_leaf_10_u_uart_core.line_clk_16x ),
     .D(_02244_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[3][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21710_ (.CLK(\clknet_leaf_7_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _21670_ (.CLK(\clknet_leaf_14_u_uart_core.line_clk_16x ),
     .D(_02245_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[3][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21711_ (.CLK(\clknet_leaf_16_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _21671_ (.CLK(\clknet_leaf_14_u_uart_core.line_clk_16x ),
     .D(_02246_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[3][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21712_ (.CLK(\clknet_leaf_16_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _21672_ (.CLK(\clknet_leaf_14_u_uart_core.line_clk_16x ),
     .D(_02247_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[3][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21713_ (.CLK(\clknet_leaf_15_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _21673_ (.CLK(\clknet_leaf_12_u_uart_core.line_clk_16x ),
     .D(_02248_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[3][7] ));
- sky130_fd_sc_hd__dfxtp_1 _21714_ (.CLK(\clknet_leaf_7_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _21674_ (.CLK(\clknet_leaf_5_u_uart_core.line_clk_16x ),
     .D(_02249_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[5][0] ));
- sky130_fd_sc_hd__dfxtp_1 _21715_ (.CLK(\clknet_leaf_4_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _21675_ (.CLK(\clknet_leaf_1_u_uart_core.line_clk_16x ),
     .D(_02250_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[5][1] ));
- sky130_fd_sc_hd__dfxtp_1 _21716_ (.CLK(\clknet_leaf_3_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _21676_ (.CLK(\clknet_leaf_28_u_uart_core.line_clk_16x ),
     .D(_02251_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[5][2] ));
- sky130_fd_sc_hd__dfxtp_1 _21717_ (.CLK(\clknet_leaf_7_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _21677_ (.CLK(\clknet_leaf_10_u_uart_core.line_clk_16x ),
     .D(_02252_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[5][3] ));
- sky130_fd_sc_hd__dfxtp_1 _21718_ (.CLK(\clknet_leaf_8_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _21678_ (.CLK(\clknet_leaf_14_u_uart_core.line_clk_16x ),
     .D(_02253_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[5][4] ));
- sky130_fd_sc_hd__dfxtp_1 _21719_ (.CLK(\clknet_leaf_14_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _21679_ (.CLK(\clknet_leaf_7_u_uart_core.line_clk_16x ),
     .D(_02254_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[5][5] ));
- sky130_fd_sc_hd__dfxtp_1 _21720_ (.CLK(\clknet_leaf_14_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _21680_ (.CLK(\clknet_leaf_7_u_uart_core.line_clk_16x ),
     .D(_02255_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[5][6] ));
- sky130_fd_sc_hd__dfxtp_1 _21721_ (.CLK(\clknet_leaf_14_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _21681_ (.CLK(\clknet_leaf_8_u_uart_core.line_clk_16x ),
     .D(_02256_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart_core.u_rxfifo.mem[5][7] ));
- sky130_fd_sc_hd__dfrtp_2 _21722_ (.CLK(clknet_leaf_8_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21682_ (.CLK(clknet_leaf_2_app_clk),
     .D(_02257_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -251186,7 +250393,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_cfg.u_spi_din_be3.gen_bit_reg[7].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _21723_ (.CLK(clknet_leaf_78_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21683_ (.CLK(clknet_leaf_8_app_clk),
     .D(_02258_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -251194,7 +250401,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.cfg_dataout[0] ));
- sky130_fd_sc_hd__dfrtp_1 _21724_ (.CLK(clknet_leaf_77_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21684_ (.CLK(clknet_leaf_8_app_clk),
     .D(_02259_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -251202,7 +250409,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.cfg_dataout[1] ));
- sky130_fd_sc_hd__dfrtp_1 _21725_ (.CLK(clknet_leaf_76_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21685_ (.CLK(clknet_leaf_9_app_clk),
     .D(_02260_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -251210,7 +250417,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.cfg_dataout[2] ));
- sky130_fd_sc_hd__dfrtp_1 _21726_ (.CLK(clknet_leaf_77_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21686_ (.CLK(clknet_leaf_8_app_clk),
     .D(_02261_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -251218,7 +250425,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.cfg_dataout[3] ));
- sky130_fd_sc_hd__dfrtp_1 _21727_ (.CLK(clknet_leaf_77_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21687_ (.CLK(clknet_leaf_7_app_clk),
     .D(_02262_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -251226,7 +250433,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.cfg_dataout[4] ));
- sky130_fd_sc_hd__dfrtp_1 _21728_ (.CLK(clknet_leaf_78_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21688_ (.CLK(clknet_leaf_7_app_clk),
     .D(_02263_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -251234,7 +250441,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.cfg_dataout[5] ));
- sky130_fd_sc_hd__dfrtp_1 _21729_ (.CLK(clknet_leaf_77_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21689_ (.CLK(clknet_leaf_18_app_clk),
     .D(_02264_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -251242,7 +250449,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.cfg_dataout[6] ));
- sky130_fd_sc_hd__dfrtp_1 _21730_ (.CLK(clknet_leaf_78_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21690_ (.CLK(clknet_leaf_7_app_clk),
     .D(_02265_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -251250,7 +250457,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.cfg_dataout[7] ));
- sky130_fd_sc_hd__dfstp_1 _21731_ (.CLK(clknet_leaf_78_app_clk),
+ sky130_fd_sc_hd__dfstp_1 _21691_ (.CLK(clknet_leaf_81_app_clk),
     .D(_02266_),
     .SET_B(net50),
     .VGND(vssd1),
@@ -251258,7 +250465,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.cs_int_n ));
- sky130_fd_sc_hd__dfrtp_1 _21732_ (.CLK(clknet_leaf_79_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21692_ (.CLK(clknet_leaf_82_app_clk),
     .D(_02267_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -251266,7 +250473,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.load_byte ));
- sky130_fd_sc_hd__dfrtp_1 _21733_ (.CLK(clknet_leaf_77_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21693_ (.CLK(clknet_leaf_82_app_clk),
     .D(_02268_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -251274,14 +250481,14 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.shift_in ));
- sky130_fd_sc_hd__dfxtp_1 _21734_ (.CLK(clknet_leaf_79_app_clk),
+ sky130_fd_sc_hd__dfxtp_1 _21694_ (.CLK(clknet_leaf_83_app_clk),
     .D(_02269_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.hware_op_done ));
- sky130_fd_sc_hd__dfrtp_1 _21735_ (.CLK(clknet_leaf_81_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21695_ (.CLK(clknet_leaf_84_app_clk),
     .D(_02270_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -251289,7 +250496,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_spi_ctrl.sck_cnt[0] ));
- sky130_fd_sc_hd__dfrtp_1 _21736_ (.CLK(clknet_leaf_81_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21696_ (.CLK(clknet_leaf_84_app_clk),
     .D(_02271_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -251297,7 +250504,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_spi_ctrl.sck_cnt[1] ));
- sky130_fd_sc_hd__dfrtp_1 _21737_ (.CLK(clknet_leaf_80_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21697_ (.CLK(clknet_leaf_84_app_clk),
     .D(_02272_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -251305,7 +250512,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_spi_ctrl.sck_cnt[2] ));
- sky130_fd_sc_hd__dfrtp_1 _21738_ (.CLK(clknet_leaf_80_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21698_ (.CLK(clknet_leaf_83_app_clk),
     .D(_02273_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -251313,7 +250520,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_spi_ctrl.sck_cnt[3] ));
- sky130_fd_sc_hd__dfrtp_1 _21739_ (.CLK(clknet_leaf_80_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21699_ (.CLK(clknet_leaf_83_app_clk),
     .D(_02274_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -251321,7 +250528,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_spi_ctrl.sck_cnt[4] ));
- sky130_fd_sc_hd__dfrtp_1 _21740_ (.CLK(clknet_leaf_80_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21700_ (.CLK(clknet_leaf_83_app_clk),
     .D(_02275_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -251329,7 +250536,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_spi_ctrl.sck_cnt[5] ));
- sky130_fd_sc_hd__dfrtp_1 _21741_ (.CLK(clknet_leaf_79_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21701_ (.CLK(clknet_leaf_82_app_clk),
     .D(_02276_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -251337,7 +250544,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_spi_ctrl.shift_enb ));
- sky130_fd_sc_hd__dfstp_1 _21742_ (.CLK(clknet_leaf_80_app_clk),
+ sky130_fd_sc_hd__dfstp_1 _21702_ (.CLK(clknet_leaf_83_app_clk),
     .D(_02277_),
     .SET_B(net50),
     .VGND(vssd1),
@@ -251345,7 +250552,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_spi_ctrl.clr_sck_cnt ));
- sky130_fd_sc_hd__dfrtp_1 _21743_ (.CLK(clknet_leaf_77_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21703_ (.CLK(clknet_leaf_82_app_clk),
     .D(_02278_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -251353,7 +250560,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_spi_ctrl.sck_out_en ));
- sky130_fd_sc_hd__dfstp_1 _21744_ (.CLK(clknet_leaf_82_app_clk),
+ sky130_fd_sc_hd__dfstp_1 _21704_ (.CLK(clknet_leaf_84_app_clk),
     .D(_00070_),
     .SET_B(net50),
     .VGND(vssd1),
@@ -251361,7 +250568,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_spi_ctrl.clk_cnt[0] ));
- sky130_fd_sc_hd__dfrtp_1 _21745_ (.CLK(clknet_leaf_82_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21705_ (.CLK(clknet_leaf_85_app_clk),
     .D(_00071_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -251369,7 +250576,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_spi_ctrl.clk_cnt[1] ));
- sky130_fd_sc_hd__dfrtp_1 _21746_ (.CLK(clknet_leaf_82_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21706_ (.CLK(clknet_leaf_84_app_clk),
     .D(_00072_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -251377,7 +250584,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_spi_ctrl.clk_cnt[2] ));
- sky130_fd_sc_hd__dfrtp_1 _21747_ (.CLK(clknet_leaf_82_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21707_ (.CLK(clknet_leaf_84_app_clk),
     .D(_00073_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -251385,7 +250592,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_spi_ctrl.clk_cnt[3] ));
- sky130_fd_sc_hd__dfrtp_2 _21748_ (.CLK(clknet_leaf_81_app_clk),
+ sky130_fd_sc_hd__dfrtp_2 _21708_ (.CLK(clknet_leaf_84_app_clk),
     .D(_00074_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -251393,7 +250600,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_spi_ctrl.clk_cnt[4] ));
- sky130_fd_sc_hd__dfrtp_1 _21749_ (.CLK(clknet_leaf_81_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21709_ (.CLK(clknet_leaf_84_app_clk),
     .D(_00075_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -251401,7 +250608,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_spi_ctrl.clk_cnt[5] ));
- sky130_fd_sc_hd__dfrtp_1 _21750_ (.CLK(clknet_leaf_77_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21710_ (.CLK(clknet_leaf_82_app_clk),
     .D(_00077_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -251409,7 +250616,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.sck_pe ));
- sky130_fd_sc_hd__dfrtp_1 _21751_ (.CLK(clknet_leaf_80_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21711_ (.CLK(clknet_leaf_0_app_clk),
     .D(_02279_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -251417,7 +250624,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_spi_ctrl.byte_cnt[0] ));
- sky130_fd_sc_hd__dfrtp_1 _21752_ (.CLK(clknet_leaf_80_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21712_ (.CLK(clknet_leaf_0_app_clk),
     .D(_02280_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -251425,7 +250632,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_spi_ctrl.byte_cnt[1] ));
- sky130_fd_sc_hd__dfrtp_1 _21753_ (.CLK(clknet_leaf_79_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21713_ (.CLK(clknet_leaf_0_app_clk),
     .D(_02281_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -251433,7 +250640,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.u_spi_ctrl.byte_cnt[2] ));
- sky130_fd_sc_hd__dfrtp_4 _21754_ (.CLK(clknet_leaf_77_app_clk),
+ sky130_fd_sc_hd__dfrtp_4 _21714_ (.CLK(clknet_leaf_82_app_clk),
     .D(_02282_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -251441,7 +250648,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net94));
- sky130_fd_sc_hd__dfrtp_1 _21755_ (.CLK(clknet_leaf_76_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21715_ (.CLK(clknet_leaf_79_app_clk),
     .D(_02283_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -251449,7 +250656,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.byte_in[0] ));
- sky130_fd_sc_hd__dfrtp_1 _21756_ (.CLK(clknet_leaf_76_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21716_ (.CLK(clknet_leaf_79_app_clk),
     .D(_02284_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -251457,7 +250664,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.byte_in[1] ));
- sky130_fd_sc_hd__dfrtp_1 _21757_ (.CLK(clknet_leaf_76_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21717_ (.CLK(clknet_leaf_79_app_clk),
     .D(_02285_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -251465,7 +250672,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.byte_in[2] ));
- sky130_fd_sc_hd__dfrtp_1 _21758_ (.CLK(clknet_leaf_76_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21718_ (.CLK(clknet_leaf_79_app_clk),
     .D(_02286_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -251473,7 +250680,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.byte_in[3] ));
- sky130_fd_sc_hd__dfrtp_1 _21759_ (.CLK(clknet_leaf_76_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21719_ (.CLK(clknet_leaf_79_app_clk),
     .D(_02287_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -251481,7 +250688,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.byte_in[4] ));
- sky130_fd_sc_hd__dfrtp_1 _21760_ (.CLK(clknet_leaf_76_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21720_ (.CLK(clknet_leaf_82_app_clk),
     .D(_02288_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -251489,7 +250696,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.byte_in[5] ));
- sky130_fd_sc_hd__dfrtp_1 _21761_ (.CLK(clknet_leaf_77_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21721_ (.CLK(clknet_leaf_82_app_clk),
     .D(_02289_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -251497,7 +250704,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.byte_in[6] ));
- sky130_fd_sc_hd__dfrtp_1 _21762_ (.CLK(clknet_leaf_77_app_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21722_ (.CLK(clknet_leaf_82_app_clk),
     .D(_02290_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -251505,7 +250712,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_sspim.byte_in[7] ));
- sky130_fd_sc_hd__dfrtp_4 _21763_ (.CLK(clknet_leaf_78_app_clk),
+ sky130_fd_sc_hd__dfrtp_4 _21723_ (.CLK(clknet_leaf_79_app_clk),
     .D(_02291_),
     .RESET_B(net50),
     .VGND(vssd1),
@@ -251513,7 +250720,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net95));
- sky130_fd_sc_hd__dfrtp_1 _21764_ (.CLK(clknet_leaf_140_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21724_ (.CLK(clknet_leaf_136_usb_clk),
     .D(_02292_),
     .RESET_B(net108),
     .VGND(vssd1),
@@ -251521,7 +250728,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_phy.state_q[12] ));
- sky130_fd_sc_hd__dfrtp_1 _21765_ (.CLK(clknet_leaf_138_usb_clk),
+ sky130_fd_sc_hd__dfrtp_1 _21725_ (.CLK(clknet_leaf_122_usb_clk),
     .D(_02293_),
     .RESET_B(net108),
     .VGND(vssd1),
@@ -251529,32 +250736,32 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_host.u_phy.state_q[3] ));
- sky130_fd_sc_hd__conb_1 _21766__115 (.VGND(vssd1),
+ sky130_fd_sc_hd__conb_1 _21726__115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .HI(net115));
- sky130_fd_sc_hd__conb_1 _21767__116 (.VGND(vssd1),
+ sky130_fd_sc_hd__conb_1 _21727__116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .HI(net116));
- sky130_fd_sc_hd__conb_1 _21768__111 (.VGND(vssd1),
+ sky130_fd_sc_hd__conb_1 _21728__111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .LO(net111));
- sky130_fd_sc_hd__conb_1 _21769__112 (.VGND(vssd1),
+ sky130_fd_sc_hd__conb_1 _21729__112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .LO(net112));
- sky130_fd_sc_hd__conb_1 _21770__113 (.VGND(vssd1),
+ sky130_fd_sc_hd__conb_1 _21730__113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .LO(net113));
- sky130_fd_sc_hd__conb_1 _21771__114 (.VGND(vssd1),
+ sky130_fd_sc_hd__conb_1 _21731__114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
@@ -251631,6 +250838,12 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_2_0_0_app_clk));
+ sky130_fd_sc_hd__clkbuf_4 \clkbuf_2_0_0_u_uart_core.line_clk_16x  (.A(\clknet_1_0_0_u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\clknet_2_0_0_u_uart_core.line_clk_16x ));
  sky130_fd_sc_hd__clkbuf_4 clkbuf_2_0_0_usb_clk (.A(clknet_1_0_1_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -251643,6 +250856,12 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_2_1_0_app_clk));
+ sky130_fd_sc_hd__clkbuf_4 \clkbuf_2_1_0_u_uart_core.line_clk_16x  (.A(\clknet_1_0_0_u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\clknet_2_1_0_u_uart_core.line_clk_16x ));
  sky130_fd_sc_hd__clkbuf_4 clkbuf_2_1_0_usb_clk (.A(clknet_1_0_1_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -251655,6 +250874,12 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_2_2_0_app_clk));
+ sky130_fd_sc_hd__clkbuf_4 \clkbuf_2_2_0_u_uart_core.line_clk_16x  (.A(\clknet_1_1_0_u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\clknet_2_2_0_u_uart_core.line_clk_16x ));
  sky130_fd_sc_hd__clkbuf_4 clkbuf_2_2_0_usb_clk (.A(clknet_1_1_1_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -251667,6 +250892,12 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_2_3_0_app_clk));
+ sky130_fd_sc_hd__clkbuf_4 \clkbuf_2_3_0_u_uart_core.line_clk_16x  (.A(\clknet_1_1_0_u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\clknet_2_3_0_u_uart_core.line_clk_16x ));
  sky130_fd_sc_hd__clkbuf_4 clkbuf_2_3_0_usb_clk (.A(clknet_1_1_1_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -251871,7 +251102,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_0_app_clk));
- sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_0_u_uart_core.line_clk_16x  (.A(\clknet_1_1_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_0_u_uart_core.line_clk_16x  (.A(\clknet_2_2_0_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -251919,7 +251150,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_105_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_106_usb_clk (.A(clknet_4_12_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_106_usb_clk (.A(clknet_4_13_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -251943,13 +251174,13 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_109_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_10_app_clk (.A(clknet_3_0_0_app_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_10_app_clk (.A(clknet_3_3_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_10_app_clk));
- sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_10_u_uart_core.line_clk_16x  (.A(\clknet_1_1_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_10_u_uart_core.line_clk_16x  (.A(\clknet_2_3_0_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -251997,7 +251228,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_115_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_116_usb_clk (.A(clknet_4_6_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_116_usb_clk (.A(clknet_4_12_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -252009,7 +251240,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_117_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_118_usb_clk (.A(clknet_4_7_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_118_usb_clk (.A(clknet_4_6_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -252027,13 +251258,13 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_11_app_clk));
- sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_11_u_uart_core.line_clk_16x  (.A(\clknet_1_1_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_11_u_uart_core.line_clk_16x  (.A(\clknet_2_3_0_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(\clknet_leaf_11_u_uart_core.line_clk_16x ));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_11_usb_clk (.A(clknet_4_2_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_11_usb_clk (.A(clknet_4_0_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -252045,19 +251276,19 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_120_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_121_usb_clk (.A(clknet_4_7_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_121_usb_clk (.A(clknet_4_6_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_121_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_122_usb_clk (.A(clknet_4_7_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_122_usb_clk (.A(clknet_4_6_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_122_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_123_usb_clk (.A(clknet_4_7_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_123_usb_clk (.A(clknet_4_6_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -252069,7 +251300,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_124_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_125_usb_clk (.A(clknet_4_13_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_125_usb_clk (.A(clknet_4_7_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -252081,13 +251312,13 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_126_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_127_usb_clk (.A(clknet_4_13_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_127_usb_clk (.A(clknet_4_7_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_127_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_128_usb_clk (.A(clknet_opt_3_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_128_usb_clk (.A(clknet_4_7_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -252105,13 +251336,13 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_12_app_clk));
- sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_12_u_uart_core.line_clk_16x  (.A(\clknet_1_1_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_12_u_uart_core.line_clk_16x  (.A(\clknet_2_1_0_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(\clknet_leaf_12_u_uart_core.line_clk_16x ));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_12_usb_clk (.A(clknet_4_2_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_12_usb_clk (.A(clknet_4_0_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -252123,19 +251354,19 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_130_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_131_usb_clk (.A(clknet_4_7_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_131_usb_clk (.A(clknet_4_5_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_131_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_133_usb_clk (.A(clknet_4_4_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_133_usb_clk (.A(clknet_4_5_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_133_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_134_usb_clk (.A(clknet_4_4_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_134_usb_clk (.A(clknet_4_5_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -252147,25 +251378,25 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_135_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_136_usb_clk (.A(clknet_4_5_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_136_usb_clk (.A(clknet_4_4_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_136_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_137_usb_clk (.A(clknet_4_5_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_137_usb_clk (.A(clknet_4_4_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_137_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_138_usb_clk (.A(clknet_4_6_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_138_usb_clk (.A(clknet_4_4_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_138_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_139_usb_clk (.A(clknet_4_6_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_139_usb_clk (.A(clknet_4_4_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -252177,13 +251408,13 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_13_app_clk));
- sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_13_u_uart_core.line_clk_16x  (.A(\clknet_1_1_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_13_u_uart_core.line_clk_16x  (.A(\clknet_2_3_0_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(\clknet_leaf_13_u_uart_core.line_clk_16x ));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_13_usb_clk (.A(clknet_4_2_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_13_usb_clk (.A(clknet_4_0_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -252195,49 +251426,49 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_140_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_141_usb_clk (.A(clknet_4_4_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_141_usb_clk (.A(clknet_4_5_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_141_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_142_usb_clk (.A(clknet_4_5_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_142_usb_clk (.A(clknet_4_1_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_142_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_143_usb_clk (.A(clknet_4_6_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_143_usb_clk (.A(clknet_4_1_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_143_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_144_usb_clk (.A(clknet_4_6_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_144_usb_clk (.A(clknet_4_1_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_144_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_145_usb_clk (.A(clknet_4_4_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_145_usb_clk (.A(clknet_4_1_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_145_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_146_usb_clk (.A(clknet_4_1_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_146_usb_clk (.A(clknet_4_5_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_146_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_147_usb_clk (.A(clknet_4_1_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_147_usb_clk (.A(clknet_4_5_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_147_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_148_usb_clk (.A(clknet_4_1_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_148_usb_clk (.A(clknet_opt_1_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -252255,163 +251486,85 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_14_app_clk));
- sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_14_u_uart_core.line_clk_16x  (.A(\clknet_1_1_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_14_u_uart_core.line_clk_16x  (.A(\clknet_2_3_0_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(\clknet_leaf_14_u_uart_core.line_clk_16x ));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_14_usb_clk (.A(clknet_4_3_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_14_usb_clk (.A(clknet_4_1_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_14_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_150_usb_clk (.A(clknet_4_4_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_150_usb_clk (.A(clknet_4_0_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_150_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_151_usb_clk (.A(clknet_4_4_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(clknet_leaf_151_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_152_usb_clk (.A(clknet_4_4_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(clknet_leaf_152_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_153_usb_clk (.A(clknet_4_4_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(clknet_leaf_153_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_154_usb_clk (.A(clknet_4_1_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(clknet_leaf_154_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_155_usb_clk (.A(clknet_4_1_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(clknet_leaf_155_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_156_usb_clk (.A(clknet_4_1_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(clknet_leaf_156_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_157_usb_clk (.A(clknet_4_1_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(clknet_leaf_157_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_158_usb_clk (.A(clknet_4_1_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(clknet_leaf_158_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_159_usb_clk (.A(clknet_4_1_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(clknet_leaf_159_usb_clk));
  sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_15_app_clk (.A(clknet_3_3_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_15_app_clk));
- sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_15_u_uart_core.line_clk_16x  (.A(\clknet_1_0_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_15_u_uart_core.line_clk_16x  (.A(\clknet_2_1_0_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(\clknet_leaf_15_u_uart_core.line_clk_16x ));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_15_usb_clk (.A(clknet_4_3_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_15_usb_clk (.A(clknet_4_1_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_15_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_160_usb_clk (.A(clknet_4_0_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(clknet_leaf_160_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_161_usb_clk (.A(clknet_4_1_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(clknet_leaf_161_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_162_usb_clk (.A(clknet_4_0_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(clknet_leaf_162_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_163_usb_clk (.A(clknet_4_0_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(clknet_leaf_163_usb_clk));
  sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_16_app_clk (.A(clknet_3_3_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_16_app_clk));
- sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_16_u_uart_core.line_clk_16x  (.A(\clknet_1_0_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_16_u_uart_core.line_clk_16x  (.A(\clknet_2_1_0_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(\clknet_leaf_16_u_uart_core.line_clk_16x ));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_16_usb_clk (.A(clknet_4_2_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_16_usb_clk (.A(clknet_4_1_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_16_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_17_app_clk (.A(clknet_3_3_0_app_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_17_app_clk (.A(clknet_3_2_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_17_app_clk));
- sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_17_u_uart_core.line_clk_16x  (.A(\clknet_1_0_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_17_u_uart_core.line_clk_16x  (.A(\clknet_2_1_0_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(\clknet_leaf_17_u_uart_core.line_clk_16x ));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_17_usb_clk (.A(clknet_4_1_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_17_usb_clk (.A(clknet_4_3_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_17_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_18_app_clk (.A(clknet_3_3_0_app_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_18_app_clk (.A(clknet_3_2_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_18_app_clk));
- sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_18_u_uart_core.line_clk_16x  (.A(\clknet_1_0_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_18_u_uart_core.line_clk_16x  (.A(\clknet_2_1_0_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -252429,7 +251582,13 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_19_app_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_19_usb_clk (.A(clknet_4_3_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_19_u_uart_core.line_clk_16x  (.A(\clknet_2_1_0_u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\clknet_leaf_19_u_uart_core.line_clk_16x ));
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_19_usb_clk (.A(clknet_4_4_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -252441,7 +251600,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_1_app_clk));
- sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_1_u_uart_core.line_clk_16x  (.A(\clknet_1_1_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_1_u_uart_core.line_clk_16x  (.A(\clknet_2_2_0_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -252459,13 +251618,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_20_app_clk));
- sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_20_u_uart_core.line_clk_16x  (.A(\clknet_1_0_0_u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(\clknet_leaf_20_u_uart_core.line_clk_16x ));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_20_usb_clk (.A(clknet_4_3_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_20_usb_clk (.A(clknet_4_4_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -252477,25 +251630,25 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_21_app_clk));
- sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_21_u_uart_core.line_clk_16x  (.A(\clknet_1_0_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_21_u_uart_core.line_clk_16x  (.A(\clknet_2_0_0_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(\clknet_leaf_21_u_uart_core.line_clk_16x ));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_21_usb_clk (.A(clknet_4_3_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_21_usb_clk (.A(clknet_4_4_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_21_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_22_app_clk (.A(clknet_3_3_0_app_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_22_app_clk (.A(clknet_opt_1_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_22_app_clk));
- sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_22_u_uart_core.line_clk_16x  (.A(\clknet_1_0_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_22_u_uart_core.line_clk_16x  (.A(\clknet_2_0_0_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -252513,13 +251666,13 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_23_app_clk));
- sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_23_u_uart_core.line_clk_16x  (.A(\clknet_1_0_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_23_u_uart_core.line_clk_16x  (.A(\clknet_2_0_0_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(\clknet_leaf_23_u_uart_core.line_clk_16x ));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_23_usb_clk (.A(clknet_opt_1_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_23_usb_clk (.A(clknet_4_3_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -252531,13 +251684,13 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_24_app_clk));
- sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_24_u_uart_core.line_clk_16x  (.A(\clknet_opt_1_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_24_u_uart_core.line_clk_16x  (.A(\clknet_2_0_0_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(\clknet_leaf_24_u_uart_core.line_clk_16x ));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_24_usb_clk (.A(clknet_opt_2_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_24_usb_clk (.A(clknet_4_3_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -252549,13 +251702,13 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_25_app_clk));
- sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_25_u_uart_core.line_clk_16x  (.A(\clknet_1_0_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_25_u_uart_core.line_clk_16x  (.A(\clknet_opt_1_0_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(\clknet_leaf_25_u_uart_core.line_clk_16x ));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_25_usb_clk (.A(clknet_4_3_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_25_usb_clk (.A(clknet_4_9_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -252567,19 +251720,31 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_26_app_clk));
- sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_26_u_uart_core.line_clk_16x  (.A(\clknet_1_1_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_26_u_uart_core.line_clk_16x  (.A(\clknet_2_0_0_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(\clknet_leaf_26_u_uart_core.line_clk_16x ));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_26_usb_clk (.A(clknet_4_3_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_26_usb_clk (.A(clknet_4_9_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_26_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_27_usb_clk (.A(clknet_4_3_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_27_app_clk (.A(clknet_3_6_0_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(clknet_leaf_27_app_clk));
+ sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_27_u_uart_core.line_clk_16x  (.A(\clknet_2_0_0_u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\clknet_leaf_27_u_uart_core.line_clk_16x ));
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_27_usb_clk (.A(clknet_4_8_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -252591,13 +251756,25 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_28_app_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_29_app_clk (.A(clknet_3_7_0_app_clk),
+ sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_28_u_uart_core.line_clk_16x  (.A(\clknet_2_2_0_u_uart_core.line_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\clknet_leaf_28_u_uart_core.line_clk_16x ));
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_28_usb_clk (.A(clknet_4_8_0_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(clknet_leaf_28_usb_clk));
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_29_app_clk (.A(clknet_3_6_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_29_app_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_29_usb_clk (.A(clknet_4_8_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_29_usb_clk (.A(clknet_4_3_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -252609,7 +251786,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_2_app_clk));
- sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_2_u_uart_core.line_clk_16x  (.A(\clknet_1_1_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_2_u_uart_core.line_clk_16x  (.A(\clknet_2_2_0_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -252639,7 +251816,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_31_app_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_31_usb_clk (.A(clknet_4_3_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_31_usb_clk (.A(clknet_4_2_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -252675,7 +251852,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_34_app_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_34_usb_clk (.A(clknet_opt_4_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_34_usb_clk (.A(clknet_4_2_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -252687,7 +251864,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_35_app_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_35_usb_clk (.A(clknet_opt_5_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_35_usb_clk (.A(clknet_4_8_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -252699,7 +251876,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_36_app_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_36_usb_clk (.A(clknet_opt_6_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_36_usb_clk (.A(clknet_4_8_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -252711,7 +251888,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_37_app_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_37_usb_clk (.A(clknet_4_10_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_37_usb_clk (.A(clknet_4_8_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -252723,7 +251900,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_38_app_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_38_usb_clk (.A(clknet_4_10_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_38_usb_clk (.A(clknet_4_8_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -252747,7 +251924,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_3_app_clk));
- sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_3_u_uart_core.line_clk_16x  (.A(\clknet_opt_2_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_3_u_uart_core.line_clk_16x  (.A(\clknet_2_2_0_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -252777,7 +251954,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_41_app_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_41_usb_clk (.A(clknet_4_10_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_41_usb_clk (.A(clknet_opt_2_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -252795,13 +251972,13 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_42_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_43_app_clk (.A(clknet_3_4_0_app_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_43_app_clk (.A(clknet_3_6_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_43_app_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_43_usb_clk (.A(clknet_opt_8_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_43_usb_clk (.A(clknet_4_10_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -252813,7 +251990,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_44_app_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_44_usb_clk (.A(clknet_opt_7_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_44_usb_clk (.A(clknet_4_10_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -252843,13 +252020,13 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_46_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_47_app_clk (.A(clknet_3_4_0_app_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_47_app_clk (.A(clknet_3_5_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_47_app_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_47_usb_clk (.A(clknet_4_11_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_47_usb_clk (.A(clknet_4_10_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -252861,13 +252038,13 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_48_app_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_48_usb_clk (.A(clknet_4_11_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_48_usb_clk (.A(clknet_4_10_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_48_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_49_app_clk (.A(clknet_3_7_0_app_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_49_app_clk (.A(clknet_3_5_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -252885,7 +252062,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_4_app_clk));
- sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_4_u_uart_core.line_clk_16x  (.A(\clknet_opt_3_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_4_u_uart_core.line_clk_16x  (.A(\clknet_2_2_0_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -252915,7 +252092,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_51_app_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_51_usb_clk (.A(clknet_4_11_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_51_usb_clk (.A(clknet_4_10_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -252951,7 +252128,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_54_app_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_54_usb_clk (.A(clknet_4_11_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_54_usb_clk (.A(clknet_4_10_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -252963,25 +252140,31 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_55_app_clk));
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_55_usb_clk (.A(clknet_4_11_0_usb_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(clknet_leaf_55_usb_clk));
  sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_56_app_clk (.A(clknet_3_5_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_56_app_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_56_usb_clk (.A(clknet_4_9_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_56_usb_clk (.A(clknet_4_11_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_56_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_57_app_clk (.A(clknet_3_5_0_app_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_57_app_clk (.A(clknet_3_4_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_57_app_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_57_usb_clk (.A(clknet_4_8_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_57_usb_clk (.A(clknet_4_11_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -252993,7 +252176,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_58_app_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_58_usb_clk (.A(clknet_4_8_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_58_usb_clk (.A(clknet_4_11_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -253005,7 +252188,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_59_app_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_59_usb_clk (.A(clknet_4_8_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_59_usb_clk (.A(clknet_4_11_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -253017,31 +252200,25 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_5_app_clk));
- sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_5_u_uart_core.line_clk_16x  (.A(\clknet_1_1_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_5_u_uart_core.line_clk_16x  (.A(\clknet_2_2_0_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(\clknet_leaf_5_u_uart_core.line_clk_16x ));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_5_usb_clk (.A(clknet_4_0_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_5_usb_clk (.A(clknet_4_2_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_5_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_60_app_clk (.A(clknet_3_4_0_app_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(clknet_leaf_60_app_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_60_usb_clk (.A(clknet_4_9_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_60_usb_clk (.A(clknet_4_11_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_60_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_61_app_clk (.A(clknet_3_1_0_app_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_61_app_clk (.A(clknet_3_4_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -253053,19 +252230,13 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_61_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_62_app_clk (.A(clknet_3_1_0_app_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_62_app_clk (.A(clknet_3_4_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_62_app_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_62_usb_clk (.A(clknet_4_9_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(clknet_leaf_62_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_63_app_clk (.A(clknet_3_4_0_app_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_63_app_clk (.A(clknet_3_1_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -253077,7 +252248,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_63_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_64_app_clk (.A(clknet_3_4_0_app_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_64_app_clk (.A(clknet_3_1_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -253107,13 +252278,13 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_66_app_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_66_usb_clk (.A(clknet_4_12_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_66_usb_clk (.A(clknet_4_9_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_66_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_67_app_clk (.A(clknet_3_1_0_app_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_67_app_clk (.A(clknet_3_4_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -253125,19 +252296,19 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_67_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_68_app_clk (.A(clknet_3_4_0_app_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_68_app_clk (.A(clknet_3_1_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_68_app_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_68_usb_clk (.A(clknet_4_9_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_68_usb_clk (.A(clknet_4_12_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_68_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_69_app_clk (.A(clknet_3_3_0_app_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_69_app_clk (.A(clknet_3_4_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -253155,7 +252326,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_6_app_clk));
- sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_6_u_uart_core.line_clk_16x  (.A(\clknet_1_1_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_6_u_uart_core.line_clk_16x  (.A(\clknet_2_2_0_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -253167,13 +252338,13 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_6_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_70_app_clk (.A(clknet_3_1_0_app_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_70_app_clk (.A(clknet_3_3_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_70_app_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_70_usb_clk (.A(clknet_4_12_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_70_usb_clk (.A(clknet_4_9_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -253185,7 +252356,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_71_app_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_71_usb_clk (.A(clknet_4_14_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_71_usb_clk (.A(clknet_4_12_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -253197,7 +252368,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_72_app_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_72_usb_clk (.A(clknet_4_14_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_72_usb_clk (.A(clknet_4_12_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -253221,7 +252392,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_74_app_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_74_usb_clk (.A(clknet_opt_9_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_74_usb_clk (.A(clknet_4_14_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -253245,13 +252416,13 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_76_app_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_76_usb_clk (.A(clknet_4_14_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_76_usb_clk (.A(clknet_4_11_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_76_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_77_app_clk (.A(clknet_3_0_0_app_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_77_app_clk (.A(clknet_3_1_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -253263,7 +252434,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_77_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_78_app_clk (.A(clknet_3_0_0_app_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_78_app_clk (.A(clknet_3_1_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -253293,13 +252464,13 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_7_app_clk));
- sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_7_u_uart_core.line_clk_16x  (.A(\clknet_1_1_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_7_u_uart_core.line_clk_16x  (.A(\clknet_2_3_0_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(\clknet_leaf_7_u_uart_core.line_clk_16x ));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_7_usb_clk (.A(clknet_4_0_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_7_usb_clk (.A(clknet_4_2_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -253323,7 +252494,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_81_app_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_81_usb_clk (.A(clknet_4_15_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_81_usb_clk (.A(clknet_4_14_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -253335,31 +252506,49 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_82_app_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_82_usb_clk (.A(clknet_4_15_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_82_usb_clk (.A(clknet_4_14_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_82_usb_clk));
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_83_app_clk (.A(clknet_3_0_0_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(clknet_leaf_83_app_clk));
  sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_83_usb_clk (.A(clknet_4_14_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_83_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_84_usb_clk (.A(clknet_4_15_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_84_app_clk (.A(clknet_3_0_0_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(clknet_leaf_84_app_clk));
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_84_usb_clk (.A(clknet_4_14_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_84_usb_clk));
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_85_app_clk (.A(clknet_3_0_0_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(clknet_leaf_85_app_clk));
  sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_85_usb_clk (.A(clknet_4_15_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_85_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_86_usb_clk (.A(clknet_4_15_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_86_usb_clk (.A(clknet_4_14_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -253389,13 +252578,13 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_8_app_clk));
- sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_8_u_uart_core.line_clk_16x  (.A(\clknet_1_1_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_8_u_uart_core.line_clk_16x  (.A(\clknet_2_3_0_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(\clknet_leaf_8_u_uart_core.line_clk_16x ));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_8_usb_clk (.A(clknet_4_0_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_8_usb_clk (.A(clknet_4_2_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -253425,13 +252614,13 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_93_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_94_usb_clk (.A(clknet_4_14_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_94_usb_clk (.A(clknet_4_15_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_94_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_95_usb_clk (.A(clknet_4_13_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_95_usb_clk (.A(clknet_4_15_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -253443,7 +252632,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_96_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_97_usb_clk (.A(clknet_4_15_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_97_usb_clk (.A(clknet_4_13_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -253461,13 +252650,13 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_99_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_9_app_clk (.A(clknet_3_2_0_app_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_9_app_clk (.A(clknet_3_0_0_app_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_9_app_clk));
- sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_9_u_uart_core.line_clk_16x  (.A(\clknet_1_1_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_9_u_uart_core.line_clk_16x  (.A(\clknet_2_2_0_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -253479,583 +252668,535 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_9_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_1_0_u_uart_core.line_clk_16x  (.A(\clknet_1_0_0_u_uart_core.line_clk_16x ),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_opt_1_0_app_clk (.A(clknet_3_2_0_app_clk),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(clknet_opt_1_0_app_clk));
+ sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_1_0_u_uart_core.line_clk_16x  (.A(\clknet_2_0_0_u_uart_core.line_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(\clknet_opt_1_0_u_uart_core.line_clk_16x ));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_opt_1_0_usb_clk (.A(clknet_4_6_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_opt_1_0_usb_clk (.A(clknet_4_1_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_opt_1_0_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_2_0_u_uart_core.line_clk_16x  (.A(\clknet_1_1_0_u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(\clknet_opt_2_0_u_uart_core.line_clk_16x ));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_opt_2_0_usb_clk (.A(clknet_4_6_0_usb_clk),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_opt_2_0_usb_clk (.A(clknet_4_10_0_usb_clk),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_opt_2_0_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_3_0_u_uart_core.line_clk_16x  (.A(\clknet_1_1_0_u_uart_core.line_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(\clknet_opt_3_0_u_uart_core.line_clk_16x ));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_opt_3_0_usb_clk (.A(clknet_4_7_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(clknet_opt_3_0_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_opt_4_0_usb_clk (.A(clknet_4_8_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(clknet_opt_4_0_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_opt_5_0_usb_clk (.A(clknet_4_8_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(clknet_opt_5_0_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_opt_6_0_usb_clk (.A(clknet_4_10_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(clknet_opt_6_0_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_opt_7_0_usb_clk (.A(clknet_4_10_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(clknet_opt_7_0_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_opt_8_0_usb_clk (.A(clknet_4_11_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(clknet_opt_8_0_usb_clk));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_opt_9_0_usb_clk (.A(clknet_4_11_0_usb_clk),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(clknet_opt_9_0_usb_clk));
- sky130_fd_sc_hd__dlygate4sd3_1 hold1 (.A(_05749_),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold1 (.A(\u_i2cm.wb_dat_o[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net119));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold10 (.A(net67),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold10 (.A(net127),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net127));
- sky130_fd_sc_hd__dlygate4sd3_1 hold100 (.A(_05783_),
+    .X(net128));
+ sky130_fd_sc_hd__buf_2 hold100 (.A(net217),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(reg_rdata[8]));
+ sky130_fd_sc_hd__dlymetal6s2s_1 hold101 (.A(net90),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net218));
- sky130_fd_sc_hd__dlygate4sd3_1 hold101 (.A(net218),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold102 (.A(\u_sspim.reg_rdata[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net219));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold102 (.A(net219),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net217));
- sky130_fd_sc_hd__buf_2 hold103 (.A(net220),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(reg_rdata[29]));
- sky130_fd_sc_hd__dlygate4sd3_1 hold104 (.A(net81),
+    .X(net220));
+ sky130_fd_sc_hd__dlygate4sd3_1 hold103 (.A(net220),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net221));
- sky130_fd_sc_hd__dlygate4sd3_1 hold105 (.A(_05787_),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold104 (.A(net221),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net219));
+ sky130_fd_sc_hd__buf_2 hold105 (.A(net222),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(reg_rdata[14]));
+ sky130_fd_sc_hd__dlymetal6s2s_1 hold106 (.A(net65),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net223));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold106 (.A(net223),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold107 (.A(\u_sspim.reg_rdata[24] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net222));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold107 (.A(\u_sspim.reg_rdata[31] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net224));
+    .X(net225));
  sky130_fd_sc_hd__dlygate4sd3_1 hold108 (.A(net225),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net226));
- sky130_fd_sc_hd__buf_2 hold109 (.A(net226),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold109 (.A(net226),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(reg_rdata[31]));
- sky130_fd_sc_hd__dlygate4sd3_1 hold11 (.A(_05758_),
+    .X(net224));
+ sky130_fd_sc_hd__buf_2 hold11 (.A(net312),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net129));
- sky130_fd_sc_hd__dlygate4sd3_1 hold110 (.A(_05785_),
+    .X(reg_rdata[4]));
+ sky130_fd_sc_hd__buf_2 hold110 (.A(net227),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(reg_rdata[24]));
+ sky130_fd_sc_hd__dlymetal6s2s_1 hold111 (.A(net76),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net228));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold111 (.A(net228),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold112 (.A(\u_sspim.reg_rdata[15] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net227));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold112 (.A(\u_sspim.reg_rdata[30] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net229));
- sky130_fd_sc_hd__buf_2 hold113 (.A(net230),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(reg_rdata[30]));
- sky130_fd_sc_hd__dlymetal6s2s_1 hold114 (.A(net83),
+    .X(net230));
+ sky130_fd_sc_hd__dlygate4sd3_1 hold113 (.A(net230),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net231));
- sky130_fd_sc_hd__dlygate4sd3_1 hold115 (.A(\u_sspim.reg_ack ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold114 (.A(net231),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net229));
+ sky130_fd_sc_hd__buf_2 hold115 (.A(net232),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(reg_rdata[15]));
+ sky130_fd_sc_hd__clkbuf_1 hold116 (.A(net66),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net233));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold116 (.A(net233),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net232));
- sky130_fd_sc_hd__dlygate4sd3_1 hold117 (.A(net234),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold117 (.A(\u_sspim.reg_rdata[25] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net235));
- sky130_fd_sc_hd__buf_2 hold118 (.A(net304),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net303));
- sky130_fd_sc_hd__dlymetal6s2s_1 hold119 (.A(net59),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold118 (.A(net235),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net236));
- sky130_fd_sc_hd__dlygate4sd3_1 hold12 (.A(net129),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold119 (.A(net236),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net130));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold120 (.A(_05791_),
+    .X(net234));
+ sky130_fd_sc_hd__dlymetal6s2s_1 hold12 (.A(net86),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net237));
- sky130_fd_sc_hd__dlygate4sd3_1 hold121 (.A(\u_i2cm.wb_dat_o[4] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net239));
- sky130_fd_sc_hd__clkdlybuf4s50_1 hold122 (.A(net239),
+    .X(net129));
+ sky130_fd_sc_hd__dlygate4sd3_1 hold120 (.A(\u_sspim.reg_rdata[23] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net238));
- sky130_fd_sc_hd__dlygate4sd3_1 hold123 (.A(net240),
+ sky130_fd_sc_hd__clkdlybuf4s50_1 hold121 (.A(net238),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net241));
- sky130_fd_sc_hd__buf_2 hold124 (.A(net241),
+    .X(net237));
+ sky130_fd_sc_hd__buf_2 hold122 (.A(net239),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net327));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold125 (.A(net86),
+    .X(reg_rdata[23]));
+ sky130_fd_sc_hd__clkbuf_1 hold123 (.A(net75),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net240));
+ sky130_fd_sc_hd__dlygate4sd3_1 hold124 (.A(\u_sspim.reg_rdata[27] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net242));
- sky130_fd_sc_hd__clkbuf_2 hold126 (.A(_05702_),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold125 (.A(net242),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net243));
- sky130_fd_sc_hd__dlygate4sd3_1 hold127 (.A(\u_i2cm.wb_dat_o[1] ),
+    .X(net241));
+ sky130_fd_sc_hd__buf_2 hold126 (.A(net243),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net245));
- sky130_fd_sc_hd__clkbuf_1 hold128 (.A(net245),
+    .X(reg_rdata[27]));
+ sky130_fd_sc_hd__dlymetal6s2s_1 hold127 (.A(net79),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net244));
- sky130_fd_sc_hd__dlygate4sd3_1 hold129 (.A(net246),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold128 (.A(\u_sspim.reg_rdata[22] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net247));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold13 (.A(net130),
+    .X(net246));
+ sky130_fd_sc_hd__clkdlybuf4s50_1 hold129 (.A(net246),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net128));
+    .X(net245));
+ sky130_fd_sc_hd__dlygate4sd3_1 hold13 (.A(\u_sspim.reg_rdata[19] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net131));
  sky130_fd_sc_hd__buf_2 hold130 (.A(net247),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net317));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold131 (.A(net71),
+    .X(reg_rdata[22]));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold131 (.A(net74),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net248));
- sky130_fd_sc_hd__clkbuf_2 hold132 (.A(_05693_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net249));
- sky130_fd_sc_hd__dlygate4sd3_1 hold133 (.A(\u_i2cm.wb_dat_o[5] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net251));
- sky130_fd_sc_hd__dlygate4sd3_1 hold134 (.A(net251),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold132 (.A(\u_sspim.reg_rdata[26] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net250));
- sky130_fd_sc_hd__dlygate4sd3_1 hold135 (.A(\u_i2cm.wb_dat_o[2] ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold133 (.A(net250),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net253));
- sky130_fd_sc_hd__clkbuf_1 hold136 (.A(net253),
+    .X(net249));
+ sky130_fd_sc_hd__buf_2 hold134 (.A(net251),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(reg_rdata[26]));
+ sky130_fd_sc_hd__dlymetal6s2s_1 hold135 (.A(net78),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net252));
- sky130_fd_sc_hd__dlygate4sd3_1 hold137 (.A(net254),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold136 (.A(_05690_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net254));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold137 (.A(net254),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net253));
+ sky130_fd_sc_hd__dlymetal6s2s_1 hold138 (.A(\u_sspim.reg_rdata[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net255));
- sky130_fd_sc_hd__buf_2 hold138 (.A(net255),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(reg_rdata[2]));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold139 (.A(net82),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net256));
- sky130_fd_sc_hd__buf_2 hold14 (.A(net131),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(reg_rdata[21]));
- sky130_fd_sc_hd__clkbuf_2 hold140 (.A(_05696_),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold139 (.A(net256),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net257));
- sky130_fd_sc_hd__dlygate4sd3_1 hold141 (.A(\u_i2cm.wb_dat_o[6] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net259));
- sky130_fd_sc_hd__clkbuf_1 hold142 (.A(net259),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net258));
- sky130_fd_sc_hd__dlygate4sd3_1 hold143 (.A(net260),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net261));
- sky130_fd_sc_hd__buf_2 hold144 (.A(net261),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net315));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold145 (.A(net88),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net262));
- sky130_fd_sc_hd__clkbuf_2 hold146 (.A(_05707_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net263));
- sky130_fd_sc_hd__dlygate4sd3_1 hold147 (.A(\u_i2cm.wb_dat_o[3] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net265));
- sky130_fd_sc_hd__clkbuf_1 hold148 (.A(net265),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net264));
- sky130_fd_sc_hd__dlygate4sd3_1 hold149 (.A(net266),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net267));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold15 (.A(net73),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold14 (.A(net131),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net132));
- sky130_fd_sc_hd__buf_2 hold150 (.A(net267),
+ sky130_fd_sc_hd__buf_2 hold140 (.A(net299),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(reg_rdata[3]));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold151 (.A(net85),
+    .X(net298));
+ sky130_fd_sc_hd__clkbuf_2 hold141 (.A(net71),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net258));
+ sky130_fd_sc_hd__dlygate4sd3_1 hold142 (.A(\u_sspim.reg_rdata[30] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net260));
+ sky130_fd_sc_hd__clkdlybuf4s50_1 hold143 (.A(net260),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net259));
+ sky130_fd_sc_hd__buf_2 hold144 (.A(net261),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(reg_rdata[30]));
+ sky130_fd_sc_hd__clkbuf_2 hold145 (.A(net83),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net262));
+ sky130_fd_sc_hd__dlygate4sd3_1 hold146 (.A(net324),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net264));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold147 (.A(net264),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net263));
+ sky130_fd_sc_hd__buf_2 hold148 (.A(net265),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(reg_rdata[12]));
+ sky130_fd_sc_hd__dlymetal6s2s_1 hold149 (.A(net63),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net266));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold15 (.A(net132),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net130));
+ sky130_fd_sc_hd__dlygate4sd3_1 hold150 (.A(\u_i2cm.wb_dat_o[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net268));
- sky130_fd_sc_hd__clkbuf_2 hold152 (.A(_05699_),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold151 (.A(net268),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net269));
- sky130_fd_sc_hd__dlygate4sd3_1 hold153 (.A(\u_i2cm.wb_dat_o[7] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net271));
- sky130_fd_sc_hd__dlymetal6s2s_1 hold154 (.A(net271),
+    .X(net267));
+ sky130_fd_sc_hd__dlygate4sd3_1 hold152 (.A(_05697_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net270));
- sky130_fd_sc_hd__dlygate4sd3_1 hold155 (.A(net272),
+ sky130_fd_sc_hd__dlymetal6s2s_1 hold153 (.A(net270),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net273));
- sky130_fd_sc_hd__buf_2 hold156 (.A(net273),
+    .X(net269));
+ sky130_fd_sc_hd__buf_2 hold154 (.A(net271),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(reg_rdata[7]));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold157 (.A(net89),
+    .X(reg_rdata[3]));
+ sky130_fd_sc_hd__dlymetal6s2s_1 hold155 (.A(net85),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net272));
+ sky130_fd_sc_hd__dlygate4sd3_1 hold156 (.A(\u_i2cm.wb_dat_o[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net274));
- sky130_fd_sc_hd__clkbuf_2 hold158 (.A(_05709_),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold157 (.A(net274),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net275));
- sky130_fd_sc_hd__dlygate4sd3_1 hold159 (.A(\u_i2cm.wb_dat_o[0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net277));
- sky130_fd_sc_hd__dlygate4sd3_1 hold16 (.A(_05755_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net134));
- sky130_fd_sc_hd__dlymetal6s2s_1 hold160 (.A(net277),
+    .X(net273));
+ sky130_fd_sc_hd__dlygate4sd3_1 hold158 (.A(_05711_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net276));
- sky130_fd_sc_hd__dlygate4sd3_1 hold161 (.A(net278),
+ sky130_fd_sc_hd__clkbuf_2 hold159 (.A(net276),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net279));
- sky130_fd_sc_hd__buf_2 hold162 (.A(net279),
+    .X(net275));
+ sky130_fd_sc_hd__buf_2 hold16 (.A(net133),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(reg_rdata[0]));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold163 (.A(net60),
+    .X(reg_rdata[19]));
+ sky130_fd_sc_hd__buf_2 hold160 (.A(net331),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net330));
+ sky130_fd_sc_hd__dlymetal6s2s_1 hold161 (.A(net88),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net278));
+ sky130_fd_sc_hd__dlygate4sd3_1 hold162 (.A(\u_i2cm.wb_dat_o[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net280));
- sky130_fd_sc_hd__clkbuf_2 hold164 (.A(_05691_),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold163 (.A(net280),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net281));
- sky130_fd_sc_hd__clkbuf_2 hold165 (.A(\u_sspim.reg_rdata[0] ),
+    .X(net279));
+ sky130_fd_sc_hd__dlygate4sd3_1 hold164 (.A(\u_uart_core.reg_ack ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net282));
- sky130_fd_sc_hd__dlygate4sd3_1 hold166 (.A(\u_sspim.reg_rdata[5] ),
+ sky130_fd_sc_hd__dlymetal6s2s_1 hold165 (.A(net333),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net281));
+ sky130_fd_sc_hd__buf_2 hold166 (.A(net283),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(reg_ack));
+ sky130_fd_sc_hd__buf_2 hold167 (.A(net59),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net284));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold167 (.A(net284),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net283));
- sky130_fd_sc_hd__dlygate4sd3_1 hold168 (.A(net285),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold168 (.A(_05820_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net286));
- sky130_fd_sc_hd__buf_2 hold169 (.A(net286),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold169 (.A(net286),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(reg_rdata[5]));
- sky130_fd_sc_hd__dlygate4sd3_1 hold17 (.A(net134),
+    .X(net285));
+ sky130_fd_sc_hd__clkdlybuf4s50_1 hold17 (.A(net70),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net135));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold170 (.A(net87),
+    .X(net134));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold170 (.A(\u_sspim.reg_ack ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net287));
- sky130_fd_sc_hd__clkbuf_2 hold171 (.A(_05704_),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold171 (.A(_05822_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net288));
- sky130_fd_sc_hd__clkbuf_4 hold172 (.A(\u_uart_core.reg_rdata[0] ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold172 (.A(_05821_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net289));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold173 (.A(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net290));
- sky130_fd_sc_hd__clkbuf_2 hold174 (.A(_05745_),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold173 (.A(\u_uart_core.reg_rdata[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net291));
- sky130_fd_sc_hd__clkdlybuf4s50_1 hold175 (.A(_05739_),
+ sky130_fd_sc_hd__clkdlybuf4s50_1 hold174 (.A(net291),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net290));
+ sky130_fd_sc_hd__buf_2 hold175 (.A(_05674_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -254066,32 +253207,32 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(reg_rdata[17]));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold177 (.A(net68),
+    .X(reg_rdata[0]));
+ sky130_fd_sc_hd__dlymetal6s2s_1 hold177 (.A(net60),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net294));
- sky130_fd_sc_hd__dlygate4sd3_1 hold178 (.A(_05683_),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold178 (.A(_05824_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net296));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold179 (.A(net296),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net295));
- sky130_fd_sc_hd__buf_2 hold179 (.A(net296),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold18 (.A(\u_sspim.reg_rdata[17] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(reg_rdata[9]));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold18 (.A(net135),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net133));
- sky130_fd_sc_hd__clkdlybuf4s50_1 hold180 (.A(net91),
+    .X(net136));
+ sky130_fd_sc_hd__buf_2 hold180 (.A(\u_uart_core.reg_rdata[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -254102,116 +253243,116 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(reg_rdata[28]));
- sky130_fd_sc_hd__clkdlybuf4s50_1 hold182 (.A(net80),
+    .X(reg_rdata[1]));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold182 (.A(net257),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net299));
- sky130_fd_sc_hd__clkdlybuf4s50_1 hold183 (.A(\u_uart_core.u_rxfifo.sync_rd_ptr_0[3] ),
+ sky130_fd_sc_hd__clkbuf_4 hold183 (.A(_05798_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net300));
- sky130_fd_sc_hd__buf_12 hold184 (.A(net323),
+ sky130_fd_sc_hd__clkdlybuf4s50_1 hold184 (.A(\u_sspim.reg_rdata[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net301));
- sky130_fd_sc_hd__clkdlybuf4s50_1 hold185 (.A(\u_usb_host.u_phy.rx_dp0_q ),
+ sky130_fd_sc_hd__clkdlybuf4s50_1 hold185 (.A(_05694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net302));
- sky130_fd_sc_hd__buf_2 hold186 (.A(net303),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold186 (.A(_05783_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(reg_ack));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold187 (.A(net235),
+    .X(net303));
+ sky130_fd_sc_hd__clkdlybuf4s50_1 hold187 (.A(_05782_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net304));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold188 (.A(\u_usb_host.u_core.u_sie.rx_active_q[1] ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold188 (.A(_05726_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net305));
- sky130_fd_sc_hd__clkdlybuf4s50_1 hold189 (.A(\u_uart_core.u_rxfifo.sync_rd_ptr_0[2] ),
+ sky130_fd_sc_hd__buf_2 hold189 (.A(net306),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net306));
- sky130_fd_sc_hd__buf_2 hold19 (.A(net136),
+    .X(reg_rdata[28]));
+ sky130_fd_sc_hd__dlygate4sd3_1 hold19 (.A(net136),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(reg_rdata[20]));
- sky130_fd_sc_hd__dlygate4sd3_1 hold190 (.A(\u_usb_host.u_phy.rxd0_q ),
+    .X(net137));
+ sky130_fd_sc_hd__dlymetal6s2s_1 hold190 (.A(net80),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net307));
- sky130_fd_sc_hd__buf_12 hold191 (.A(net344),
+ sky130_fd_sc_hd__clkbuf_2 hold191 (.A(_05675_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net308));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold192 (.A(_00636_),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold192 (.A(\u_usb_host.u_async_wb.u_resp_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net309));
- sky130_fd_sc_hd__buf_2 hold193 (.A(\u_uart_core.u_si_sync.in_data_2s ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold193 (.A(\u_i2cm.wb_dat_o[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net310));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold194 (.A(\u_usb_host.u_core.u_sie.utmi_linestate_q[0] ),
+ sky130_fd_sc_hd__buf_2 hold194 (.A(_05704_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net311));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold195 (.A(\u_usb_host.u_core.u_sie.se0_detect_w ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold195 (.A(net128),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net312));
- sky130_fd_sc_hd__clkdlybuf4s50_1 hold196 (.A(\u_uart_core.u_txfifo.sync_rd_ptr_0[1] ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold196 (.A(\u_i2cm.wb_dat_o[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net313));
- sky130_fd_sc_hd__dlymetal6s2s_1 hold197 (.A(\u_sspim.reg_rdata[2] ),
+ sky130_fd_sc_hd__buf_2 hold197 (.A(_05707_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net314));
- sky130_fd_sc_hd__buf_2 hold198 (.A(net315),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold198 (.A(net147),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(reg_rdata[6]));
- sky130_fd_sc_hd__clkbuf_2 hold199 (.A(\u_sspim.reg_rdata[1] ),
+    .X(net315));
+ sky130_fd_sc_hd__clkdlybuf4s50_1 hold199 (.A(_05739_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -254223,235 +253364,235 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net120));
- sky130_fd_sc_hd__clkdlybuf4s50_1 hold20 (.A(net72),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold20 (.A(net137),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net137));
- sky130_fd_sc_hd__buf_2 hold200 (.A(net317),
+    .X(net135));
+ sky130_fd_sc_hd__clkdlybuf4s50_1 hold200 (.A(\u_i2cm.wb_dat_o[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(reg_rdata[1]));
- sky130_fd_sc_hd__clkbuf_2 hold201 (.A(\u_sspim.reg_rdata[3] ),
+    .X(net317));
+ sky130_fd_sc_hd__buf_2 hold201 (.A(_05714_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net318));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold202 (.A(_00946_),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold202 (.A(net153),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net319));
- sky130_fd_sc_hd__clkbuf_2 hold203 (.A(\u_sspim.reg_rdata[7] ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold203 (.A(_00944_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net320));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold204 (.A(\u_uart_core.u_rxfifo.sync_rd_ptr_0[4] ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold204 (.A(\u_usb_host.u_core.u_sie.utmi_rxvalid_i ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net321));
- sky130_fd_sc_hd__buf_12 hold205 (.A(net345),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold205 (.A(net241),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net322));
- sky130_fd_sc_hd__clkbuf_2 hold206 (.A(\u_uart_core.app_reset_n ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold206 (.A(net249),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net323));
- sky130_fd_sc_hd__buf_6 hold207 (.A(\u_uart_core.line_reset_n ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold207 (.A(\u_sspim.reg_rdata[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net324));
- sky130_fd_sc_hd__buf_6 hold208 (.A(net105),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold208 (.A(\u_usb_host.u_core.u_sie.data_crc_q[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net325));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold209 (.A(_00922_),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold209 (.A(\u_uart_core.u_rxfifo.sync_rd_ptr_0[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net326));
- sky130_fd_sc_hd__dlygate4sd3_1 hold21 (.A(_05728_),
+ sky130_fd_sc_hd__buf_2 hold21 (.A(net138),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net139));
- sky130_fd_sc_hd__buf_2 hold210 (.A(net327),
+    .X(reg_rdata[17]));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold210 (.A(\u_usb_host.u_async_wb.u_cmd_if.sync_rd_ptr_0[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(reg_rdata[4]));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold211 (.A(\u_usb_host.u_phy.rx_dn1_q ),
+    .X(net327));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold211 (.A(_02439_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net328));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold212 (.A(_00719_),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold212 (.A(_00864_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net329));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold213 (.A(\u_uart_core.u_app_rst.in_data_s ),
+ sky130_fd_sc_hd__buf_2 hold213 (.A(net330),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net330));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold214 (.A(\u_uart_core.u_par_err.in_data_2s ),
+    .X(reg_rdata[6]));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold214 (.A(net277),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net331));
- sky130_fd_sc_hd__clkdlybuf4s50_1 hold215 (.A(\u_uart_core.u_rxfifo_err.in_data_2s ),
+ sky130_fd_sc_hd__dlymetal6s2s_1 hold215 (.A(net281),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net332));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold216 (.A(\u_uart_core.u_txfifo.sync_wr_ptr_0[3] ),
+ sky130_fd_sc_hd__clkdlybuf4s50_1 hold216 (.A(net282),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net333));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold217 (.A(\u_uart_core.u_frm_err.in_data_2s ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold217 (.A(\u_usb_host.u_phy.bit_count_q[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net334));
- sky130_fd_sc_hd__clkdlybuf4s50_1 hold218 (.A(\u_uart_core.u_txfifo.sync_wr_ptr_0[4] ),
+ sky130_fd_sc_hd__buf_12 hold218 (.A(\u_uart_core.app_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net335));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold219 (.A(\u_uart_core.u_frm_err.in_data_s ),
+ sky130_fd_sc_hd__clkbuf_2 hold219 (.A(\u_uart_core.reg_rdata[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net336));
- sky130_fd_sc_hd__dlygate4sd3_1 hold22 (.A(net139),
+ sky130_fd_sc_hd__clkdlybuf4s50_1 hold22 (.A(net68),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net140));
- sky130_fd_sc_hd__buf_2 hold220 (.A(net310),
+    .X(net139));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold220 (.A(\u_usb_host.u_core.u_sie.data_buffer_q[29] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net337));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold221 (.A(\u_usb_host.u_async_wb.u_cmd_if.sync_wr_ptr_0[0] ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold221 (.A(\u_usb_host.u_core.u_sie.data_buffer_q[30] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net338));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold222 (.A(\u_usb_host.u_phy.rx_dp_ms ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold222 (.A(\u_uart_core.u_txfifo.sync_rd_ptr_0[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net339));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold223 (.A(\u_usb_host.u_async_wb.u_resp_if.sync_rd_ptr_0[1] ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold223 (.A(\u_uart_core.u_txfifo.sync_rd_ptr_0[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net340));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold224 (.A(\u_usb_host.u_async_wb.u_resp_if.sync_wr_ptr_0[0] ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold224 (.A(\u_uart_core.u_par_err.in_data_s ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net341));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold225 (.A(\u_uart_core.u_par_err.in_data_s ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold225 (.A(\u_uart_core.u_txfifo.sync_wr_ptr_0[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net342));
- sky130_fd_sc_hd__buf_12 hold226 (.A(net103),
+ sky130_fd_sc_hd__buf_12 hold226 (.A(net335),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net343));
- sky130_fd_sc_hd__clkdlybuf4s50_1 hold227 (.A(\u_uart_core.line_reset_n ),
+ sky130_fd_sc_hd__clkbuf_4 hold227 (.A(\u_uart_core.app_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net344));
- sky130_fd_sc_hd__clkdlybuf4s50_1 hold228 (.A(net301),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold228 (.A(net279),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net345));
- sky130_fd_sc_hd__dlygate4sd3_1 hold229 (.A(net323),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold229 (.A(\u_uart_core.u_rxfifo.sync_wr_ptr_0[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net346));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold23 (.A(net140),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold23 (.A(\u_sspim.reg_rdata[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net138));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold230 (.A(\u_uart_core.u_rxfifo_err.in_data_s ),
+    .X(net141));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold230 (.A(\u_uart_core.u_txfifo.sync_wr_ptr_0[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net347));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold231 (.A(\u_uart_core.u_txfifo.sync_wr_ptr_0[1] ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold231 (.A(\u_uart_core.u_txfifo.sync_rd_ptr_0[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net348));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold232 (.A(\u_uart_core.u_txfifo.sync_rd_ptr_0[4] ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold232 (.A(\u_uart_core.u_par_err.in_data_2s ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net349));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold233 (.A(\u_uart_core.u_rxfifo.sync_wr_ptr_0[1] ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold233 (.A(_08831_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net350));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold234 (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cSCL[0] ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold234 (.A(\u_usb_host.u_async_wb.u_cmd_if.sync_wr_ptr_0[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -254463,25 +253604,25 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net352));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold236 (.A(\u_usb_host.u_core.u_sie.rx_active_q[2] ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold236 (.A(\u_uart_core.u_rxfifo.sync_rd_ptr_0[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net353));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold237 (.A(\u_uart_core.u_si_sync.in_data_s ),
+ sky130_fd_sc_hd__buf_2 hold237 (.A(\u_uart_core.line_reset_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net354));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold238 (.A(\u_usb_host.u_async_wb.u_cmd_if.sync_wr_ptr_0[1] ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold238 (.A(\u_usb_host.u_async_wb.u_resp_if.sync_wr_ptr_0[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net355));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold239 (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.cSDA[0] ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold239 (.A(\u_uart_core.u_rxfifo.sync_rd_ptr_0[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -254493,601 +253634,691 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net142));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold240 (.A(\u_uart_core.u_txfifo.sync_wr_ptr_0[0] ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold240 (.A(\u_uart_core.u_txfifo.sync_wr_ptr_0[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net357));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold241 (.A(\u_usb_host.u_async_wb.u_cmd_if.sync_rd_ptr_0[2] ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold241 (.A(\u_usb_host.u_async_wb.u_resp_if.sync_wr_ptr_0[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net358));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold242 (.A(\u_uart_core.u_rxfifo.sync_rd_ptr_0[0] ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold242 (.A(\u_uart_core.u_app_rst.in_data_s ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net359));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold243 (.A(\u_uart_core.u_rxfifo.sync_rd_ptr_0[1] ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold243 (.A(\u_uart_core.u_txfifo.sync_rd_ptr_0[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net360));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold244 (.A(\u_usb_host.u_phy.rx_dn_ms ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold244 (.A(\u_usb_host.u_async_wb.u_cmd_if.sync_rd_ptr_0[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net361));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold245 (.A(\u_usb_host.u_async_wb.u_cmd_if.sync_rd_ptr_0[0] ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold245 (.A(\u_usb_host.u_core.u_sie.rx_active_q[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net362));
- sky130_fd_sc_hd__clkdlybuf4s50_1 hold246 (.A(\u_i2cm.u_byte_ctrl.u_bit_ctrl.sSDA ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold246 (.A(\u_usb_host.u_async_wb.u_cmd_if.sync_rd_ptr_0[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net363));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold247 (.A(\u_uart_core.u_line_rst.in_data_s ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold247 (.A(\u_usb_host.u_async_wb.u_resp_if.sync_rd_ptr_0[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net364));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold248 (.A(\u_usb_host.u_async_wb.u_cmd_if.sync_rd_ptr_0[1] ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold248 (.A(\u_uart_core.u_rxfifo.sync_wr_ptr_0[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net365));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold249 (.A(\u_uart_core.u_txfifo.sync_rd_ptr_0[3] ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold249 (.A(\u_usb_host.u_phy.rx_dp_ms ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net366));
- sky130_fd_sc_hd__buf_2 hold25 (.A(net142),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold25 (.A(net142),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(reg_rdata[11]));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold250 (.A(\u_uart_core.u_txfifo.sync_rd_ptr_0[2] ),
+    .X(net140));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold250 (.A(\u_uart_core.u_rxfifo.sync_rd_ptr_0[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net367));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold251 (.A(\u_uart_core.u_rxfifo.sync_wr_ptr_0[4] ),
+ sky130_fd_sc_hd__buf_12 hold251 (.A(net343),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net368));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold252 (.A(\u_usb_host.u_async_wb.u_resp_if.sync_rd_ptr_0[0] ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold252 (.A(\u_uart_core.u_rxfifo.sync_wr_ptr_0[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net369));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold253 (.A(\u_uart_core.u_rxfifo.sync_wr_ptr_0[3] ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold253 (.A(\u_uart_core.u_rxfifo.sync_wr_ptr_0[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net370));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold254 (.A(\u_usb_host.u_async_wb.u_resp_if.sync_wr_ptr_0[1] ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold254 (.A(\u_usb_host.u_phy.rx_dn_ms ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net371));
- sky130_fd_sc_hd__clkdlybuf4s50_1 hold255 (.A(\u_usb_host.u_core.transfer_ack_w ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold255 (.A(\u_uart_core.u_line_rst.in_data_s ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net372));
- sky130_fd_sc_hd__dlygate4sd3_1 hold26 (.A(_05719_),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold256 (.A(\u_uart_core.u_rxfifo.sync_rd_ptr_0[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net373));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold257 (.A(\u_uart_core.u_rxfifo.sync_wr_ptr_0[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net374));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold258 (.A(\u_uart_core.u_frm_err.in_data_2s ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net375));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold259 (.A(\u_uart_core.u_frm_err.in_data_s ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net376));
+ sky130_fd_sc_hd__dlygate4sd3_1 hold26 (.A(\u_sspim.reg_rdata[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net144));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold260 (.A(\u_usb_host.u_core.u_sie.rx_active_q[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net377));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold261 (.A(\u_uart_core.u_rxfifo_err.in_data_s ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net378));
+ sky130_fd_sc_hd__dlygate4sd3_1 hold262 (.A(\u_usb_host.u_core.u_sie.crc_out_w[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net379));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold263 (.A(\u_uart_core.u_si_sync.in_data_s ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net380));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold264 (.A(\u_uart_core.u_si_sync.in_data_2s ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net381));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold265 (.A(\u_usb_host.u_phy.rxd_ms ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net382));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold266 (.A(\u_uart_core.u_txfifo.sync_rd_ptr_0[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net383));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold267 (.A(\u_uart_core.u_txfifo.sync_wr_ptr_0[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net384));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold268 (.A(\u_usb_host.u_phy.rx_dp0_q ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net385));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold269 (.A(\u_usb_host.u_async_wb.u_resp_if.sync_rd_ptr_0[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net386));
  sky130_fd_sc_hd__dlygate4sd3_1 hold27 (.A(net144),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net145));
+ sky130_fd_sc_hd__clkdlybuf4s50_1 hold270 (.A(\u_i2cm.irxack ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net387));
  sky130_fd_sc_hd__clkdlybuf4s25_1 hold28 (.A(net145),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net143));
- sky130_fd_sc_hd__dlygate4sd3_1 hold29 (.A(_05736_),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold29 (.A(net146),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net147));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold3 (.A(net120),
+ sky130_fd_sc_hd__clkdlybuf4s50_1 hold3 (.A(net120),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net118));
- sky130_fd_sc_hd__dlygate4sd3_1 hold30 (.A(net147),
+ sky130_fd_sc_hd__buf_2 hold30 (.A(net315),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(reg_rdata[5]));
+ sky130_fd_sc_hd__dlymetal6s2s_1 hold31 (.A(net87),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net148));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold31 (.A(net148),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net146));
- sky130_fd_sc_hd__buf_2 hold32 (.A(net149),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(reg_rdata[14]));
- sky130_fd_sc_hd__clkdlybuf4s50_1 hold33 (.A(net65),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold32 (.A(\u_sspim.reg_rdata[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net150));
- sky130_fd_sc_hd__dlygate4sd3_1 hold34 (.A(_05741_),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold33 (.A(net150),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net152));
+    .X(net151));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold34 (.A(net151),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net149));
  sky130_fd_sc_hd__dlygate4sd3_1 hold35 (.A(net152),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net153));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold36 (.A(net153),
+ sky130_fd_sc_hd__buf_2 hold36 (.A(net319),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net151));
- sky130_fd_sc_hd__buf_2 hold37 (.A(net154),
+    .X(reg_rdata[7]));
+ sky130_fd_sc_hd__dlymetal6s2s_1 hold37 (.A(net89),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(reg_rdata[15]));
- sky130_fd_sc_hd__clkdlybuf4s50_1 hold38 (.A(net66),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net155));
- sky130_fd_sc_hd__dlygate4sd3_1 hold39 (.A(_05774_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net157));
- sky130_fd_sc_hd__buf_2 hold4 (.A(net121),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(reg_rdata[18]));
- sky130_fd_sc_hd__dlygate4sd3_1 hold40 (.A(net157),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net158));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold41 (.A(net158),
+    .X(net154));
+ sky130_fd_sc_hd__dlygate4sd3_1 hold38 (.A(\u_sspim.reg_rdata[18] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net156));
- sky130_fd_sc_hd__buf_2 hold42 (.A(net159),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold39 (.A(net156),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(reg_rdata[26]));
- sky130_fd_sc_hd__clkdlybuf4s50_1 hold43 (.A(net78),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net160));
- sky130_fd_sc_hd__dlygate4sd3_1 hold44 (.A(_05753_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net162));
- sky130_fd_sc_hd__dlygate4sd3_1 hold45 (.A(net162),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net163));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold46 (.A(net163),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net161));
- sky130_fd_sc_hd__buf_2 hold47 (.A(net164),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(reg_rdata[19]));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold48 (.A(net70),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net165));
- sky130_fd_sc_hd__dlygate4sd3_1 hold49 (.A(_05730_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net167));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold5 (.A(net69),
+    .X(net157));
+ sky130_fd_sc_hd__dlygate4sd3_1 hold4 (.A(net121),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net122));
- sky130_fd_sc_hd__dlygate4sd3_1 hold50 (.A(net167),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold40 (.A(net157),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net168));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold51 (.A(net168),
+    .X(net155));
+ sky130_fd_sc_hd__buf_2 hold41 (.A(net158),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(reg_rdata[18]));
+ sky130_fd_sc_hd__clkdlybuf4s50_1 hold42 (.A(net69),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net159));
+ sky130_fd_sc_hd__dlygate4sd3_1 hold43 (.A(\u_sspim.reg_rdata[16] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net161));
+ sky130_fd_sc_hd__dlygate4sd3_1 hold44 (.A(net161),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net162));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold45 (.A(net162),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net160));
+ sky130_fd_sc_hd__buf_2 hold46 (.A(net163),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(reg_rdata[16]));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold47 (.A(net67),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net164));
+ sky130_fd_sc_hd__dlygate4sd3_1 hold48 (.A(\u_sspim.reg_rdata[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net166));
+ sky130_fd_sc_hd__dlygate4sd3_1 hold49 (.A(net166),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net167));
+ sky130_fd_sc_hd__buf_2 hold5 (.A(net122),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(reg_rdata[2]));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold50 (.A(net167),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net165));
+ sky130_fd_sc_hd__dlygate4sd3_1 hold51 (.A(\u_sspim.reg_rdata[11] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net169));
  sky130_fd_sc_hd__dlygate4sd3_1 hold52 (.A(net169),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net170));
- sky130_fd_sc_hd__buf_2 hold53 (.A(net170),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold53 (.A(net170),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(reg_rdata[12]));
- sky130_fd_sc_hd__dlygate4sd3_1 hold54 (.A(_05746_),
+    .X(net168));
+ sky130_fd_sc_hd__buf_2 hold54 (.A(net171),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(reg_rdata[11]));
+ sky130_fd_sc_hd__dlymetal6s2s_1 hold55 (.A(net62),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net172));
- sky130_fd_sc_hd__dlygate4sd3_1 hold55 (.A(net172),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net173));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold56 (.A(net173),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net171));
- sky130_fd_sc_hd__dlygate4sd3_1 hold57 (.A(_05767_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net175));
- sky130_fd_sc_hd__dlygate4sd3_1 hold58 (.A(net175),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net176));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold59 (.A(net176),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold56 (.A(\u_sspim.reg_rdata[31] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net174));
- sky130_fd_sc_hd__dlygate4sd3_1 hold6 (.A(_05743_),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold57 (.A(net174),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net124));
- sky130_fd_sc_hd__buf_2 hold60 (.A(net177),
+    .X(net175));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold58 (.A(net175),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(reg_rdata[24]));
- sky130_fd_sc_hd__clkdlybuf4s50_1 hold61 (.A(net76),
+    .X(net173));
+ sky130_fd_sc_hd__buf_2 hold59 (.A(net176),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net178));
- sky130_fd_sc_hd__dlygate4sd3_1 hold62 (.A(_05771_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net180));
- sky130_fd_sc_hd__dlygate4sd3_1 hold63 (.A(net180),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net181));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold64 (.A(net181),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net179));
- sky130_fd_sc_hd__buf_2 hold65 (.A(net182),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(reg_rdata[25]));
- sky130_fd_sc_hd__clkdlybuf4s50_1 hold66 (.A(net77),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net183));
- sky130_fd_sc_hd__dlygate4sd3_1 hold67 (.A(_05765_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net185));
- sky130_fd_sc_hd__dlygate4sd3_1 hold68 (.A(net185),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net186));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold69 (.A(net186),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net184));
- sky130_fd_sc_hd__dlygate4sd3_1 hold7 (.A(net124),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net125));
- sky130_fd_sc_hd__buf_2 hold70 (.A(net187),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(reg_rdata[23]));
- sky130_fd_sc_hd__clkdlybuf4s50_1 hold71 (.A(net75),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net188));
- sky130_fd_sc_hd__dlygate4sd3_1 hold72 (.A(_05761_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net190));
- sky130_fd_sc_hd__dlygate4sd3_1 hold73 (.A(net190),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net191));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold74 (.A(net191),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net189));
- sky130_fd_sc_hd__buf_2 hold75 (.A(net192),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(reg_rdata[22]));
- sky130_fd_sc_hd__clkdlybuf4s50_1 hold76 (.A(net74),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net193));
- sky130_fd_sc_hd__dlygate4sd3_1 hold77 (.A(_05723_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net195));
- sky130_fd_sc_hd__dlygate4sd3_1 hold78 (.A(net195),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net196));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold79 (.A(net196),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net194));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold8 (.A(net125),
+    .X(reg_rdata[31]));
+ sky130_fd_sc_hd__clkbuf_2 hold6 (.A(net82),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net123));
- sky130_fd_sc_hd__buf_2 hold80 (.A(net197),
+ sky130_fd_sc_hd__clkbuf_2 hold60 (.A(net84),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(reg_rdata[10]));
- sky130_fd_sc_hd__clkdlybuf4s50_1 hold81 (.A(net61),
+    .X(net177));
+ sky130_fd_sc_hd__dlygate4sd3_1 hold61 (.A(\u_sspim.reg_rdata[9] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net179));
+ sky130_fd_sc_hd__dlygate4sd3_1 hold62 (.A(net179),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net180));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold63 (.A(net180),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net178));
+ sky130_fd_sc_hd__buf_2 hold64 (.A(net181),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(reg_rdata[9]));
+ sky130_fd_sc_hd__dlymetal6s2s_1 hold65 (.A(net91),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net182));
+ sky130_fd_sc_hd__dlygate4sd3_1 hold66 (.A(\u_sspim.reg_rdata[29] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net184));
+ sky130_fd_sc_hd__dlygate4sd3_1 hold67 (.A(net184),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net185));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold68 (.A(net185),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net183));
+ sky130_fd_sc_hd__buf_2 hold69 (.A(net186),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(reg_rdata[29]));
+ sky130_fd_sc_hd__dlygate4sd3_1 hold7 (.A(\u_sspim.reg_rdata[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net125));
+ sky130_fd_sc_hd__clkbuf_2 hold70 (.A(net81),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net187));
+ sky130_fd_sc_hd__dlygate4sd3_1 hold71 (.A(\u_sspim.reg_rdata[28] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net189));
+ sky130_fd_sc_hd__dlygate4sd3_1 hold72 (.A(net189),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net190));
+ sky130_fd_sc_hd__clkdlybuf4s50_1 hold73 (.A(net190),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net188));
+ sky130_fd_sc_hd__dlygate4sd3_1 hold74 (.A(\u_sspim.reg_rdata[21] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net192));
+ sky130_fd_sc_hd__dlygate4sd3_1 hold75 (.A(net192),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net193));
+ sky130_fd_sc_hd__clkdlybuf4s50_1 hold76 (.A(net193),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net191));
+ sky130_fd_sc_hd__buf_2 hold77 (.A(net194),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(reg_rdata[21]));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold78 (.A(net73),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net195));
+ sky130_fd_sc_hd__dlygate4sd3_1 hold79 (.A(\u_sspim.reg_rdata[10] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net197));
+ sky130_fd_sc_hd__dlygate4sd3_1 hold8 (.A(net125),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net126));
+ sky130_fd_sc_hd__dlygate4sd3_1 hold80 (.A(net197),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net198));
- sky130_fd_sc_hd__dlygate4sd3_1 hold82 (.A(_05780_),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold81 (.A(net198),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net196));
+ sky130_fd_sc_hd__buf_2 hold82 (.A(net199),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(reg_rdata[10]));
+ sky130_fd_sc_hd__dlymetal6s2s_1 hold83 (.A(net61),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net200));
- sky130_fd_sc_hd__dlygate4sd3_1 hold83 (.A(net200),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net201));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold84 (.A(net201),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net199));
- sky130_fd_sc_hd__dlygate4sd3_1 hold85 (.A(_05715_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net203));
- sky130_fd_sc_hd__dlygate4sd3_1 hold86 (.A(net203),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net204));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold87 (.A(net204),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold84 (.A(\u_sspim.reg_rdata[20] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net202));
- sky130_fd_sc_hd__buf_2 hold88 (.A(net205),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold85 (.A(net202),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(reg_rdata[8]));
- sky130_fd_sc_hd__clkdlybuf4s50_1 hold89 (.A(net90),
+    .X(net203));
+ sky130_fd_sc_hd__clkdlybuf4s50_1 hold86 (.A(net203),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net206));
- sky130_fd_sc_hd__buf_2 hold9 (.A(net126),
+    .X(net201));
+ sky130_fd_sc_hd__buf_2 hold87 (.A(net204),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(reg_rdata[16]));
- sky130_fd_sc_hd__dlygate4sd3_1 hold90 (.A(_05733_),
+    .X(reg_rdata[20]));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold88 (.A(net72),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net208));
- sky130_fd_sc_hd__dlygate4sd3_1 hold91 (.A(net208),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net209));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold92 (.A(net209),
+    .X(net205));
+ sky130_fd_sc_hd__dlygate4sd3_1 hold89 (.A(\u_sspim.reg_rdata[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net207));
- sky130_fd_sc_hd__buf_2 hold93 (.A(net210),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold9 (.A(net126),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net124));
+ sky130_fd_sc_hd__dlygate4sd3_1 hold90 (.A(net207),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net208));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold91 (.A(net208),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net206));
+ sky130_fd_sc_hd__buf_2 hold92 (.A(net209),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(reg_rdata[13]));
- sky130_fd_sc_hd__clkdlybuf4s50_1 hold94 (.A(net64),
+ sky130_fd_sc_hd__dlymetal6s2s_1 hold93 (.A(net64),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net211));
- sky130_fd_sc_hd__dlygate4sd3_1 hold95 (.A(_05778_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net213));
- sky130_fd_sc_hd__dlygate4sd3_1 hold96 (.A(net213),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net214));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold97 (.A(net214),
+    .X(net210));
+ sky130_fd_sc_hd__dlygate4sd3_1 hold94 (.A(\u_sspim.reg_rdata[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net212));
- sky130_fd_sc_hd__buf_2 hold98 (.A(net215),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold95 (.A(net212),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(reg_rdata[27]));
- sky130_fd_sc_hd__clkdlybuf4s50_1 hold99 (.A(net79),
+    .X(net213));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold96 (.A(net213),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net211));
+ sky130_fd_sc_hd__dlygate4sd3_1 hold97 (.A(\u_sspim.reg_rdata[8] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net215));
+ sky130_fd_sc_hd__dlygate4sd3_1 hold98 (.A(net215),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net216));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold99 (.A(net216),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net214));
  sky130_fd_sc_hd__clkbuf_16 input1 (.A(i2c_rstn),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net1));
- sky130_fd_sc_hd__buf_4 input10 (.A(reg_be[0]),
+ sky130_fd_sc_hd__buf_2 input10 (.A(reg_be[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -255111,7 +254342,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net13));
- sky130_fd_sc_hd__buf_4 input14 (.A(reg_cs),
+ sky130_fd_sc_hd__clkbuf_4 input14 (.A(reg_cs),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -255129,19 +254360,19 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net16));
- sky130_fd_sc_hd__buf_2 input17 (.A(reg_wdata[11]),
+ sky130_fd_sc_hd__clkbuf_4 input17 (.A(reg_wdata[11]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net17));
- sky130_fd_sc_hd__buf_2 input18 (.A(reg_wdata[12]),
+ sky130_fd_sc_hd__clkbuf_4 input18 (.A(reg_wdata[12]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net18));
- sky130_fd_sc_hd__buf_2 input19 (.A(reg_wdata[13]),
+ sky130_fd_sc_hd__clkbuf_4 input19 (.A(reg_wdata[13]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -255159,13 +254390,13 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net20));
- sky130_fd_sc_hd__clkbuf_4 input21 (.A(reg_wdata[15]),
+ sky130_fd_sc_hd__buf_2 input21 (.A(reg_wdata[15]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net21));
- sky130_fd_sc_hd__buf_2 input22 (.A(reg_wdata[16]),
+ sky130_fd_sc_hd__clkbuf_4 input22 (.A(reg_wdata[16]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -255177,19 +254408,19 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net23));
- sky130_fd_sc_hd__buf_2 input24 (.A(reg_wdata[18]),
+ sky130_fd_sc_hd__clkbuf_4 input24 (.A(reg_wdata[18]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net24));
- sky130_fd_sc_hd__buf_2 input25 (.A(reg_wdata[19]),
+ sky130_fd_sc_hd__clkbuf_4 input25 (.A(reg_wdata[19]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net25));
- sky130_fd_sc_hd__buf_6 input26 (.A(reg_wdata[1]),
+ sky130_fd_sc_hd__buf_4 input26 (.A(reg_wdata[1]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -255225,7 +254456,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net30));
- sky130_fd_sc_hd__clkbuf_2 input31 (.A(reg_wdata[24]),
+ sky130_fd_sc_hd__clkbuf_1 input31 (.A(reg_wdata[24]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -255249,19 +254480,19 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net34));
- sky130_fd_sc_hd__buf_2 input35 (.A(reg_wdata[28]),
+ sky130_fd_sc_hd__clkbuf_2 input35 (.A(reg_wdata[28]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net35));
- sky130_fd_sc_hd__buf_2 input36 (.A(reg_wdata[29]),
+ sky130_fd_sc_hd__clkbuf_2 input36 (.A(reg_wdata[29]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net36));
- sky130_fd_sc_hd__clkbuf_8 input37 (.A(reg_wdata[2]),
+ sky130_fd_sc_hd__buf_6 input37 (.A(reg_wdata[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -255285,7 +254516,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net4));
- sky130_fd_sc_hd__buf_4 input40 (.A(reg_wdata[3]),
+ sky130_fd_sc_hd__clkbuf_4 input40 (.A(reg_wdata[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -255309,7 +254540,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net43));
- sky130_fd_sc_hd__clkbuf_8 input44 (.A(reg_wdata[7]),
+ sky130_fd_sc_hd__buf_4 input44 (.A(reg_wdata[7]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -255321,13 +254552,13 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net45));
- sky130_fd_sc_hd__buf_4 input46 (.A(reg_wdata[9]),
+ sky130_fd_sc_hd__clkbuf_4 input46 (.A(reg_wdata[9]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net46));
- sky130_fd_sc_hd__clkbuf_4 input47 (.A(reg_wr),
+ sky130_fd_sc_hd__buf_2 input47 (.A(reg_wr),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -255339,7 +254570,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net48));
- sky130_fd_sc_hd__dlymetal6s2s_1 input49 (.A(sda_pad_i),
+ sky130_fd_sc_hd__clkbuf_2 input49 (.A(sda_pad_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -255363,7 +254594,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net51));
- sky130_fd_sc_hd__buf_6 input52 (.A(uart_rstn),
+ sky130_fd_sc_hd__buf_4 input52 (.A(uart_rstn),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -255411,13 +254642,13 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net7));
- sky130_fd_sc_hd__dlymetal6s2s_1 input8 (.A(reg_addr[6]),
+ sky130_fd_sc_hd__buf_2 input8 (.A(reg_addr[6]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net8));
- sky130_fd_sc_hd__clkbuf_2 input9 (.A(reg_addr[7]),
+ sky130_fd_sc_hd__buf_2 input9 (.A(reg_addr[7]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -255447,204 +254678,204 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(i2cm_intr_o));
- sky130_fd_sc_hd__buf_2 output59 (.A(net236),
+ sky130_fd_sc_hd__buf_2 output59 (.A(net284),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net234));
- sky130_fd_sc_hd__buf_2 output60 (.A(net280),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net278));
- sky130_fd_sc_hd__buf_2 output61 (.A(net198),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net197));
- sky130_fd_sc_hd__buf_2 output62 (.A(net62),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net141));
- sky130_fd_sc_hd__buf_2 output63 (.A(net63),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net169));
- sky130_fd_sc_hd__buf_2 output64 (.A(net211),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net210));
- sky130_fd_sc_hd__buf_2 output65 (.A(net150),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net149));
- sky130_fd_sc_hd__buf_2 output66 (.A(net155),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net154));
- sky130_fd_sc_hd__buf_2 output67 (.A(net127),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net126));
- sky130_fd_sc_hd__buf_2 output68 (.A(net294),
+    .X(net283));
+ sky130_fd_sc_hd__buf_2 output60 (.A(net294),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net293));
- sky130_fd_sc_hd__buf_2 output69 (.A(net122),
+ sky130_fd_sc_hd__buf_2 output61 (.A(net200),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net199));
+ sky130_fd_sc_hd__buf_2 output62 (.A(net172),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net171));
+ sky130_fd_sc_hd__buf_2 output63 (.A(net266),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net265));
+ sky130_fd_sc_hd__buf_2 output64 (.A(net210),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net209));
+ sky130_fd_sc_hd__buf_2 output65 (.A(net223),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net222));
+ sky130_fd_sc_hd__buf_2 output66 (.A(net233),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net232));
+ sky130_fd_sc_hd__buf_2 output67 (.A(net164),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net163));
+ sky130_fd_sc_hd__buf_2 output68 (.A(net139),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net138));
+ sky130_fd_sc_hd__buf_2 output69 (.A(net159),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net158));
+ sky130_fd_sc_hd__buf_2 output70 (.A(net134),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net133));
+ sky130_fd_sc_hd__buf_2 output71 (.A(net258),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net256));
+ sky130_fd_sc_hd__buf_2 output72 (.A(net205),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net204));
+ sky130_fd_sc_hd__buf_2 output73 (.A(net195),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net194));
+ sky130_fd_sc_hd__buf_2 output74 (.A(net248),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net247));
+ sky130_fd_sc_hd__buf_2 output75 (.A(net240),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net239));
+ sky130_fd_sc_hd__buf_2 output76 (.A(net228),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net227));
+ sky130_fd_sc_hd__buf_2 output77 (.A(net77),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(reg_rdata[25]));
+ sky130_fd_sc_hd__buf_2 output78 (.A(net252),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net251));
+ sky130_fd_sc_hd__buf_2 output79 (.A(net244),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net243));
+ sky130_fd_sc_hd__buf_2 output80 (.A(net307),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net306));
+ sky130_fd_sc_hd__buf_2 output81 (.A(net187),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net186));
+ sky130_fd_sc_hd__buf_2 output82 (.A(net123),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net121));
- sky130_fd_sc_hd__buf_2 output70 (.A(net165),
+ sky130_fd_sc_hd__buf_2 output83 (.A(net262),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net164));
- sky130_fd_sc_hd__buf_2 output71 (.A(net248),
+    .X(net261));
+ sky130_fd_sc_hd__buf_2 output84 (.A(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net246));
- sky130_fd_sc_hd__buf_2 output72 (.A(net137),
+    .X(net176));
+ sky130_fd_sc_hd__buf_2 output85 (.A(net272),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net136));
- sky130_fd_sc_hd__buf_2 output73 (.A(net132),
+    .X(net271));
+ sky130_fd_sc_hd__buf_2 output86 (.A(net129),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net131));
- sky130_fd_sc_hd__buf_2 output74 (.A(net193),
+    .X(net127));
+ sky130_fd_sc_hd__buf_2 output87 (.A(net148),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net192));
- sky130_fd_sc_hd__buf_2 output75 (.A(net188),
+    .X(net146));
+ sky130_fd_sc_hd__buf_2 output88 (.A(net278),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net187));
- sky130_fd_sc_hd__buf_2 output76 (.A(net178),
+    .X(net277));
+ sky130_fd_sc_hd__buf_2 output89 (.A(net154),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net177));
- sky130_fd_sc_hd__buf_2 output77 (.A(net183),
+    .X(net152));
+ sky130_fd_sc_hd__buf_2 output90 (.A(net218),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net182));
- sky130_fd_sc_hd__buf_2 output78 (.A(net160),
+    .X(net217));
+ sky130_fd_sc_hd__buf_2 output91 (.A(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net159));
- sky130_fd_sc_hd__buf_2 output79 (.A(net216),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net215));
- sky130_fd_sc_hd__buf_2 output80 (.A(net299),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net298));
- sky130_fd_sc_hd__buf_2 output81 (.A(net221),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net220));
- sky130_fd_sc_hd__buf_2 output82 (.A(net256),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net254));
- sky130_fd_sc_hd__buf_2 output83 (.A(net231),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net230));
- sky130_fd_sc_hd__buf_2 output84 (.A(net84),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net225));
- sky130_fd_sc_hd__buf_2 output85 (.A(net268),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net266));
- sky130_fd_sc_hd__buf_2 output86 (.A(net242),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net240));
- sky130_fd_sc_hd__buf_2 output87 (.A(net287),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net285));
- sky130_fd_sc_hd__buf_2 output88 (.A(net262),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net260));
- sky130_fd_sc_hd__buf_2 output89 (.A(net274),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net272));
- sky130_fd_sc_hd__buf_2 output90 (.A(net206),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net205));
- sky130_fd_sc_hd__buf_2 output91 (.A(net297),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net296));
+    .X(net181));
  sky130_fd_sc_hd__buf_2 output92 (.A(net92),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -255699,25 +254930,25 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net103));
- sky130_fd_sc_hd__buf_12 repeater104 (.A(net105),
+ sky130_fd_sc_hd__buf_12 repeater104 (.A(net344),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net104));
- sky130_fd_sc_hd__buf_12 repeater105 (.A(net346),
+ sky130_fd_sc_hd__buf_12 repeater105 (.A(net344),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net105));
- sky130_fd_sc_hd__buf_12 repeater106 (.A(net108),
+ sky130_fd_sc_hd__buf_12 repeater106 (.A(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net106));
- sky130_fd_sc_hd__buf_12 repeater107 (.A(net108),
+ sky130_fd_sc_hd__buf_12 repeater107 (.A(net110),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
diff --git a/verilog/gl/wb_host.v b/verilog/gl/wb_host.v
index 4197e6d..e48b0f7 100644
--- a/verilog/gl/wb_host.v
+++ b/verilog/gl/wb_host.v
@@ -3438,6 +3438,56 @@
  wire _3360_;
  wire _3361_;
  wire _3362_;
+ wire _3363_;
+ wire _3364_;
+ wire _3365_;
+ wire _3366_;
+ wire _3367_;
+ wire _3368_;
+ wire _3369_;
+ wire _3370_;
+ wire _3371_;
+ wire _3372_;
+ wire _3373_;
+ wire _3374_;
+ wire _3375_;
+ wire _3376_;
+ wire _3377_;
+ wire _3378_;
+ wire _3379_;
+ wire _3380_;
+ wire _3381_;
+ wire _3382_;
+ wire _3383_;
+ wire _3384_;
+ wire _3385_;
+ wire _3386_;
+ wire _3387_;
+ wire _3388_;
+ wire _3389_;
+ wire _3390_;
+ wire _3391_;
+ wire _3392_;
+ wire _3393_;
+ wire _3394_;
+ wire _3395_;
+ wire _3396_;
+ wire _3397_;
+ wire _3398_;
+ wire _3399_;
+ wire _3400_;
+ wire _3401_;
+ wire _3402_;
+ wire _3403_;
+ wire _3404_;
+ wire _3405_;
+ wire _3406_;
+ wire _3407_;
+ wire _3408_;
+ wire _3409_;
+ wire _3410_;
+ wire _3411_;
+ wire _3412_;
  wire \clknet_0_u_uart2wb.baud_clk_16x ;
  wire clknet_0_wbm_clk_i;
  wire clknet_0_wbs_clk_i;
@@ -3503,9 +3553,7 @@
  wire clknet_leaf_21_wbm_clk_i;
  wire \clknet_leaf_22_u_uart2wb.baud_clk_16x ;
  wire clknet_leaf_22_wbm_clk_i;
- wire \clknet_leaf_23_u_uart2wb.baud_clk_16x ;
  wire clknet_leaf_23_wbm_clk_i;
- wire \clknet_leaf_24_u_uart2wb.baud_clk_16x ;
  wire clknet_leaf_24_wbm_clk_i;
  wire \clknet_leaf_25_u_uart2wb.baud_clk_16x ;
  wire clknet_leaf_25_wbm_clk_i;
@@ -3533,6 +3581,7 @@
  wire clknet_leaf_35_wbm_clk_i;
  wire \clknet_leaf_36_u_uart2wb.baud_clk_16x ;
  wire clknet_leaf_36_wbm_clk_i;
+ wire \clknet_leaf_37_u_uart2wb.baud_clk_16x ;
  wire clknet_leaf_37_wbm_clk_i;
  wire \clknet_leaf_38_u_uart2wb.baud_clk_16x ;
  wire clknet_leaf_38_wbm_clk_i;
@@ -3558,6 +3607,7 @@
  wire clknet_leaf_4_wbm_clk_i;
  wire clknet_leaf_50_wbm_clk_i;
  wire clknet_leaf_51_wbm_clk_i;
+ wire clknet_leaf_52_wbm_clk_i;
  wire clknet_leaf_53_wbm_clk_i;
  wire clknet_leaf_54_wbm_clk_i;
  wire clknet_leaf_55_wbm_clk_i;
@@ -3573,19 +3623,22 @@
  wire clknet_leaf_63_wbm_clk_i;
  wire clknet_leaf_64_wbm_clk_i;
  wire clknet_leaf_65_wbm_clk_i;
+ wire clknet_leaf_66_wbm_clk_i;
+ wire clknet_leaf_67_wbm_clk_i;
+ wire clknet_leaf_68_wbm_clk_i;
+ wire clknet_leaf_69_wbm_clk_i;
  wire \clknet_leaf_6_u_uart2wb.baud_clk_16x ;
  wire clknet_leaf_6_wbm_clk_i;
+ wire clknet_leaf_70_wbm_clk_i;
+ wire clknet_leaf_71_wbm_clk_i;
+ wire clknet_leaf_72_wbm_clk_i;
  wire \clknet_leaf_7_u_uart2wb.baud_clk_16x ;
  wire clknet_leaf_7_wbm_clk_i;
  wire \clknet_leaf_8_u_uart2wb.baud_clk_16x ;
  wire clknet_leaf_8_wbm_clk_i;
  wire \clknet_leaf_9_u_uart2wb.baud_clk_16x ;
  wire clknet_leaf_9_wbm_clk_i;
- wire \clknet_opt_1_0_u_uart2wb.baud_clk_16x ;
  wire clknet_opt_1_0_wbm_clk_i;
- wire \clknet_opt_2_0_u_uart2wb.baud_clk_16x ;
- wire clknet_opt_2_0_wbm_clk_i;
- wire \clknet_opt_3_0_u_uart2wb.baud_clk_16x ;
  wire net1;
  wire net10;
  wire net100;
@@ -3726,7 +3779,18 @@
  wire net222;
  wire net223;
  wire net224;
+ wire net225;
+ wire net226;
+ wire net227;
+ wire net228;
+ wire net229;
  wire net23;
+ wire net230;
+ wire net231;
+ wire net232;
+ wire net233;
+ wire net234;
+ wire net235;
  wire net24;
  wire net25;
  wire net26;
@@ -4718,9 +4782,17 @@
  wire \u_usbclk.high_count[0] ;
  wire \u_usbclk.high_count[1] ;
  wire \u_usbclk.high_count[2] ;
+ wire \u_usbclk.high_count[3] ;
+ wire \u_usbclk.high_count[4] ;
+ wire \u_usbclk.high_count[5] ;
+ wire \u_usbclk.high_count[6] ;
  wire \u_usbclk.low_count[0] ;
  wire \u_usbclk.low_count[1] ;
  wire \u_usbclk.low_count[2] ;
+ wire \u_usbclk.low_count[3] ;
+ wire \u_usbclk.low_count[4] ;
+ wire \u_usbclk.low_count[5] ;
+ wire \u_usbclk.low_count[6] ;
  wire \u_wbclk.clk_o ;
  wire \u_wbclk.high_count[0] ;
  wire \u_wbclk.high_count[1] ;
@@ -4768,7007 +4840,6912 @@
  wire wb_err_o1;
  wire wb_req;
 
- sky130_fd_sc_hd__diode_2 ANTENNA__3378__A (.DIODE(la_data_in[3]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3424__A (.DIODE(la_data_in[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3381__A (.DIODE(la_data_in[1]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3427__A (.DIODE(la_data_in[1]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3381__B (.DIODE(\u_uart2wb.tx_data_avail ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3429__A (.DIODE(la_data_in[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3383__A (.DIODE(la_data_in[3]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3433__A (.DIODE(la_data_in[16]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3387__A (.DIODE(la_data_in[16]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3433__B (.DIODE(la_data_in[17]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3387__B (.DIODE(la_data_in[17]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3442__B (.DIODE(la_data_in[1]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3394__A2 (.DIODE(_0896_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3445__A (.DIODE(la_data_in[16]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3396__B (.DIODE(la_data_in[1]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3445__B (.DIODE(la_data_in[17]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3396__C (.DIODE(\u_uart2wb.tx_data_avail ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3448__A (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3399__A (.DIODE(la_data_in[16]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3453__A (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3399__B (.DIODE(la_data_in[17]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3455__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3400__A2 (.DIODE(_0906_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3455__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3401__A (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3456__B1_N (.DIODE(_0921_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3406__A (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3459__A0 (.DIODE(\u_uart2wb.reg_wr ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3410__A (.DIODE(_0915_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3459__A1 (.DIODE(wbm_we_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3413__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3460__A (.DIODE(_0924_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3413__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3463__B (.DIODE(_0927_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3414__B (.DIODE(net197),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3467__A2 (.DIODE(_0927_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3419__A1 (.DIODE(wbm_adr_i[23]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3468__A2 (.DIODE(_0927_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3420__A (.DIODE(wb_req),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3469__A2 (.DIODE(_0927_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3420__B (.DIODE(_0924_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3471__A (.DIODE(wb_req),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3430__A (.DIODE(wb_req),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3472__A1 (.DIODE(wbm_adr_i[23]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3431__A1 (.DIODE(wbm_adr_i[23]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3472__C1 (.DIODE(_0936_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3431__C1 (.DIODE(_0935_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3472__D1 (.DIODE(\u_async_wb.PendingRd ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3431__D1 (.DIODE(\u_async_wb.PendingRd ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3473__B1 (.DIODE(_0937_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3432__B1 (.DIODE(_0936_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3474__A (.DIODE(_0925_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3433__A0 (.DIODE(\u_uart2wb.reg_wr ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3478__A1 (.DIODE(wbm_adr_i[23]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3433__A1 (.DIODE(wbm_we_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3479__A1 (.DIODE(_0941_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3434__A (.DIODE(_0938_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3479__A2 (.DIODE(_0942_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3435__C (.DIODE(_0939_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3479__B1 (.DIODE(_0936_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3436__A (.DIODE(_0938_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3479__C1 (.DIODE(_0943_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3443__A (.DIODE(_0935_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3480__A (.DIODE(wb_req),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3443__B (.DIODE(_0924_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3480__B (.DIODE(_0943_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3443__D (.DIODE(_0947_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3481__A1 (.DIODE(_0924_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3445__A2 (.DIODE(_0940_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3483__A1 (.DIODE(_0939_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3447__A (.DIODE(_0950_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3485__A (.DIODE(_0948_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3448__A (.DIODE(wb_ack_o),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3486__A (.DIODE(wb_ack_o),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3451__A (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3486__B (.DIODE(wb_ack_o1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3453__A (.DIODE(_0953_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3490__A (.DIODE(_0950_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3454__A (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3493__A (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3455__A (.DIODE(_0955_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3494__A (.DIODE(_0954_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3456__A (.DIODE(_0956_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3495__A (.DIODE(_0955_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3458__B (.DIODE(_0958_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3496__A (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3461__B (.DIODE(_0960_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3497__A (.DIODE(_0957_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3463__A (.DIODE(_0953_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3498__A (.DIODE(_0958_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3465__B (.DIODE(_0963_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3499__S0 (.DIODE(_0956_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3468__A (.DIODE(_0956_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3499__S1 (.DIODE(_0959_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3470__B (.DIODE(_0967_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3500__B (.DIODE(_0960_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3473__B (.DIODE(_0969_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3502__S0 (.DIODE(_0956_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3476__B (.DIODE(_0971_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3502__S1 (.DIODE(_0959_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3479__A (.DIODE(_0973_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3503__B (.DIODE(_0962_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3480__A (.DIODE(_0974_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3505__A (.DIODE(_0955_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3482__B (.DIODE(_0976_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3506__S1 (.DIODE(_0959_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3484__A (.DIODE(_0915_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3507__B (.DIODE(_0965_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3486__A (.DIODE(_0955_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3509__A (.DIODE(_0958_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3487__A (.DIODE(_0980_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3511__B (.DIODE(_0968_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3488__A (.DIODE(_0981_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3518__B (.DIODE(_0973_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3498__A (.DIODE(_0974_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3520__A (.DIODE(_0954_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3499__S0 (.DIODE(_0989_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3521__A (.DIODE(_0975_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3503__A (.DIODE(_0981_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3522__A (.DIODE(_0976_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3504__S0 (.DIODE(_0989_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3523__S0 (.DIODE(_0977_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3507__S0 (.DIODE(_0989_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3524__B (.DIODE(_0978_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3510__S0 (.DIODE(_0989_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3526__A (.DIODE(_0957_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3511__B (.DIODE(_0998_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3528__A (.DIODE(_0981_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3513__A (.DIODE(_0974_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3529__S0 (.DIODE(_0977_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3515__B (.DIODE(_1001_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3533__S0 (.DIODE(_0977_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3518__A (.DIODE(_0981_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3536__S0 (.DIODE(_0977_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3520__B (.DIODE(_1005_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3539__A (.DIODE(_0976_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3523__B (.DIODE(_1007_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3540__S0 (.DIODE(_0990_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3526__B (.DIODE(_1009_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3543__A (.DIODE(_0981_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3528__A (.DIODE(_0974_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3544__S0 (.DIODE(_0990_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3530__B (.DIODE(_1012_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3545__B (.DIODE(_0994_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3533__A (.DIODE(_0981_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3548__S0 (.DIODE(_0990_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3535__B (.DIODE(_1016_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3549__B (.DIODE(_0997_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3538__B (.DIODE(_1018_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3551__S0 (.DIODE(_0990_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3541__B (.DIODE(_1020_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3552__B (.DIODE(_0999_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3546__B (.DIODE(_1024_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3554__A (.DIODE(_0976_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3548__A (.DIODE(_0915_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3556__B (.DIODE(_1002_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3549__A (.DIODE(_1026_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3558__A (.DIODE(_0981_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3550__A (.DIODE(_0955_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3560__B (.DIODE(_1005_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3553__B (.DIODE(_1030_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3565__B (.DIODE(_1009_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3554__A (.DIODE(_1031_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3568__B (.DIODE(_1011_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3556__B (.DIODE(_1032_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3570__A (.DIODE(_0976_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3557__A (.DIODE(_1033_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3572__B (.DIODE(_1014_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3559__B (.DIODE(_1034_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3574__A (.DIODE(_0981_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3560__A (.DIODE(_1035_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3576__B (.DIODE(_1017_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3563__B (.DIODE(_1037_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3580__B (.DIODE(_1020_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3564__A (.DIODE(_1038_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3583__B (.DIODE(_1022_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3565__A (.DIODE(_1026_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3585__A (.DIODE(_0954_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3568__B (.DIODE(_1041_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3587__S0 (.DIODE(_1025_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3569__A (.DIODE(_1042_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3588__B (.DIODE(_1026_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3571__B (.DIODE(_1043_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3590__A (.DIODE(_0957_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3572__A (.DIODE(_1044_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3592__S0 (.DIODE(_1025_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3574__B (.DIODE(_1045_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3593__B (.DIODE(_1030_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3575__A (.DIODE(_1046_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3596__S0 (.DIODE(_1025_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3578__B (.DIODE(_1048_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3597__B (.DIODE(_1033_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3579__A (.DIODE(_1049_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3599__S0 (.DIODE(_1025_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3580__A (.DIODE(_1026_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3600__B (.DIODE(_1035_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3583__B (.DIODE(_1052_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3604__B (.DIODE(_1038_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3584__A (.DIODE(_1053_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3608__B (.DIODE(_1041_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3586__B (.DIODE(_1054_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3612__B (.DIODE(_1044_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3587__A (.DIODE(_1055_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3615__B (.DIODE(_1046_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3589__B (.DIODE(_1056_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3619__B (.DIODE(_1049_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3590__A (.DIODE(_1057_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3623__B (.DIODE(_1052_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3593__B (.DIODE(_1059_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3628__B (.DIODE(_1056_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3594__A (.DIODE(_1060_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3631__B (.DIODE(_1058_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3595__A (.DIODE(_1026_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3635__B (.DIODE(_1061_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3598__B (.DIODE(_1063_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3639__B (.DIODE(_1064_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3601__B (.DIODE(_1065_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3643__B (.DIODE(_1067_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3604__B (.DIODE(_1067_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3646__B (.DIODE(_1069_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3607__A (.DIODE(_1069_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3648__A (.DIODE(_0954_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3609__B (.DIODE(_1071_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3649__A (.DIODE(_1071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3611__A (.DIODE(net206),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3651__B (.DIODE(_1073_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3613__A (.DIODE(_0955_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3653__A (.DIODE(_0957_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3614__A (.DIODE(_1075_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3656__B (.DIODE(_1077_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3616__B (.DIODE(_1077_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3660__B (.DIODE(_1080_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3619__B (.DIODE(_1079_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3663__B (.DIODE(_1082_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3622__B (.DIODE(_1081_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3665__A (.DIODE(_1071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3624__A (.DIODE(_1069_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3667__B (.DIODE(_1085_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3626__B (.DIODE(_1084_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3671__B (.DIODE(_1088_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3629__A (.DIODE(_1075_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3675__B (.DIODE(_1091_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3631__B (.DIODE(_1088_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3678__B (.DIODE(_1093_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3634__B (.DIODE(_1090_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3680__A (.DIODE(_1071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3637__B (.DIODE(_1092_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3682__B (.DIODE(_1096_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3639__A (.DIODE(_1069_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3686__B (.DIODE(_1099_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3641__B (.DIODE(_1095_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3688__A (.DIODE(_0950_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3644__A (.DIODE(_1075_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3691__B (.DIODE(_1103_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3646__B (.DIODE(_1099_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3694__B (.DIODE(_1105_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3649__B (.DIODE(_1101_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3696__A (.DIODE(_1071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3652__B (.DIODE(_1103_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3698__B (.DIODE(_1108_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3654__A (.DIODE(_1069_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3701__S1 (.DIODE(_1110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3656__B (.DIODE(_1106_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3702__B (.DIODE(_1111_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3659__A (.DIODE(_1075_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3705__S1 (.DIODE(_1110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3661__B (.DIODE(_1110_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3706__B (.DIODE(_1114_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3664__B (.DIODE(_1112_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3708__S1 (.DIODE(_1110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3667__B (.DIODE(_1114_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3709__B (.DIODE(_1116_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3669__A (.DIODE(_0973_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3711__A (.DIODE(_0975_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3671__B (.DIODE(_1117_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3712__S1 (.DIODE(_1110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3674__A (.DIODE(_0980_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3713__B (.DIODE(_1119_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3675__S1 (.DIODE(_1120_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3716__S1 (.DIODE(_1121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3676__B (.DIODE(_1121_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3717__B (.DIODE(_1122_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3678__S1 (.DIODE(_1120_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3720__S1 (.DIODE(_1121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3679__B (.DIODE(_1123_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3721__B (.DIODE(_1125_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3681__S1 (.DIODE(_1120_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3723__S1 (.DIODE(_1121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3682__B (.DIODE(_1125_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3724__B (.DIODE(_1127_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3684__A (.DIODE(_0973_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3726__A (.DIODE(_0975_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3685__S0 (.DIODE(_1127_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3727__S1 (.DIODE(_1121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3685__S1 (.DIODE(_1120_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3728__B (.DIODE(_1130_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3686__B (.DIODE(_1128_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3732__B (.DIODE(_1133_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3689__A (.DIODE(_0980_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3736__B (.DIODE(_1136_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3690__S0 (.DIODE(_1127_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3739__B (.DIODE(_1138_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3691__B (.DIODE(_1132_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3741__A (.DIODE(_0975_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3693__S0 (.DIODE(_1127_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3743__B (.DIODE(_1141_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3694__B (.DIODE(_1134_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3756__S0 (.DIODE(_0955_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3696__S0 (.DIODE(_1127_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3759__S0 (.DIODE(_0955_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3697__B (.DIODE(_1136_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3759__S1 (.DIODE(_0958_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3699__A (.DIODE(_0973_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3760__B (.DIODE(_1153_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3701__B (.DIODE(_1139_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3767__A1 (.DIODE(wbm_stb_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3704__A (.DIODE(_0980_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3770__A (.DIODE(\wb_dat_o[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3706__B (.DIODE(_1143_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3772__A (.DIODE(\wb_dat_o[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3709__B (.DIODE(_1145_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3774__A (.DIODE(\wb_dat_o[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3714__S0 (.DIODE(_0953_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3776__A (.DIODE(\wb_dat_o[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3715__B (.DIODE(_1149_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3780__A (.DIODE(\wb_dat_o[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3717__S0 (.DIODE(_0953_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3782__A (.DIODE(\wb_dat_o[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3717__S1 (.DIODE(_0956_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3784__A (.DIODE(\wb_dat_o[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3718__B (.DIODE(_1151_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3786__A (.DIODE(\wb_dat_o[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3725__A1 (.DIODE(wbm_stb_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3789__A (.DIODE(\wb_dat_o[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3725__S (.DIODE(_1157_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3791__A (.DIODE(\wb_dat_o[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3727__A (.DIODE(_1157_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3793__A (.DIODE(\wb_dat_o[10] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3728__A (.DIODE(\wb_dat_o[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3795__A (.DIODE(\wb_dat_o[11] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3730__A (.DIODE(\wb_dat_o[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3798__A (.DIODE(\wb_dat_o[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3732__A (.DIODE(\wb_dat_o[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3800__A (.DIODE(\wb_dat_o[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3734__A (.DIODE(\wb_dat_o[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3802__A (.DIODE(\wb_dat_o[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3738__A (.DIODE(\wb_dat_o[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3804__A (.DIODE(\wb_dat_o[15] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3740__A (.DIODE(\wb_dat_o[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3807__A (.DIODE(\wb_dat_o[16] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3742__A (.DIODE(\wb_dat_o[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3809__A (.DIODE(\wb_dat_o[17] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3744__A (.DIODE(\wb_dat_o[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3811__A (.DIODE(\wb_dat_o[18] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3747__A (.DIODE(\wb_dat_o[8] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3813__A (.DIODE(\wb_dat_o[19] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3749__A (.DIODE(\wb_dat_o[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3818__A (.DIODE(\wb_dat_o[20] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3751__A (.DIODE(\wb_dat_o[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3820__A (.DIODE(\wb_dat_o[21] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3753__A (.DIODE(\wb_dat_o[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3822__A (.DIODE(\wb_dat_o[22] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3756__A (.DIODE(\wb_dat_o[12] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3824__A (.DIODE(\wb_dat_o[23] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3758__A (.DIODE(\wb_dat_o[13] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3827__A (.DIODE(\wb_dat_o[24] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3760__A (.DIODE(\wb_dat_o[14] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3829__A (.DIODE(\wb_dat_o[25] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3762__A (.DIODE(\wb_dat_o[15] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3831__A (.DIODE(\wb_dat_o[26] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3765__A (.DIODE(\wb_dat_o[16] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3833__A (.DIODE(\wb_dat_o[27] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3767__A (.DIODE(\wb_dat_o[17] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3836__A (.DIODE(\wb_dat_o[28] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3769__A (.DIODE(\wb_dat_o[18] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3838__A (.DIODE(\wb_dat_o[29] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3771__A (.DIODE(\wb_dat_o[19] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3840__A (.DIODE(\wb_dat_o[30] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3776__A (.DIODE(\wb_dat_o[20] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3842__A (.DIODE(\wb_dat_o[31] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3778__A (.DIODE(\wb_dat_o[21] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3851__B (.DIODE(_1210_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3780__A (.DIODE(\wb_dat_o[22] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3852__A (.DIODE(_1211_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3782__A (.DIODE(\wb_dat_o[23] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3871__A (.DIODE(_1225_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3785__A (.DIODE(\wb_dat_o[24] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3880__A1 (.DIODE(\u_clkbuf_rtc.A ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3787__A (.DIODE(\wb_dat_o[25] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3902__A_N (.DIODE(net209),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3789__A (.DIODE(\wb_dat_o[26] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3902__B (.DIODE(la_data_in[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3791__A (.DIODE(\wb_dat_o[27] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3903__A (.DIODE(net208),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3794__A (.DIODE(\wb_dat_o[28] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3904__A (.DIODE(wb_ack_o),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3796__A (.DIODE(\wb_dat_o[29] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3925__A (.DIODE(wbm_sel_i[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3798__A (.DIODE(\wb_dat_o[30] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3933__A0 (.DIODE(_1268_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3800__A (.DIODE(\wb_dat_o[31] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3935__A (.DIODE(wbm_sel_i[1]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3803__A (.DIODE(_1202_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3936__A0 (.DIODE(_1277_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3808__B (.DIODE(_1207_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3938__A (.DIODE(wbm_sel_i[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3809__A (.DIODE(_1208_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3939__A0 (.DIODE(_1279_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3829__A1 (.DIODE(\u_clkbuf_rtc.A ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3941__A (.DIODE(wbm_sel_i[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3829__A2 (.DIODE(_1221_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3943__A0 (.DIODE(_1281_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3846__A_N (.DIODE(wbm_rst_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3945__A1 (.DIODE(wbm_dat_i[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3846__B (.DIODE(la_data_in[0]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3946__A (.DIODE(_1284_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3847__A (.DIODE(_1235_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3947__A0 (.DIODE(_1285_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3848__A (.DIODE(wb_ack_o),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3949__A1 (.DIODE(wbm_dat_i[1]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3848__B (.DIODE(_1157_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3950__A (.DIODE(_1287_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3850__B (.DIODE(_1157_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3951__A0 (.DIODE(_1288_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3862__A2 (.DIODE(_1242_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3954__A1 (.DIODE(wbm_dat_i[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3866__A (.DIODE(wbm_sel_i[0]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3955__A (.DIODE(_1291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3872__A (.DIODE(_1256_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3956__A0 (.DIODE(_1292_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3874__A0 (.DIODE(_1251_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3958__A1 (.DIODE(wbm_dat_i[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3876__A (.DIODE(wbm_sel_i[1]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3959__A (.DIODE(_1294_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3877__A0 (.DIODE(_1260_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3961__A0 (.DIODE(_1295_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3879__A (.DIODE(wbm_sel_i[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3963__A1 (.DIODE(wbm_dat_i[4]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3880__A0 (.DIODE(_1262_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3964__A (.DIODE(_1298_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3882__A (.DIODE(wbm_sel_i[3]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3965__A0 (.DIODE(_1299_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3884__A0 (.DIODE(_1264_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3967__A1 (.DIODE(wbm_dat_i[5]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3886__A1 (.DIODE(wbm_dat_i[0]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3968__A (.DIODE(_1301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3887__A (.DIODE(_1267_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3969__A0 (.DIODE(_1302_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3888__A0 (.DIODE(_1268_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3972__A1 (.DIODE(wbm_dat_i[6]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3890__A1 (.DIODE(wbm_dat_i[1]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3973__A (.DIODE(_1305_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3891__A (.DIODE(_1270_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3976__A1 (.DIODE(wbm_dat_i[7]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3892__A0 (.DIODE(_1271_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3977__A (.DIODE(_1308_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3895__A1 (.DIODE(wbm_dat_i[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3979__A (.DIODE(_1310_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3896__A (.DIODE(_1274_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3980__A (.DIODE(_1311_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3897__A0 (.DIODE(_1275_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3983__A1 (.DIODE(wbm_dat_i[8]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3899__A1 (.DIODE(wbm_dat_i[3]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3984__A (.DIODE(_1314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3900__A (.DIODE(_1277_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3987__A1 (.DIODE(wbm_dat_i[9]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3902__A0 (.DIODE(_1278_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3988__A (.DIODE(_1317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3904__A1 (.DIODE(wbm_dat_i[4]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3992__A1 (.DIODE(wbm_dat_i[10]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3905__A (.DIODE(_1281_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3993__A (.DIODE(_1321_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3906__A0 (.DIODE(_1282_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3996__A1 (.DIODE(wbm_dat_i[11]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3908__A1 (.DIODE(wbm_dat_i[5]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3997__A (.DIODE(_1324_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3909__A (.DIODE(_1284_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3998__A (.DIODE(_1311_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3910__A0 (.DIODE(_1285_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4001__A1 (.DIODE(wbm_dat_i[12]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3913__A1 (.DIODE(wbm_dat_i[6]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4002__A (.DIODE(_1328_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3914__A (.DIODE(_1288_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4003__A0 (.DIODE(_1329_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3915__A0 (.DIODE(_1289_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4005__A1 (.DIODE(wbm_dat_i[13]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3917__A1 (.DIODE(wbm_dat_i[7]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4006__A (.DIODE(_1331_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3918__A (.DIODE(_1291_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4011__A1 (.DIODE(wbm_dat_i[14]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3919__A (.DIODE(_1256_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4012__A (.DIODE(_1336_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3920__A (.DIODE(_1293_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4015__A1 (.DIODE(wbm_dat_i[15]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3921__A (.DIODE(_1294_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4016__A (.DIODE(_1339_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3924__A1 (.DIODE(wbm_dat_i[8]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4017__A (.DIODE(_1311_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3925__A (.DIODE(_1297_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4020__A1 (.DIODE(wbm_dat_i[16]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3928__A1 (.DIODE(wbm_dat_i[9]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4021__A (.DIODE(_1343_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3929__A (.DIODE(_1300_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4024__A1 (.DIODE(wbm_dat_i[17]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3930__A0 (.DIODE(_1301_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4025__A (.DIODE(_1346_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3933__A1 (.DIODE(wbm_dat_i[10]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4029__A1 (.DIODE(wbm_dat_i[18]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3934__A (.DIODE(_1304_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4030__A (.DIODE(_1350_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3937__A1 (.DIODE(wbm_dat_i[11]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4033__A1 (.DIODE(wbm_dat_i[19]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3938__A (.DIODE(_1307_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4034__A (.DIODE(_1353_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3939__A (.DIODE(_1294_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4035__A (.DIODE(_1311_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3942__A1 (.DIODE(wbm_dat_i[12]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4038__A1 (.DIODE(wbm_dat_i[20]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3943__A (.DIODE(_1311_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4039__A (.DIODE(_1357_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3946__A1 (.DIODE(wbm_dat_i[13]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4042__A1 (.DIODE(wbm_dat_i[21]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3947__A (.DIODE(_1314_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4043__A (.DIODE(_1360_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3952__A1 (.DIODE(wbm_dat_i[14]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4047__A1 (.DIODE(wbm_dat_i[22]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3953__A (.DIODE(_1319_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4048__A (.DIODE(_1364_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3956__A1 (.DIODE(wbm_dat_i[15]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4051__A1 (.DIODE(wbm_dat_i[23]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3957__A (.DIODE(_1322_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4052__A (.DIODE(_1367_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3958__A (.DIODE(_1294_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4053__A (.DIODE(_1310_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3961__A1 (.DIODE(wbm_dat_i[16]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4054__A (.DIODE(_1369_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3962__A (.DIODE(_1326_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4057__A1 (.DIODE(wbm_dat_i[24]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3965__A1 (.DIODE(wbm_dat_i[17]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4058__A (.DIODE(_1372_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3966__A (.DIODE(_1329_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4061__A1 (.DIODE(wbm_dat_i[25]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3970__A1 (.DIODE(wbm_dat_i[18]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4062__A (.DIODE(_1375_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3971__A (.DIODE(_1333_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4066__A1 (.DIODE(wbm_dat_i[26]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3974__A1 (.DIODE(wbm_dat_i[19]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4067__A (.DIODE(_1379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3975__A (.DIODE(_1336_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4070__A1 (.DIODE(wbm_dat_i[27]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3976__A (.DIODE(_1294_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4071__A (.DIODE(_1382_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3979__A1 (.DIODE(wbm_dat_i[20]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4072__A (.DIODE(_1369_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3980__A (.DIODE(_1340_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4075__A1 (.DIODE(wbm_dat_i[28]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3983__A1 (.DIODE(wbm_dat_i[21]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4076__A (.DIODE(_1386_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3984__A (.DIODE(_1343_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4079__A1 (.DIODE(wbm_dat_i[29]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3988__A1 (.DIODE(wbm_dat_i[22]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4080__A (.DIODE(_1389_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3989__A (.DIODE(_1347_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4083__A1 (.DIODE(wbm_dat_i[30]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3992__A1 (.DIODE(wbm_dat_i[23]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4084__A (.DIODE(_1392_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3993__A (.DIODE(_1350_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4087__A1 (.DIODE(wbm_dat_i[31]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3994__A (.DIODE(_1293_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4088__A (.DIODE(_1395_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3995__A (.DIODE(_1352_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4089__A (.DIODE(_1369_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3998__A1 (.DIODE(wbm_dat_i[24]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4092__A (.DIODE(_0924_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3999__A (.DIODE(_1355_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4096__A1 (.DIODE(wbm_adr_i[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4002__A1 (.DIODE(wbm_dat_i[25]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4097__A0 (.DIODE(_1402_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4003__A (.DIODE(_1358_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4100__A1 (.DIODE(wbm_adr_i[1]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4007__A1 (.DIODE(wbm_dat_i[26]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4101__A0 (.DIODE(_1405_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4008__A (.DIODE(_1362_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4103__C (.DIODE(wbm_adr_i[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4011__A1 (.DIODE(wbm_dat_i[27]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4105__A (.DIODE(_1408_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4012__A (.DIODE(_1365_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4106__A (.DIODE(_1369_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4013__A (.DIODE(_1352_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4109__C (.DIODE(wbm_adr_i[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4014__A0 (.DIODE(_1366_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4111__A (.DIODE(_1413_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4016__A1 (.DIODE(wbm_dat_i[28]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4113__A (.DIODE(_1415_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4017__A (.DIODE(_1369_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4116__A1 (.DIODE(wbm_adr_i[4]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4020__A1 (.DIODE(wbm_dat_i[29]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4117__A0 (.DIODE(_1418_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4021__A (.DIODE(_1372_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4119__A1 (.DIODE(wbm_adr_i[5]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4024__A1 (.DIODE(wbm_dat_i[30]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4120__A0 (.DIODE(_1420_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4025__A (.DIODE(_1375_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4122__A1 (.DIODE(wbm_adr_i[6]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4028__A1 (.DIODE(wbm_dat_i[31]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4124__A (.DIODE(_1423_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4029__A (.DIODE(_1378_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4125__A0 (.DIODE(_1422_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4030__A (.DIODE(_1352_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4129__A1 (.DIODE(wbm_adr_i[7]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4036__A1 (.DIODE(wbm_adr_i[0]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4132__A1 (.DIODE(wbm_adr_i[8]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4037__A0 (.DIODE(_1384_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4135__A1 (.DIODE(wbm_adr_i[9]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4040__A1 (.DIODE(wbm_adr_i[1]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4138__A1 (.DIODE(wbm_adr_i[10]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4041__A0 (.DIODE(_1387_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4139__A (.DIODE(_1423_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4043__C (.DIODE(wbm_adr_i[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4143__A1 (.DIODE(wbm_adr_i[11]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4045__A (.DIODE(_1390_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4146__A1 (.DIODE(wbm_adr_i[12]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4046__A (.DIODE(_1352_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4147__A0 (.DIODE(_1440_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4049__C (.DIODE(wbm_adr_i[3]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4149__A1 (.DIODE(wbm_adr_i[13]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4051__A (.DIODE(_1395_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4152__A1 (.DIODE(wbm_adr_i[14]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4053__A (.DIODE(_1397_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4153__A (.DIODE(_1423_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4056__A1 (.DIODE(wbm_adr_i[4]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4154__A0 (.DIODE(_1444_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4057__A0 (.DIODE(_1400_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4157__A1 (.DIODE(wbm_adr_i[15]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4059__A1 (.DIODE(wbm_adr_i[5]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4160__A1 (.DIODE(wbm_adr_i[16]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4062__A1 (.DIODE(wbm_adr_i[6]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4163__A1 (.DIODE(wbm_adr_i[17]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4063__A (.DIODE(_1256_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4164__A0 (.DIODE(_1452_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4064__A (.DIODE(_1405_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4166__A1 (.DIODE(wbm_adr_i[18]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4069__A1 (.DIODE(wbm_adr_i[7]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4167__A (.DIODE(_1423_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4072__A1 (.DIODE(wbm_adr_i[8]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4168__A0 (.DIODE(_1454_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4073__A0 (.DIODE(_1412_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4171__A1 (.DIODE(wbm_adr_i[19]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4075__A1 (.DIODE(wbm_adr_i[9]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4172__A0 (.DIODE(_1458_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4078__A1 (.DIODE(wbm_adr_i[10]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4174__A1 (.DIODE(wbm_adr_i[20]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4079__A (.DIODE(_1405_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4175__A0 (.DIODE(_1460_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4083__A1 (.DIODE(wbm_adr_i[11]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4177__A1 (.DIODE(wbm_adr_i[21]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4086__A1 (.DIODE(wbm_adr_i[12]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4178__A0 (.DIODE(_1462_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4089__A1 (.DIODE(wbm_adr_i[13]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4180__A1 (.DIODE(wbm_adr_i[22]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4092__A1 (.DIODE(wbm_adr_i[14]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4181__A (.DIODE(_1310_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4093__A (.DIODE(_1405_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4182__A0 (.DIODE(_1464_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4094__A0 (.DIODE(_1426_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4196__A (.DIODE(_1310_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4097__A1 (.DIODE(wbm_adr_i[15]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4211__A (.DIODE(_0941_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4100__A1 (.DIODE(wbm_adr_i[16]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4211__B (.DIODE(_0942_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4103__A1 (.DIODE(wbm_adr_i[17]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4218__A (.DIODE(wbs_ack_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4106__A1 (.DIODE(wbm_adr_i[18]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4232__S (.DIODE(_1501_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4107__A (.DIODE(_1405_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4238__A (.DIODE(_1505_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4111__A1 (.DIODE(wbm_adr_i[19]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4246__B (.DIODE(_1501_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4114__A1 (.DIODE(wbm_adr_i[20]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4247__B (.DIODE(_1501_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4117__A1 (.DIODE(wbm_adr_i[21]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4249__A (.DIODE(_0956_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4120__A1 (.DIODE(wbm_adr_i[22]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4250__A (.DIODE(wbs_ack_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4121__A (.DIODE(_1293_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4252__A (.DIODE(_0958_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4122__A0 (.DIODE(_1446_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4254__B (.DIODE(_0956_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4136__A (.DIODE(_1293_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4260__A0 (.DIODE(wbs_dat_i[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4145__A (.DIODE(\u_async_wb.m_cmd_wr_data[67] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4262__A0 (.DIODE(wbs_dat_i[1]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4148__A (.DIODE(\u_async_wb.m_cmd_wr_data[68] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4264__A0 (.DIODE(wbs_dat_i[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4151__A (.DIODE(_0947_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4266__A0 (.DIODE(wbs_dat_i[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4160__B1 (.DIODE(wbs_ack_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4269__A0 (.DIODE(wbs_dat_i[4]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4161__A (.DIODE(_0919_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4271__A0 (.DIODE(wbs_dat_i[5]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4175__S (.DIODE(_1486_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4273__A0 (.DIODE(wbs_dat_i[6]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4181__A (.DIODE(_1490_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4275__A0 (.DIODE(wbs_dat_i[7]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4189__B (.DIODE(_1486_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4278__A0 (.DIODE(wbs_dat_i[8]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4190__B (.DIODE(_1486_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4280__A0 (.DIODE(wbs_dat_i[9]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4193__A (.DIODE(wbs_ack_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4282__A0 (.DIODE(wbs_dat_i[10]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4195__A (.DIODE(_0956_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4284__A0 (.DIODE(wbs_dat_i[11]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4203__A0 (.DIODE(wbs_dat_i[0]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4288__A0 (.DIODE(wbs_dat_i[12]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4205__A0 (.DIODE(wbs_dat_i[1]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4290__A0 (.DIODE(wbs_dat_i[13]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4207__A0 (.DIODE(wbs_dat_i[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4292__A0 (.DIODE(wbs_dat_i[14]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4209__A0 (.DIODE(wbs_dat_i[3]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4294__A0 (.DIODE(wbs_dat_i[15]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4212__A0 (.DIODE(wbs_dat_i[4]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4297__A0 (.DIODE(wbs_dat_i[16]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4214__A0 (.DIODE(wbs_dat_i[5]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4299__A0 (.DIODE(wbs_dat_i[17]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4216__A0 (.DIODE(wbs_dat_i[6]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4301__A0 (.DIODE(wbs_dat_i[18]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4218__A0 (.DIODE(wbs_dat_i[7]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4303__A0 (.DIODE(wbs_dat_i[19]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4221__A0 (.DIODE(wbs_dat_i[8]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4306__A0 (.DIODE(wbs_dat_i[20]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4223__A0 (.DIODE(wbs_dat_i[9]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4308__A0 (.DIODE(wbs_dat_i[21]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4225__A0 (.DIODE(wbs_dat_i[10]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4310__A0 (.DIODE(wbs_dat_i[22]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4227__A0 (.DIODE(wbs_dat_i[11]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4312__A0 (.DIODE(wbs_dat_i[23]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4231__A0 (.DIODE(wbs_dat_i[12]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4315__A0 (.DIODE(wbs_dat_i[24]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4233__A0 (.DIODE(wbs_dat_i[13]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4317__A0 (.DIODE(wbs_dat_i[25]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4235__A0 (.DIODE(wbs_dat_i[14]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4319__A0 (.DIODE(wbs_dat_i[26]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4237__A0 (.DIODE(wbs_dat_i[15]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4321__A0 (.DIODE(wbs_dat_i[27]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4240__A0 (.DIODE(wbs_dat_i[16]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4324__A0 (.DIODE(wbs_dat_i[28]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4242__A0 (.DIODE(wbs_dat_i[17]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4326__A0 (.DIODE(wbs_dat_i[29]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4244__A0 (.DIODE(wbs_dat_i[18]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4328__A0 (.DIODE(wbs_dat_i[30]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4246__A0 (.DIODE(wbs_dat_i[19]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4330__A0 (.DIODE(wbs_dat_i[31]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4249__A0 (.DIODE(wbs_dat_i[20]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4332__A0 (.DIODE(wbs_err_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4251__A0 (.DIODE(wbs_dat_i[21]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4334__A (.DIODE(_0925_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4253__A0 (.DIODE(wbs_dat_i[22]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4335__B1 (.DIODE(\u_async_wb.PendingRd ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4255__A0 (.DIODE(wbs_dat_i[23]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4336__A2 (.DIODE(_1501_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4258__A0 (.DIODE(wbs_dat_i[24]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4337__A (.DIODE(_0959_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4260__A0 (.DIODE(wbs_dat_i[25]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4343__A (.DIODE(net212),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4262__A0 (.DIODE(wbs_dat_i[26]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4345__A1 (.DIODE(_1568_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4264__A0 (.DIODE(wbs_dat_i[27]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4346__A (.DIODE(wbm_stb_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4267__A0 (.DIODE(wbs_dat_i[28]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4346__B (.DIODE(_1568_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4269__A0 (.DIODE(wbs_dat_i[29]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4353__A (.DIODE(_1572_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4271__A0 (.DIODE(wbs_dat_i[30]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4355__A1 (.DIODE(_1572_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4273__A0 (.DIODE(wbs_dat_i[31]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4359__A1 (.DIODE(_1572_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4275__A0 (.DIODE(wbs_err_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4417__A (.DIODE(wb_req),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4277__A (.DIODE(_0939_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4417__B (.DIODE(_0943_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4278__A1 (.DIODE(_1486_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4420__A (.DIODE(_1629_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4278__B2 (.DIODE(\u_async_wb.PendingRd ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4420__B (.DIODE(_1630_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4280__A (.DIODE(wbs_ack_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4423__A (.DIODE(_1633_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4286__A (.DIODE(net196),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4424__A0 (.DIODE(\u_clk_ctrl2.gen_bit_reg[9].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4289__A (.DIODE(wbm_stb_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4424__A1 (.DIODE(_1317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4327__A (.DIODE(wb_req),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4424__S (.DIODE(_1634_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4327__B (.DIODE(_0924_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4450__A1 (.DIODE(_1225_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4330__A (.DIODE(_1585_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4484__C (.DIODE(_1408_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4330__B (.DIODE(_1586_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4484__D (.DIODE(_1413_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4333__A (.DIODE(_1589_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4485__A (.DIODE(_1686_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4334__A0 (.DIODE(\u_clk_ctrl2.gen_bit_reg[9].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4486__A (.DIODE(_1687_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4334__A1 (.DIODE(_1300_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4487__S (.DIODE(_1688_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4334__S (.DIODE(_1590_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4521__A (.DIODE(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4339__A (.DIODE(\u_glb_ctrl.gen_bit_reg[12].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4537__A (.DIODE(_1715_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4352__A (.DIODE(\u_glb_ctrl.gen_bit_reg[12].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4556__A1 (.DIODE(_1715_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4361__A (.DIODE(_1221_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4558__A1 (.DIODE(_1715_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4382__A (.DIODE(\u_glb_ctrl.gen_bit_reg[12].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4587__A (.DIODE(_1629_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4398__C (.DIODE(_1390_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4589__A (.DIODE(_1630_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4398__D (.DIODE(_1395_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4590__A (.DIODE(_1765_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4400__A (.DIODE(_1647_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4594__A (.DIODE(_1769_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4401__A0 (.DIODE(_1301_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4596__A2 (.DIODE(_1771_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4401__S (.DIODE(_1648_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4597__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[0].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4414__A (.DIODE(\u_arb.rstn ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4597__B2 (.DIODE(\u_clk_ctrl2.gen_bit_reg[0].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4428__A (.DIODE(_1658_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4600__A (.DIODE(_1775_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4450__A1 (.DIODE(_1658_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4601__A1 (.DIODE(\u_buf_wb_rst.A ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4452__A1 (.DIODE(_1658_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4603__A (.DIODE(_1629_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4478__A (.DIODE(_1585_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4603__B (.DIODE(_1413_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4480__A (.DIODE(_1586_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4606__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[1].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4483__A (.DIODE(_1705_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4607__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[1].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4483__B (.DIODE(_1708_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4610__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[2].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4486__A (.DIODE(\u_clk_ctrl2.gen_bit_reg[0].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4611__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[2].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4486__B (.DIODE(_1390_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4614__A (.DIODE(_1765_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4487__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[0].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4617__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[3].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4487__A2 (.DIODE(_1705_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4622__A (.DIODE(_1775_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4487__B1 (.DIODE(_1708_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4623__A (.DIODE(_1769_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4488__A1 (.DIODE(\u_buf_wb_rst.A ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4625__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[4].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4491__A (.DIODE(_1716_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4629__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[5].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4496__B (.DIODE(_1395_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4636__A (.DIODE(_1630_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4500__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[1].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4638__A2 (.DIODE(_1805_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4506__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[2].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4638__B1 (.DIODE(_1806_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4507__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[2].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4643__A (.DIODE(_1810_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4512__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[3].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4644__S (.DIODE(_1415_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4513__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[3].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4645__A (.DIODE(_1806_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4521__A (.DIODE(_1716_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4647__A (.DIODE(_1775_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4525__A (.DIODE(_1585_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4650__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[9].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4532__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[6].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4650__S (.DIODE(_1415_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4532__B2 (.DIODE(\u_async_wb.m_cmd_wr_data[67] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4651__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[9].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4536__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[7].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4654__A1 (.DIODE(\u_glb_ctrl.gen_bit_reg[10].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4537__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[7].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4654__S (.DIODE(_1805_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4537__B2 (.DIODE(\u_async_wb.m_cmd_wr_data[68] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4658__A1 (.DIODE(\u_glb_ctrl.gen_bit_reg[11].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4542__A (.DIODE(_1759_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4658__S (.DIODE(_1805_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4543__S (.DIODE(_1397_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4662__A (.DIODE(_1810_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4545__A (.DIODE(_1762_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4663__S (.DIODE(_1415_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4547__A (.DIODE(_1716_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4664__A (.DIODE(_1806_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4550__S (.DIODE(_1397_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4666__A (.DIODE(_1775_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4551__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[9].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4670__S (.DIODE(_1832_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4554__A1 (.DIODE(\u_glb_ctrl.gen_bit_reg[10].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4674__S (.DIODE(_1832_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4554__S (.DIODE(_1708_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4678__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[15].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4558__A1 (.DIODE(\u_glb_ctrl.gen_bit_reg[11].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4678__S (.DIODE(_1832_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4558__S (.DIODE(_1708_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4682__A (.DIODE(_1810_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4562__A (.DIODE(_1759_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4683__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[16].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4563__A0 (.DIODE(\u_glb_ctrl.gen_bit_reg[12].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4683__S (.DIODE(_1832_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4563__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[12].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4684__A (.DIODE(_1806_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4563__S (.DIODE(_1397_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4685__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[16].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4564__A (.DIODE(_1762_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4691__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[17].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4566__A (.DIODE(_1716_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4692__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[17].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4574__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[14].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4693__A0 (.DIODE(\reg_rdata[17] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4578__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[15].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4695__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[18].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4582__A (.DIODE(_1759_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4696__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[18].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4583__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[16].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4699__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[19].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4584__A (.DIODE(_1762_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4700__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[19].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4591__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[17].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4703__A (.DIODE(_1810_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4595__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[18].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4705__A (.DIODE(_1771_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4599__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[19].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4706__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[20].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4603__A (.DIODE(_1759_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4711__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[21].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4605__A (.DIODE(_1762_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4712__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[21].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4606__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[20].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4715__A1 (.DIODE(\u_cpu_clk_sel.S ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4610__A (.DIODE(_1395_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4715__S (.DIODE(_1805_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4611__S (.DIODE(_1815_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4716__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[22].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4612__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[21].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4719__S (.DIODE(_1765_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4616__A1 (.DIODE(\u_cpu_clk_sel.S ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4720__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[23].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4617__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[22].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4723__A (.DIODE(_1769_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4621__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[23].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4725__A (.DIODE(_1771_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4626__A (.DIODE(_1705_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4726__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[24].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4627__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[24].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4731__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[25].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4632__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[25].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4735__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[26].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4636__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[26].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4738__A (.DIODE(_1413_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4640__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[27].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4740__A1 (.DIODE(\u_clk_ctrl2.gen_bit_reg[27].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4644__S (.DIODE(_1815_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4743__A (.DIODE(_1769_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4645__A (.DIODE(_1705_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4745__A (.DIODE(_1771_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4650__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[29].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4746__B1 (.DIODE(_1892_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4650__S (.DIODE(_1815_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4751__B1 (.DIODE(_1897_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4654__S (.DIODE(_1815_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4752__A0 (.DIODE(\reg_rdata[29] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4658__A0 (.DIODE(\u_clk_ctrl1.gen_bit_reg[31].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4755__B1 (.DIODE(_1900_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4658__A1 (.DIODE(\u_glb_ctrl.gen_bit_reg[31].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4758__A1 (.DIODE(\u_glb_ctrl.gen_bit_reg[31].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4669__A (.DIODE(_1860_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4758__S (.DIODE(_1765_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4683__A0 (.DIODE(_1859_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4760__A0 (.DIODE(\reg_rdata[31] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4690__A (.DIODE(_1882_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4768__A (.DIODE(_1910_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4693__A (.DIODE(_1859_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4784__A (.DIODE(_1920_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4698__A1 (.DIODE(_1251_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4786__A0 (.DIODE(_1909_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4700__A1 (.DIODE(_1260_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4792__A1 (.DIODE(_1932_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4703__A1 (.DIODE(_1262_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4796__A (.DIODE(_1909_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4705__A1 (.DIODE(_1264_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4800__A1 (.DIODE(_1268_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4707__A (.DIODE(_1267_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4802__A1 (.DIODE(_1277_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4708__A1 (.DIODE(_1894_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4805__A1 (.DIODE(_1279_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4710__A (.DIODE(_1270_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4807__A1 (.DIODE(_1281_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4711__A1 (.DIODE(_1896_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4809__A (.DIODE(_1284_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4713__A (.DIODE(_1274_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4810__A1 (.DIODE(_1946_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4714__A (.DIODE(_1490_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4812__A (.DIODE(_1287_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4715__A (.DIODE(_1899_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4813__A1 (.DIODE(_1948_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4716__A (.DIODE(_1900_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4815__A (.DIODE(_1291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4717__A1 (.DIODE(_1898_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4816__A (.DIODE(_1505_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4719__A (.DIODE(_1277_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4817__A (.DIODE(_1951_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4720__A1 (.DIODE(_1903_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4818__A (.DIODE(_1952_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4722__A (.DIODE(_1281_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4819__A1 (.DIODE(_1950_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4723__A1 (.DIODE(_1905_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4819__S (.DIODE(_1953_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4725__A (.DIODE(_1284_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4821__A (.DIODE(_1294_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4726__A1 (.DIODE(_1907_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4822__A1 (.DIODE(_1955_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4728__A (.DIODE(_1288_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4822__S (.DIODE(_1953_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4729__A (.DIODE(_1900_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4824__A (.DIODE(_1298_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4730__A1 (.DIODE(_1909_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4825__A1 (.DIODE(_1957_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4732__A (.DIODE(_1291_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4825__S (.DIODE(_1953_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4733__A1 (.DIODE(_1912_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4827__A (.DIODE(_1301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4735__A1 (.DIODE(_1297_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4828__A1 (.DIODE(_1959_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4737__A1 (.DIODE(_1300_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4828__S (.DIODE(_1953_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4739__A (.DIODE(_1900_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4830__A (.DIODE(_1305_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4740__A1 (.DIODE(_1304_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4831__A (.DIODE(_1952_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4742__A1 (.DIODE(_1307_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4832__S (.DIODE(_1962_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4744__A1 (.DIODE(_1311_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4834__A (.DIODE(_1308_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4746__A1 (.DIODE(_1314_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4835__S (.DIODE(_1962_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4748__A (.DIODE(_1900_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4837__A1 (.DIODE(_1314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4749__A1 (.DIODE(_1319_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4837__S (.DIODE(_1962_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4751__A1 (.DIODE(_1322_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4839__A1 (.DIODE(_1317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4753__A1 (.DIODE(_1326_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4839__S (.DIODE(_1962_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4755__A1 (.DIODE(_1329_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4841__A (.DIODE(_1952_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4757__A (.DIODE(_1490_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4842__A1 (.DIODE(_1321_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4759__A1 (.DIODE(_1333_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4844__A1 (.DIODE(_1324_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4761__A1 (.DIODE(_1336_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4846__A1 (.DIODE(_1328_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4763__A1 (.DIODE(_1340_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4848__A1 (.DIODE(_1331_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4765__A1 (.DIODE(_1343_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4850__A (.DIODE(_1952_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4768__A1 (.DIODE(_1347_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4851__A1 (.DIODE(_1336_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4770__A1 (.DIODE(_1350_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4853__A1 (.DIODE(_1339_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4772__A1 (.DIODE(_1355_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4855__A1 (.DIODE(_1343_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4774__A1 (.DIODE(_1358_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4857__A1 (.DIODE(_1346_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4777__A1 (.DIODE(_1362_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4859__A (.DIODE(_1505_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4779__A1 (.DIODE(_1365_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4860__A (.DIODE(_1978_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4781__A1 (.DIODE(_1369_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4861__A1 (.DIODE(_1350_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4783__A1 (.DIODE(_1372_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4861__S (.DIODE(_1979_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4786__A1 (.DIODE(_1375_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4863__A1 (.DIODE(_1353_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4788__A1 (.DIODE(_1378_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4863__S (.DIODE(_1979_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4792__A1 (.DIODE(_1384_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4865__A1 (.DIODE(_1357_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4794__A (.DIODE(_1490_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4865__S (.DIODE(_1979_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4796__A1 (.DIODE(_1387_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4867__A1 (.DIODE(_1360_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4802__A1 (.DIODE(_1400_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4867__S (.DIODE(_1979_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4811__A1 (.DIODE(_1412_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4869__A (.DIODE(_1978_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4825__A1 (.DIODE(_1426_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4870__A1 (.DIODE(_1364_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4831__A (.DIODE(_1899_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4872__A1 (.DIODE(_1367_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4840__A (.DIODE(_1899_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4874__A1 (.DIODE(_1372_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4841__S (.DIODE(_1973_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4876__A1 (.DIODE(_1375_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4843__A1 (.DIODE(_1446_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4878__A (.DIODE(_1978_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4843__S (.DIODE(_1973_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4879__A1 (.DIODE(_1379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4847__S (.DIODE(_1973_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4881__A1 (.DIODE(_1382_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4849__S (.DIODE(_1973_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4883__A1 (.DIODE(_1386_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4851__A (.DIODE(_1899_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4885__A1 (.DIODE(_1389_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4860__A1 (.DIODE(\u_async_wb.m_cmd_wr_data[67] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4887__A (.DIODE(_1978_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4862__A1 (.DIODE(\u_async_wb.m_cmd_wr_data[68] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4888__A1 (.DIODE(_1392_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4875__B1 (.DIODE(\u_uart2wb.tx_rd ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4888__S (.DIODE(_1994_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4876__B1 (.DIODE(\u_arb.rstn ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4890__A1 (.DIODE(_1395_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4880__A1 (.DIODE(_1991_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4890__S (.DIODE(_1994_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4889__A (.DIODE(_2009_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4892__S (.DIODE(_1994_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4890__A2 (.DIODE(_2007_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4894__A1 (.DIODE(_1402_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4908__A (.DIODE(_2026_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4894__S (.DIODE(_1994_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4928__B (.DIODE(_1859_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4896__A (.DIODE(_1505_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4948__A1 (.DIODE(_2050_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4897__A (.DIODE(_1999_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4953__B (.DIODE(_2056_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4898__A1 (.DIODE(_1405_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4955__A2 (.DIODE(_2058_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4904__A1 (.DIODE(_1418_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4976__A2 (.DIODE(_2007_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4906__A (.DIODE(_1999_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4976__B1 (.DIODE(_2056_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4907__A1 (.DIODE(_1420_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4981__A1 (.DIODE(_2071_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4909__A1 (.DIODE(_1422_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4990__B (.DIODE(_2076_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4915__A (.DIODE(_1999_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4992__B1 (.DIODE(_2079_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4922__A1 (.DIODE(_1440_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4993__B2 (.DIODE(_2073_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4924__A (.DIODE(_1999_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4994__A1 (.DIODE(_2073_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4927__A1 (.DIODE(_1444_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5000__S (.DIODE(_2087_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4933__A (.DIODE(_1951_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5014__A (.DIODE(_2100_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4934__A1 (.DIODE(_1452_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5015__A2 (.DIODE(_2026_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4934__S (.DIODE(_2020_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5016__A (.DIODE(_1991_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4936__A1 (.DIODE(_1454_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5016__B (.DIODE(_2095_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4936__S (.DIODE(_2020_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5020__A2 (.DIODE(_2073_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4938__A1 (.DIODE(_1458_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5023__S (.DIODE(_2087_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4938__S (.DIODE(_2020_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5025__A (.DIODE(_2079_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4940__A1 (.DIODE(_1460_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5026__B (.DIODE(_2110_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4940__S (.DIODE(_2020_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5027__B1 (.DIODE(_2056_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4942__A (.DIODE(_1951_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5031__B1 (.DIODE(_2058_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4943__A1 (.DIODE(_1462_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5045__A (.DIODE(_2087_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4945__A1 (.DIODE(_1464_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5046__A1 (.DIODE(_2122_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4953__A (.DIODE(_1951_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5051__B (.DIODE(_2131_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4969__C_N (.DIODE(_2040_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5052__B (.DIODE(_2131_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4974__A (.DIODE(_2045_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5064__A2 (.DIODE(_2009_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4977__A1 (.DIODE(_2045_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5065__A (.DIODE(_1882_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4977__B1 (.DIODE(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5068__A (.DIODE(_2076_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4981__A1 (.DIODE(_2042_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5074__C (.DIODE(_2110_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4987__A (.DIODE(_2057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5075__A2 (.DIODE(_2151_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4988__A2 (.DIODE(_2056_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5075__C1 (.DIODE(_1882_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5001__A2 (.DIODE(_2068_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5078__A (.DIODE(_2131_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5007__B (.DIODE(_2040_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5082__A2 (.DIODE(_2151_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5010__B (.DIODE(_1909_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5082__B2 (.DIODE(_2157_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5010__C (.DIODE(_2078_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5082__C1 (.DIODE(_2158_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5011__A (.DIODE(_2079_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5089__B1 (.DIODE(_2131_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5021__A (.DIODE(_1932_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5096__B1 (.DIODE(_2165_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5023__B1 (.DIODE(_2088_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5106__B1 (.DIODE(_2178_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5034__C1 (.DIODE(_2088_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5119__B1 (.DIODE(_2058_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5036__C1 (.DIODE(_2079_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5132__A (.DIODE(_2079_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5038__A (.DIODE(_2079_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5132__B (.DIODE(_2198_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5047__A (.DIODE(_2099_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5133__C (.DIODE(_2198_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5047__B (.DIODE(_2100_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5144__A2 (.DIODE(_2151_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5049__A2 (.DIODE(_2102_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5144__B2 (.DIODE(_2157_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5051__C1 (.DIODE(_2088_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5146__A (.DIODE(_2211_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5053__A2 (.DIODE(_2068_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5151__A2 (.DIODE(_2215_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5066__A (.DIODE(_2109_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5151__B1 (.DIODE(_2009_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5069__A2 (.DIODE(_2056_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5154__D (.DIODE(_2100_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5069__B1 (.DIODE(_2100_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5155__B1 (.DIODE(_2165_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5074__A1 (.DIODE(_2114_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5162__A (.DIODE(_2095_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5085__A0 (.DIODE(_2119_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5164__B1 (.DIODE(_2198_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5087__C1 (.DIODE(_2124_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5167__A1 (.DIODE(_2122_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5093__S (.DIODE(_2130_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5173__A (.DIODE(_2228_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5112__A2 (.DIODE(_2140_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5174__A1 (.DIODE(_2228_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5113__A (.DIODE(_2042_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5179__B_N (.DIODE(_2228_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5114__A2 (.DIODE(_2134_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5182__A2 (.DIODE(_2151_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5118__A (.DIODE(_2078_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5182__C1 (.DIODE(_2165_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5125__B1 (.DIODE(_2100_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5187__A (.DIODE(_2110_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5128__B1 (.DIODE(_2102_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5191__B1 (.DIODE(_2178_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5131__B1_N (.DIODE(_2119_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5193__A (.DIODE(_2211_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5136__A (.DIODE(_2140_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5197__A (.DIODE(_2215_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5138__B1 (.DIODE(_2169_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5205__A (.DIODE(_2178_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5138__C1 (.DIODE(_2119_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5210__C1 (.DIODE(_2071_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5140__A1 (.DIODE(_2124_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5212__A (.DIODE(_2007_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5146__B (.DIODE(_2176_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5218__C1 (.DIODE(_2058_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5147__B (.DIODE(_2176_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5221__B1 (.DIODE(_1991_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5149__A1 (.DIODE(_2173_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5224__A2 (.DIODE(_2270_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5150__A (.DIODE(_2172_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5224__B1 (.DIODE(_2158_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5151__A2 (.DIODE(_2134_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5229__B1 (.DIODE(_2079_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5159__A2 (.DIODE(_2140_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5231__A2 (.DIODE(_2026_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5160__B (.DIODE(_2182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5231__C1 (.DIODE(_2165_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5161__A2 (.DIODE(_2134_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5232__A (.DIODE(_2211_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5163__A (.DIODE(_2191_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5235__A (.DIODE(_2095_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5173__D (.DIODE(_1920_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5242__B1 (.DIODE(_2087_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5178__A (.DIODE(_2176_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5244__B1 (.DIODE(_2215_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5180__B2 (.DIODE(_2206_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5245__A2 (.DIODE(_2270_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5180__C1 (.DIODE(_2172_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5249__A2 (.DIODE(_2157_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5186__B1 (.DIODE(_2176_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5249__B1 (.DIODE(_2007_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5198__A (.DIODE(_2220_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5252__A (.DIODE(_2076_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5202__B1 (.DIODE(_2057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5253__A2 (.DIODE(_2270_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5216__B1 (.DIODE(_2102_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5256__A2 (.DIODE(_2026_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5222__A (.DIODE(_2099_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5256__B1 (.DIODE(_2056_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5229__B (.DIODE(_2245_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5256__C1 (.DIODE(_2158_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5230__A (.DIODE(_1909_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5257__A (.DIODE(_2211_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5230__C (.DIODE(_2245_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5258__S (.DIODE(_2298_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5243__B2 (.DIODE(_2206_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5262__A (.DIODE(_1658_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5243__C1 (.DIODE(_2099_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5262__B (.DIODE(_2178_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5256__A (.DIODE(_2099_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5263__A (.DIODE(_2301_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5262__B1 (.DIODE(_2245_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5272__S (.DIODE(_2301_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5264__B1 (.DIODE(_2169_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5274__S (.DIODE(_2301_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5265__A1 (.DIODE(_2124_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5276__S (.DIODE(_2301_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5266__A (.DIODE(_2191_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5282__A (.DIODE(_2313_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5274__B1 (.DIODE(_2283_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5283__A (.DIODE(_2310_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5281__B2 (.DIODE(_2206_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5284__A1 (.DIODE(_2310_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5288__A (.DIODE(_2109_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5293__C1 (.DIODE(_2122_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5288__B (.DIODE(_2040_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5294__S (.DIODE(_2298_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5291__A2 (.DIODE(_2173_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5296__A2 (.DIODE(_2310_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5291__B1 (.DIODE(_2297_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5297__A2 (.DIODE(_2270_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5292__A (.DIODE(_2169_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5298__S (.DIODE(_2298_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5293__A2 (.DIODE(_2134_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5300__D (.DIODE(_2100_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5295__A (.DIODE(_2220_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5302__S (.DIODE(_2298_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5296__B2 (.DIODE(_2301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5304__B1 (.DIODE(_2313_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5296__C1 (.DIODE(_2191_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5304__C1 (.DIODE(_2158_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5297__A2 (.DIODE(_2300_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5310__A1_N (.DIODE(_2157_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5303__A (.DIODE(_2057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5338__A (.DIODE(_2359_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5306__B1 (.DIODE(_2172_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5342__B (.DIODE(_1859_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5307__A2 (.DIODE(_2300_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5343__A (.DIODE(_2364_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5308__B1 (.DIODE(_2182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5361__C (.DIODE(_2380_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5308__C1 (.DIODE(_2114_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5363__B2 (.DIODE(_2384_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5308__D1 (.DIODE(_2301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5367__B2 (.DIODE(_2387_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5310__A (.DIODE(_2056_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5369__B2 (.DIODE(_2388_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5311__C1 (.DIODE(_2191_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5370__B2 (.DIODE(_2380_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5312__A2 (.DIODE(_2300_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5389__A (.DIODE(_2359_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5313__C1 (.DIODE(_2301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5390__A (.DIODE(_2364_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5315__A0 (.DIODE(\u_uart2wb.u_msg.TxMsgBuf[59] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5395__A (.DIODE(_2359_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5317__C1 (.DIODE(_2102_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5396__A (.DIODE(_2364_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5318__A2 (.DIODE(_2300_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5414__A (.DIODE(_2411_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5320__B1 (.DIODE(_2042_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5418__A (.DIODE(_2415_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5321__A2 (.DIODE(_2317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5420__B2 (.DIODE(_2384_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5322__B1 (.DIODE(_2172_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5421__B2 (.DIODE(_2387_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5323__A0 (.DIODE(\u_uart2wb.u_msg.TxMsgBuf[62] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5422__B2 (.DIODE(_2388_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5329__A2 (.DIODE(_2140_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5423__B2 (.DIODE(_2380_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5329__B1 (.DIODE(_2068_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5442__A (.DIODE(_2411_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5337__C1 (.DIODE(_2283_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5444__A (.DIODE(_2415_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5338__B1 (.DIODE(_2130_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5481__A1 (.DIODE(\u_uart2wb.reg_req ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5340__B1 (.DIODE(_2220_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5484__B1 (.DIODE(\u_uart2wb.reg_wr ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5345__A2 (.DIODE(_2206_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5485__A1 (.DIODE(_2050_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5345__B1 (.DIODE(_2056_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5486__A1 (.DIODE(_1860_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5347__S (.DIODE(_2339_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5486__A2 (.DIODE(_2122_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5351__A2 (.DIODE(_2341_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5488__A1 (.DIODE(\u_uart2wb.tx_data_avail ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5352__S (.DIODE(_2339_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5506__A (.DIODE(_2461_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5354__A2 (.DIODE(_2341_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5507__A (.DIODE(_2076_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5355__S (.DIODE(_2339_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5509__A2 (.DIODE(_2009_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5361__A (.DIODE(_1715_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5509__B2 (.DIODE(_2464_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5361__B (.DIODE(_2057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5510__A (.DIODE(_2464_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5362__A (.DIODE(_2350_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5510__B (.DIODE(_2110_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5371__S (.DIODE(_2350_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5510__C (.DIODE(_2461_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5373__S (.DIODE(_2350_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5511__A (.DIODE(_2095_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5375__S (.DIODE(_2350_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5519__B1 (.DIODE(_2473_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5382__A (.DIODE(_2359_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5522__A2 (.DIODE(_2464_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5383__A1 (.DIODE(_2359_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5522__C1 (.DIODE(_2215_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5383__B1 (.DIODE(_2283_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5526__C (.DIODE(_2100_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5391__A2 (.DIODE(_2297_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5527__C1 (.DIODE(_2071_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5391__B2 (.DIODE(_2173_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5529__S (.DIODE(_2481_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5392__A (.DIODE(_2124_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5532__S (.DIODE(_2481_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5392__B (.DIODE(_2182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5534__A (.DIODE(_2071_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5393__A2 (.DIODE(_2317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5535__A1 (.DIODE(_2050_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5394__A2 (.DIODE(_2359_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5536__S (.DIODE(_2481_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5395__A2 (.DIODE(_2341_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5539__S (.DIODE(_2481_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5396__S (.DIODE(_2339_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5548__B1 (.DIODE(_1991_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5398__D (.DIODE(_1920_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5564__B1_N (.DIODE(\u_arb.rstn ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5399__A2 (.DIODE(_2341_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5605__B2 (.DIODE(_2050_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5621__A (.DIODE(la_data_in[4]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5622__A (.DIODE(la_data_in[5]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5624__A (.DIODE(la_data_in[4]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5625__A (.DIODE(la_data_in[5]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5628__A1 (.DIODE(_1242_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5633__C (.DIODE(la_data_in[6]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5634__A (.DIODE(la_data_in[6]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5643__A (.DIODE(la_data_in[7]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5650__B1 (.DIODE(_2582_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5651__A1 (.DIODE(_2574_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5653__A (.DIODE(la_data_in[5]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5653__B (.DIODE(la_data_in[6]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5653__C (.DIODE(la_data_in[7]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5653__D (.DIODE(la_data_in[8]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5657__B1 (.DIODE(la_data_in[8]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5660__B1 (.DIODE(_2582_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5662__A (.DIODE(la_data_in[9]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5663__A (.DIODE(la_data_in[4]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5664__A (.DIODE(la_data_in[4]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5406__B2 (.DIODE(_2283_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5670__A (.DIODE(la_data_in[9]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5440__A (.DIODE(_2411_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5670__B (.DIODE(la_data_in[10]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5445__A (.DIODE(_2416_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5672__A (.DIODE(la_data_in[10]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5463__B2 (.DIODE(_2434_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5680__A (.DIODE(la_data_in[9]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5465__B2 (.DIODE(_2435_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5680__B (.DIODE(la_data_in[10]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5467__B2 (.DIODE(_2436_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5680__C (.DIODE(la_data_in[11]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5469__B2 (.DIODE(_2437_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5682__B1 (.DIODE(la_data_in[11]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5488__A (.DIODE(_2411_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5685__A (.DIODE(la_data_in[12]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5489__A (.DIODE(_2416_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5693__B (.DIODE(la_data_in[13]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5494__A (.DIODE(_2411_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5694__B1 (.DIODE(la_data_in[13]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5495__A (.DIODE(_2416_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5697__A (.DIODE(la_data_in[12]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5517__A (.DIODE(_2464_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5697__B (.DIODE(la_data_in[13]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5521__A (.DIODE(_2468_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5697__C (.DIODE(la_data_in[14]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5523__B2 (.DIODE(_2434_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5700__B1 (.DIODE(la_data_in[14]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5524__B2 (.DIODE(_2435_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5705__B (.DIODE(la_data_in[15]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5525__B2 (.DIODE(_2436_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5706__A (.DIODE(la_data_in[15]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5526__B2 (.DIODE(_2437_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5710__B1 (.DIODE(_2574_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5545__A (.DIODE(_2464_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5721__C (.DIODE(\u_uart2wb.reg_req ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5547__A (.DIODE(_2468_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5724__A (.DIODE(_2646_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5584__B2 (.DIODE(\u_uart2wb.reg_wr ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5725__A (.DIODE(_2647_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5609__A2 (.DIODE(_2297_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5727__A (.DIODE(la_data_in[16]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5609__B2 (.DIODE(_2514_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5727__B_N (.DIODE(la_data_in[17]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5609__C1 (.DIODE(_2078_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5734__A (.DIODE(_2649_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5610__A (.DIODE(_2514_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5745__A0 (.DIODE(net67),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5612__A2 (.DIODE(_2317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5747__A1 (.DIODE(\u_uart2wb.tx_rd ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5619__A2 (.DIODE(_2297_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5756__A (.DIODE(_0896_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5619__B2 (.DIODE(_2173_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5760__A (.DIODE(la_data_in[3]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5620__C_N (.DIODE(_2109_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5767__A (.DIODE(la_data_in[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5621__A2 (.DIODE(_2317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5786__A (.DIODE(_0906_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5622__A2 (.DIODE(_2514_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5791__A1 (.DIODE(_2707_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5622__C1 (.DIODE(_2220_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5792__A0 (.DIODE(\wb_dat_o[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5626__C (.DIODE(_1920_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5792__A1 (.DIODE(_2708_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5627__A1 (.DIODE(\u_uart2wb.u_msg.TxMsgBuf[59] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5797__A1 (.DIODE(_2712_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5627__C1 (.DIODE(_2114_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5798__A0 (.DIODE(\wb_dat_o[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5634__A (.DIODE(_2114_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5798__A1 (.DIODE(_2713_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5635__A1 (.DIODE(_1932_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5801__A1 (.DIODE(_2715_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5638__A1 (.DIODE(\u_uart2wb.u_msg.TxMsgBuf[62] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5802__A (.DIODE(_0950_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5638__C1 (.DIODE(_2078_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5803__A0 (.DIODE(\wb_dat_o[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5642__A (.DIODE(_2130_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5803__A1 (.DIODE(_2716_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5643__B1 (.DIODE(_2040_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5806__A (.DIODE(_1202_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5643__C1 (.DIODE(_2130_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5808__A0 (.DIODE(\wb_dat_o[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5648__B1 (.DIODE(_2042_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5808__A1 (.DIODE(_2721_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5653__A (.DIODE(_2068_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5812__A0 (.DIODE(\wb_dat_o[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5653__B (.DIODE(_2182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5812__A1 (.DIODE(_2724_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5657__A (.DIODE(_2301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5816__A1 (.DIODE(_2727_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5657__C (.DIODE(_2169_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5817__A0 (.DIODE(\wb_dat_o[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5660__B1 (.DIODE(_2088_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5817__A1 (.DIODE(_2728_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5663__A (.DIODE(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5820__A1 (.DIODE(_2730_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5670__A (.DIODE(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5823__A0 (.DIODE(\wb_dat_o[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5701__B2 (.DIODE(_1932_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5823__A1 (.DIODE(_2731_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5717__A (.DIODE(la_data_in[4]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5826__A (.DIODE(_1202_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5718__A (.DIODE(la_data_in[5]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5827__A1 (.DIODE(_2735_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5728__A (.DIODE(la_data_in[4]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5828__A0 (.DIODE(\wb_dat_o[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5729__C (.DIODE(la_data_in[6]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5828__A1 (.DIODE(_2737_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5730__A (.DIODE(la_data_in[6]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5832__A0 (.DIODE(\wb_dat_o[8] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5739__A (.DIODE(la_data_in[7]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5832__A1 (.DIODE(_2740_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5748__A (.DIODE(la_data_in[5]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5836__A1 (.DIODE(_2743_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5748__B (.DIODE(la_data_in[6]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5837__A0 (.DIODE(\wb_dat_o[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5748__C (.DIODE(la_data_in[7]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5837__A1 (.DIODE(_2744_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5748__D (.DIODE(la_data_in[8]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5840__A1 (.DIODE(_2746_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5752__B1 (.DIODE(la_data_in[8]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5842__A0 (.DIODE(\wb_dat_o[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5757__A (.DIODE(la_data_in[9]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5842__A1 (.DIODE(_2747_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5765__A (.DIODE(la_data_in[9]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5846__A (.DIODE(_2751_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5765__B (.DIODE(la_data_in[10]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5847__A1 (.DIODE(_2750_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5767__A (.DIODE(la_data_in[10]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5848__A0 (.DIODE(\wb_dat_o[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5775__A (.DIODE(la_data_in[9]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5848__A1 (.DIODE(_2753_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5775__B (.DIODE(la_data_in[10]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5851__A1 (.DIODE(_2755_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5775__C (.DIODE(la_data_in[11]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5852__A0 (.DIODE(\wb_dat_o[12] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5777__B1 (.DIODE(la_data_in[11]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5852__A1 (.DIODE(_2756_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5780__A (.DIODE(la_data_in[12]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5856__A1 (.DIODE(_2759_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5789__B (.DIODE(la_data_in[13]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5857__A0 (.DIODE(\wb_dat_o[13] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5790__B1 (.DIODE(la_data_in[13]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5857__A1 (.DIODE(_2760_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5793__A (.DIODE(la_data_in[12]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5860__A1 (.DIODE(_2762_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5793__B (.DIODE(la_data_in[13]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5862__A0 (.DIODE(\wb_dat_o[14] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5793__C (.DIODE(la_data_in[14]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5862__A1 (.DIODE(_2763_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5796__B1 (.DIODE(la_data_in[14]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5865__A (.DIODE(_2751_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5802__A (.DIODE(la_data_in[15]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5866__A1 (.DIODE(_2766_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5804__B (.DIODE(la_data_in[15]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5867__A0 (.DIODE(\wb_dat_o[15] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5821__A (.DIODE(_2693_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5867__A1 (.DIODE(_2768_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5822__A (.DIODE(_2694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5870__A1 (.DIODE(_2770_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5824__A (.DIODE(la_data_in[16]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5871__A0 (.DIODE(\wb_dat_o[16] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5824__B_N (.DIODE(la_data_in[17]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5871__A1 (.DIODE(_2771_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5857__A (.DIODE(la_data_in[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5875__A1 (.DIODE(_2774_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5875__A (.DIODE(la_data_in[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5876__A0 (.DIODE(\wb_dat_o[17] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5889__A1 (.DIODE(_2755_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5876__A1 (.DIODE(_2775_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5890__A0 (.DIODE(\wb_dat_o[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5879__A1 (.DIODE(_2777_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5890__A1 (.DIODE(_2756_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5881__A0 (.DIODE(\wb_dat_o[18] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5890__S (.DIODE(wb_ack_o1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5881__A1 (.DIODE(_2778_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5895__A1 (.DIODE(_2760_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5884__A (.DIODE(_2751_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5896__A0 (.DIODE(\wb_dat_o[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5885__A1 (.DIODE(_2781_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5896__A1 (.DIODE(_2761_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5886__A0 (.DIODE(\wb_dat_o[19] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5896__S (.DIODE(wb_ack_o1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5889__A1 (.DIODE(_2785_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5899__A1 (.DIODE(_2763_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5890__A0 (.DIODE(\wb_dat_o[20] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5900__A (.DIODE(_0948_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5894__A1 (.DIODE(_2789_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5901__A0 (.DIODE(\wb_dat_o[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5895__A0 (.DIODE(\wb_dat_o[21] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5901__A1 (.DIODE(_2764_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5898__A1 (.DIODE(_2792_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5905__A1 (.DIODE(_2767_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5900__A0 (.DIODE(\wb_dat_o[22] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5906__A0 (.DIODE(\wb_dat_o[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5903__A (.DIODE(_2751_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5906__A1 (.DIODE(_2769_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5904__A1 (.DIODE(_2796_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5909__A1 (.DIODE(_2771_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5905__A0 (.DIODE(\wb_dat_o[23] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5910__A0 (.DIODE(\wb_dat_o[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5908__A1 (.DIODE(_2800_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5910__A1 (.DIODE(_2772_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5909__A0 (.DIODE(\wb_dat_o[24] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5914__A1 (.DIODE(_2775_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5913__A1 (.DIODE(_2804_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5915__A0 (.DIODE(\wb_dat_o[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5914__A0 (.DIODE(\wb_dat_o[25] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5915__A1 (.DIODE(_2776_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5917__A1 (.DIODE(_2807_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5918__A1 (.DIODE(_2778_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5919__A0 (.DIODE(\wb_dat_o[26] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5921__A0 (.DIODE(\wb_dat_o[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5923__A1 (.DIODE(_2811_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5921__A1 (.DIODE(_2779_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5924__A0 (.DIODE(\wb_dat_o[27] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5925__A1 (.DIODE(_2783_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5927__A1 (.DIODE(_2815_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5925__S (.DIODE(_2784_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5928__A0 (.DIODE(\wb_dat_o[28] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5926__A0 (.DIODE(\wb_dat_o[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5931__A1 (.DIODE(_2818_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5926__A1 (.DIODE(_2785_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5932__A0 (.DIODE(\wb_dat_o[29] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5929__A1 (.DIODE(_2787_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5935__A1 (.DIODE(_2821_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5929__S (.DIODE(_2784_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5936__A0 (.DIODE(\wb_dat_o[30] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5930__A0 (.DIODE(\wb_dat_o[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5936__S (.DIODE(_0950_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5930__A1 (.DIODE(_2788_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5939__A1 (.DIODE(_2824_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5934__A1 (.DIODE(_2791_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5939__S (.DIODE(_1202_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5934__S (.DIODE(_2784_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5940__A0 (.DIODE(\wb_dat_o[31] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5935__A0 (.DIODE(\wb_dat_o[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5940__S (.DIODE(_0950_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5935__A1 (.DIODE(_2792_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5942__C (.DIODE(la_data_in[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5938__A1 (.DIODE(_2794_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5943__S (.DIODE(_2827_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5938__S (.DIODE(_2784_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5945__S (.DIODE(_2827_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5940__A0 (.DIODE(\wb_dat_o[10] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5947__S (.DIODE(_2827_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5940__A1 (.DIODE(_2795_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__5949__S (.DIODE(_2827_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5944__A (.DIODE(_2799_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6008__A1 (.DIODE(_1242_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5945__A1 (.DIODE(_2798_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6008__C1 (.DIODE(_2582_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5946__A0 (.DIODE(\wb_dat_o[11] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6018__A (.DIODE(la_data_in[8]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5946__A1 (.DIODE(_2801_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6027__A1 (.DIODE(_1242_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5949__A1 (.DIODE(_2803_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6029__B1 (.DIODE(la_data_in[10]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5950__A0 (.DIODE(\wb_dat_o[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6035__A (.DIODE(la_data_in[11]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5950__A1 (.DIODE(_2804_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6045__A1 (.DIODE(_2582_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5954__A1 (.DIODE(_2807_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6046__A (.DIODE(la_data_in[13]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5955__A0 (.DIODE(\wb_dat_o[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6051__A1_N (.DIODE(_2574_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5955__A1 (.DIODE(_2808_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6052__A (.DIODE(la_data_in[14]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5958__A1 (.DIODE(_2810_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6056__A1_N (.DIODE(_2574_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5960__A0 (.DIODE(\wb_dat_o[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6099__A0 (.DIODE(wbs_dat_i[0]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5960__A1 (.DIODE(_2811_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6101__A0 (.DIODE(wbs_dat_i[1]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5963__A (.DIODE(_2799_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6103__A0 (.DIODE(wbs_dat_i[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5964__A1 (.DIODE(_2814_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6106__A0 (.DIODE(wbs_dat_i[3]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5964__S (.DIODE(_2815_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6108__A0 (.DIODE(wbs_dat_i[4]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5965__A0 (.DIODE(\wb_dat_o[15] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6110__A0 (.DIODE(wbs_dat_i[5]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5965__A1 (.DIODE(_2816_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6112__A0 (.DIODE(wbs_dat_i[6]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5968__A1 (.DIODE(_2818_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6116__A0 (.DIODE(wbs_dat_i[7]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5968__S (.DIODE(_2815_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6118__A0 (.DIODE(wbs_dat_i[8]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5969__A0 (.DIODE(\wb_dat_o[16] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6120__A0 (.DIODE(wbs_dat_i[9]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5973__A0 (.DIODE(\reg_rdata[17] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6122__A0 (.DIODE(wbs_dat_i[10]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5973__A1 (.DIODE(_2822_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6125__A0 (.DIODE(wbs_dat_i[11]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5973__S (.DIODE(_2815_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6127__A0 (.DIODE(wbs_dat_i[12]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5974__A0 (.DIODE(\wb_dat_o[17] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6129__A0 (.DIODE(wbs_dat_i[13]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5977__A1 (.DIODE(_2825_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6131__A0 (.DIODE(wbs_dat_i[14]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5977__S (.DIODE(_2815_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6134__A0 (.DIODE(wbs_dat_i[15]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5979__A0 (.DIODE(\wb_dat_o[18] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6136__A0 (.DIODE(wbs_dat_i[16]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5982__A (.DIODE(_2799_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6138__A0 (.DIODE(wbs_dat_i[17]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5983__A1 (.DIODE(_2829_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6140__A0 (.DIODE(wbs_dat_i[18]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5984__A0 (.DIODE(\wb_dat_o[19] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6143__A0 (.DIODE(wbs_dat_i[19]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5987__A1 (.DIODE(_2833_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6145__A0 (.DIODE(wbs_dat_i[20]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5988__A0 (.DIODE(\wb_dat_o[20] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6147__A0 (.DIODE(wbs_dat_i[21]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5992__A1 (.DIODE(_2837_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6149__A0 (.DIODE(wbs_dat_i[22]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5993__A0 (.DIODE(\wb_dat_o[21] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6152__A0 (.DIODE(wbs_dat_i[23]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5996__A1 (.DIODE(_2840_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6154__A0 (.DIODE(wbs_dat_i[24]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5998__A0 (.DIODE(\wb_dat_o[22] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6156__A0 (.DIODE(wbs_dat_i[25]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6001__A (.DIODE(_2799_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6158__A0 (.DIODE(wbs_dat_i[26]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6002__A1 (.DIODE(_2844_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6161__A0 (.DIODE(wbs_dat_i[27]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6003__A0 (.DIODE(\wb_dat_o[23] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6163__A0 (.DIODE(wbs_dat_i[28]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6006__A1 (.DIODE(_2848_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6165__A0 (.DIODE(wbs_dat_i[29]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6007__A0 (.DIODE(\wb_dat_o[24] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6167__A0 (.DIODE(wbs_dat_i[30]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6011__A1 (.DIODE(_2852_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6169__A0 (.DIODE(wbs_dat_i[31]),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6012__A0 (.DIODE(\wb_dat_o[25] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6171__A0 (.DIODE(wbs_err_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6015__A1 (.DIODE(_2855_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6180__B1 (.DIODE(_1221_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6017__A0 (.DIODE(\wb_dat_o[26] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6200__A2 (.DIODE(_1221_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6021__A1 (.DIODE(_2859_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6208__A (.DIODE(wb_ack_o),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6022__A0 (.DIODE(\wb_dat_o[27] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6222__A (.DIODE(_3022_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6025__A1 (.DIODE(_2863_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6224__A1 (.DIODE(_2464_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6026__A0 (.DIODE(\wb_dat_o[28] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6224__B2 (.DIODE(\wb_dat_o[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6029__A0 (.DIODE(\reg_rdata[29] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6225__B2 (.DIODE(\wb_dat_o[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6029__A1 (.DIODE(_2866_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6226__A (.DIODE(_2647_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6030__A0 (.DIODE(\wb_dat_o[29] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6227__B2 (.DIODE(\wb_dat_o[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6033__A1 (.DIODE(_2869_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6228__B2 (.DIODE(\wb_dat_o[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6034__A0 (.DIODE(\wb_dat_o[30] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6230__A1 (.DIODE(_2073_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6034__S (.DIODE(_0948_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6230__B2 (.DIODE(\wb_dat_o[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6037__A0 (.DIODE(\reg_rdata[31] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6231__B2 (.DIODE(\wb_dat_o[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6037__A1 (.DIODE(_2872_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6232__A (.DIODE(_2646_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6038__A0 (.DIODE(\wb_dat_o[31] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6234__B2 (.DIODE(\wb_dat_o[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6038__S (.DIODE(_0948_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6235__B2 (.DIODE(\wb_dat_o[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6040__C (.DIODE(la_data_in[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6237__B2 (.DIODE(\wb_dat_o[8] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6115__A (.DIODE(la_data_in[8]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6238__B2 (.DIODE(\wb_dat_o[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6126__B1 (.DIODE(la_data_in[10]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6240__B2 (.DIODE(\wb_dat_o[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6132__A (.DIODE(la_data_in[11]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6241__B2 (.DIODE(\wb_dat_o[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6144__A (.DIODE(la_data_in[13]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6243__A1 (.DIODE(_2310_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6150__A (.DIODE(la_data_in[14]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6243__B2 (.DIODE(\wb_dat_o[12] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6196__A1 (.DIODE(wbs_dat_i[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6244__B2 (.DIODE(\wb_dat_o[13] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6198__A1 (.DIODE(wbs_dat_i[1]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6246__B2 (.DIODE(\wb_dat_o[14] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6200__A1 (.DIODE(wbs_dat_i[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6247__B2 (.DIODE(\wb_dat_o[15] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6204__A1 (.DIODE(wbs_dat_i[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6248__A (.DIODE(_3022_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6206__A1 (.DIODE(wbs_dat_i[4]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6250__B2 (.DIODE(\wb_dat_o[16] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6208__A1 (.DIODE(wbs_dat_i[5]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6251__B2 (.DIODE(\wb_dat_o[17] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6210__A1 (.DIODE(wbs_dat_i[6]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6253__B2 (.DIODE(\wb_dat_o[18] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6213__A1 (.DIODE(wbs_dat_i[7]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6254__B2 (.DIODE(\wb_dat_o[19] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6215__A1 (.DIODE(wbs_dat_i[8]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6256__B2 (.DIODE(\wb_dat_o[20] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6217__A1 (.DIODE(wbs_dat_i[9]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6257__B2 (.DIODE(\wb_dat_o[21] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6219__A1 (.DIODE(wbs_dat_i[10]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6258__A (.DIODE(_2646_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6223__A1 (.DIODE(wbs_dat_i[11]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6259__B2 (.DIODE(\wb_dat_o[22] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6225__A1 (.DIODE(wbs_dat_i[12]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6260__B2 (.DIODE(\wb_dat_o[23] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6227__A1 (.DIODE(wbs_dat_i[13]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6262__A1 (.DIODE(_2228_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6229__A1 (.DIODE(wbs_dat_i[14]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6262__B2 (.DIODE(\wb_dat_o[24] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6232__A1 (.DIODE(wbs_dat_i[15]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6263__B2 (.DIODE(\wb_dat_o[25] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6234__A1 (.DIODE(wbs_dat_i[16]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6264__A (.DIODE(_2646_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6236__A1 (.DIODE(wbs_dat_i[17]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6265__B2 (.DIODE(\wb_dat_o[26] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6238__A1 (.DIODE(wbs_dat_i[18]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6266__B2 (.DIODE(\wb_dat_o[27] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6241__A1 (.DIODE(wbs_dat_i[19]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6268__B2 (.DIODE(\wb_dat_o[28] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6243__A1 (.DIODE(wbs_dat_i[20]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6269__B2 (.DIODE(\wb_dat_o[29] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6245__A1 (.DIODE(wbs_dat_i[21]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6270__A2 (.DIODE(_2647_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6247__A1 (.DIODE(wbs_dat_i[22]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6270__B2 (.DIODE(\wb_dat_o[30] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6250__A1 (.DIODE(wbs_dat_i[23]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6271__A2 (.DIODE(_2647_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6252__A1 (.DIODE(wbs_dat_i[24]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6271__B2 (.DIODE(\wb_dat_o[31] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6254__A1 (.DIODE(wbs_dat_i[25]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6272__A1 (.DIODE(_1860_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6256__A1 (.DIODE(wbs_dat_i[26]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6273__S (.DIODE(_1648_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6259__A1 (.DIODE(wbs_dat_i[27]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6275__A0 (.DIODE(_1275_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6261__A1 (.DIODE(wbs_dat_i[28]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6275__S (.DIODE(_1648_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6263__A1 (.DIODE(wbs_dat_i[29]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6277__S (.DIODE(_1648_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6265__A1 (.DIODE(wbs_dat_i[30]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6279__A (.DIODE(_1647_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6267__A1 (.DIODE(wbs_dat_i[31]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6280__A0 (.DIODE(_1366_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6269__A1 (.DIODE(wbs_err_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6288__A (.DIODE(_1647_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6273__S (.DIODE(_1225_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6291__A1 (.DIODE(\u_cpu_clk_sel.S ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6281__S (.DIODE(_1225_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6297__A (.DIODE(_1647_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6309__A (.DIODE(wb_ack_o),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6298__A0 (.DIODE(_1271_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6309__B (.DIODE(_1568_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6307__A (.DIODE(_3059_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6322__B (.DIODE(_1568_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6316__A (.DIODE(_3059_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6323__A (.DIODE(_3073_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6317__A1 (.DIODE(\u_glb_ctrl.gen_bit_reg[11].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6325__A1 (.DIODE(_2514_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6317__S (.DIODE(_3065_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6325__B2 (.DIODE(\wb_dat_o[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6319__A1 (.DIODE(\u_glb_ctrl.gen_bit_reg[10].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6326__B2 (.DIODE(\wb_dat_o[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6319__S (.DIODE(_3065_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6327__A (.DIODE(_2694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6321__A0 (.DIODE(_1268_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6328__B2 (.DIODE(\wb_dat_o[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6321__A1 (.DIODE(\u_buf_wb_rst.A ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6329__B2 (.DIODE(\wb_dat_o[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6321__S (.DIODE(_3065_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6331__B2 (.DIODE(\wb_dat_o[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6323__S (.DIODE(_3065_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6332__B2 (.DIODE(\wb_dat_o[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6325__A (.DIODE(_3059_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6333__A (.DIODE(_2693_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6328__A0 (.DIODE(_1289_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6335__B2 (.DIODE(\wb_dat_o[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6330__A0 (.DIODE(_1285_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6336__B2 (.DIODE(\wb_dat_o[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6332__A0 (.DIODE(_1282_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6338__B2 (.DIODE(\wb_dat_o[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6334__A (.DIODE(_3059_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6339__B2 (.DIODE(\wb_dat_o[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6335__A0 (.DIODE(_1278_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6341__B2 (.DIODE(\wb_dat_o[10] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6335__S (.DIODE(_3075_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6342__B2 (.DIODE(\wb_dat_o[11] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6337__A1 (.DIODE(\u_glb_ctrl.gen_bit_reg[31].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6344__A1 (.DIODE(_2359_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6337__S (.DIODE(_3075_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6344__B2 (.DIODE(\wb_dat_o[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6339__S (.DIODE(_3075_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6345__B2 (.DIODE(\wb_dat_o[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6341__S (.DIODE(_3075_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6347__B2 (.DIODE(\wb_dat_o[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6350__A1 (.DIODE(_1909_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6348__B2 (.DIODE(\wb_dat_o[15] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6352__A1 (.DIODE(_1907_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6349__A (.DIODE(_3073_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6354__A1 (.DIODE(_1905_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6351__B2 (.DIODE(\wb_dat_o[16] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6356__A1 (.DIODE(_1903_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6352__B2 (.DIODE(\wb_dat_o[17] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6359__A1 (.DIODE(_1898_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6354__B2 (.DIODE(\wb_dat_o[18] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6361__A1 (.DIODE(_1896_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6355__B2 (.DIODE(\wb_dat_o[19] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6363__A1 (.DIODE(_1894_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6357__B2 (.DIODE(\wb_dat_o[20] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6370__C (.DIODE(_1390_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6358__B2 (.DIODE(\wb_dat_o[21] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6373__S (.DIODE(_3098_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6359__A (.DIODE(_2693_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6375__A0 (.DIODE(_1275_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6360__B2 (.DIODE(\wb_dat_o[22] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6375__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[2].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6361__B2 (.DIODE(\wb_dat_o[23] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6375__S (.DIODE(_3098_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6363__B2 (.DIODE(\wb_dat_o[24] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6377__S (.DIODE(_3098_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6364__B2 (.DIODE(\wb_dat_o[25] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6379__A0 (.DIODE(_1366_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6365__A (.DIODE(_2693_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6379__S (.DIODE(_3098_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6366__B2 (.DIODE(\wb_dat_o[26] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6397__A0 (.DIODE(_1271_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6367__B2 (.DIODE(\wb_dat_o[27] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6397__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[1].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6369__B2 (.DIODE(\wb_dat_o[28] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6400__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[18].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6370__B2 (.DIODE(\wb_dat_o[29] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6402__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[17].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6371__A2 (.DIODE(_2694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6404__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[16].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6371__B2 (.DIODE(\wb_dat_o[30] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6406__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[15].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6372__A2 (.DIODE(_2694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6410__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[14].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6372__B2 (.DIODE(\wb_dat_o[31] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6414__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[12].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6373__A1 (.DIODE(_1910_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6421__A0 (.DIODE(_1268_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6374__S (.DIODE(_1688_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6421__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[0].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6376__A0 (.DIODE(_1292_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6425__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[7].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6376__S (.DIODE(_1688_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6428__A0 (.DIODE(_1289_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6378__S (.DIODE(_1688_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6430__A0 (.DIODE(_1285_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6380__A (.DIODE(_1687_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6432__A0 (.DIODE(_1282_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6389__A (.DIODE(_1687_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6434__A0 (.DIODE(_1278_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6392__A1 (.DIODE(\u_cpu_clk_sel.S ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6434__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[3].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6398__A (.DIODE(_1687_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6437__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[31].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6399__A0 (.DIODE(_1288_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6437__S (.DIODE(_3134_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6399__S (.DIODE(_3105_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6439__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[29].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6401__S (.DIODE(_3105_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6439__S (.DIODE(_3134_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6403__S (.DIODE(_3105_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6441__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[19].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6405__S (.DIODE(_3105_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6441__S (.DIODE(_3134_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6407__A (.DIODE(_1686_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6443__A1 (.DIODE(_1912_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6408__A (.DIODE(_3110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6445__A1 (.DIODE(_1375_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6415__A0 (.DIODE(_1329_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6445__S (.DIODE(_1590_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6417__A (.DIODE(_3110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6447__A0 (.DIODE(\u_clk_ctrl2.gen_bit_reg[2].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6418__A1 (.DIODE(\u_glb_ctrl.gen_bit_reg[11].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6447__A1 (.DIODE(_1898_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6418__S (.DIODE(_3116_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6447__S (.DIODE(_1590_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6420__A1 (.DIODE(\u_glb_ctrl.gen_bit_reg[10].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6449__A1 (.DIODE(_1369_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6420__S (.DIODE(_3116_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6449__S (.DIODE(_1590_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6422__A0 (.DIODE(_1285_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6451__A (.DIODE(_1589_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6422__A1 (.DIODE(\u_buf_wb_rst.A ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6452__A0 (.DIODE(\u_clk_ctrl2.gen_bit_reg[27].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6422__S (.DIODE(_3116_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6452__A1 (.DIODE(_1365_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6424__A1 (.DIODE(_1572_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6454__A0 (.DIODE(\u_clk_ctrl2.gen_bit_reg[26].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6424__S (.DIODE(_3116_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6454__A1 (.DIODE(_1362_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6426__A (.DIODE(_3110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6456__A0 (.DIODE(\u_clk_ctrl2.gen_bit_reg[25].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6431__A0 (.DIODE(_1302_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6456__A1 (.DIODE(_1358_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6433__A0 (.DIODE(_1299_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6458__A0 (.DIODE(\u_clk_ctrl2.gen_bit_reg[24].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6435__A (.DIODE(_3110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6458__A1 (.DIODE(_1355_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6436__A0 (.DIODE(_1295_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6460__A (.DIODE(_1589_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6436__S (.DIODE(_3126_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6461__A0 (.DIODE(\u_clk_ctrl2.gen_bit_reg[23].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6438__A1 (.DIODE(\u_glb_ctrl.gen_bit_reg[31].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6461__A1 (.DIODE(_1350_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6438__S (.DIODE(_3126_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6463__A0 (.DIODE(\u_clk_ctrl2.gen_bit_reg[22].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6440__S (.DIODE(_3126_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6463__A1 (.DIODE(_1347_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6442__S (.DIODE(_3126_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6465__A0 (.DIODE(\u_clk_ctrl2.gen_bit_reg[21].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6451__S (.DIODE(_3135_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6465__A1 (.DIODE(_1343_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6453__A1 (.DIODE(_1959_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6467__A0 (.DIODE(\u_clk_ctrl2.gen_bit_reg[20].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6453__S (.DIODE(_3135_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6467__A1 (.DIODE(_1340_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6455__A1 (.DIODE(_1957_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6469__A (.DIODE(_1589_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6455__S (.DIODE(_3135_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6470__A1 (.DIODE(_1896_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6457__A1 (.DIODE(_1955_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6472__A1 (.DIODE(_1333_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6457__S (.DIODE(_3135_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6474__A1 (.DIODE(_1329_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6460__A1 (.DIODE(_1950_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6476__A1 (.DIODE(_1326_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6462__A1 (.DIODE(_1948_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6480__A1 (.DIODE(_1322_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6464__A1 (.DIODE(_1946_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6482__A1 (.DIODE(_1319_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6470__B (.DIODE(_0925_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6484__A1 (.DIODE(_1314_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6470__C (.DIODE(_1408_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6486__A1 (.DIODE(_1311_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6470__D (.DIODE(_1630_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6489__A1 (.DIODE(_1307_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6471__A (.DIODE(_3146_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6489__S (.DIODE(_3163_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6473__S (.DIODE(_3148_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6491__A1 (.DIODE(_1304_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6475__A0 (.DIODE(_1292_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6491__S (.DIODE(_3163_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6475__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[2].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6493__A0 (.DIODE(\u_clk_ctrl2.gen_bit_reg[0].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6475__S (.DIODE(_3148_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6493__A1 (.DIODE(_1894_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6477__S (.DIODE(_3148_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6493__S (.DIODE(_3163_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6479__S (.DIODE(_3148_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6495__A1 (.DIODE(_1297_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6482__S (.DIODE(_3153_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6495__S (.DIODE(_3163_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6484__S (.DIODE(_3153_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6498__A0 (.DIODE(\u_clk_ctrl2.gen_bit_reg[7].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6486__S (.DIODE(_3153_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6498__A1 (.DIODE(_1912_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6488__S (.DIODE(_3153_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6500__A0 (.DIODE(\u_clk_ctrl2.gen_bit_reg[6].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6493__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[21].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6500__A1 (.DIODE(_1909_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6497__A0 (.DIODE(_1288_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6502__A1 (.DIODE(_1907_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6497__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[1].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6504__A1 (.DIODE(_1905_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6500__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[18].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6507__A0 (.DIODE(\u_clk_ctrl2.gen_bit_reg[3].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6502__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[17].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6507__A1 (.DIODE(_1903_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6504__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[16].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6507__S (.DIODE(_3173_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6506__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[15].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6509__A1 (.DIODE(_1378_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6508__A (.DIODE(_3146_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6509__S (.DIODE(_3173_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6509__A (.DIODE(_3168_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6511__A1 (.DIODE(_1372_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6514__A0 (.DIODE(_1329_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6511__S (.DIODE(_3173_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6518__A (.DIODE(_3168_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6513__A1 (.DIODE(_1336_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6521__A0 (.DIODE(_1285_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6513__S (.DIODE(_3173_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6521__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[0].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6515__A0 (.DIODE(_1301_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6527__A (.DIODE(_3168_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6515__S (.DIODE(_3134_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6530__A0 (.DIODE(_1302_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6518__A (.DIODE(_3179_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6532__A0 (.DIODE(_1299_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6520__A0 (.DIODE(_1251_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6534__A0 (.DIODE(_1295_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6522__A0 (.DIODE(_1260_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6536__A (.DIODE(_3168_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6524__A0 (.DIODE(_1262_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6537__S (.DIODE(_3184_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6527__A0 (.DIODE(_1264_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6539__S (.DIODE(_3184_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6529__A0 (.DIODE(_1268_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6541__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[19].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6531__A0 (.DIODE(_1271_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6541__S (.DIODE(_3184_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6533__A0 (.DIODE(_1275_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6545__A1 (.DIODE(_1392_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6536__A0 (.DIODE(_1278_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6545__S (.DIODE(_1634_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6538__A0 (.DIODE(_1282_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6547__A0 (.DIODE(\u_clk_ctrl2.gen_bit_reg[2].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6540__A0 (.DIODE(_1285_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6547__A1 (.DIODE(_1950_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6542__A0 (.DIODE(_1289_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6547__S (.DIODE(_1634_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6544__A (.DIODE(_3179_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6549__A1 (.DIODE(_1386_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6545__A (.DIODE(_3195_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6549__S (.DIODE(_1634_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6546__A (.DIODE(_3196_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6551__A (.DIODE(_1633_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6551__A0 (.DIODE(_1301_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6552__A0 (.DIODE(\u_clk_ctrl2.gen_bit_reg[27].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6555__A (.DIODE(_3196_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6552__A1 (.DIODE(_1382_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6564__A (.DIODE(_3196_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6554__A0 (.DIODE(\u_clk_ctrl2.gen_bit_reg[26].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6573__A (.DIODE(_3196_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6554__A1 (.DIODE(_1379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6582__A (.DIODE(_3195_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6556__A0 (.DIODE(\u_clk_ctrl2.gen_bit_reg[25].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6583__A (.DIODE(_3217_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6556__A1 (.DIODE(_1375_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6592__A (.DIODE(_3217_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6558__A0 (.DIODE(\u_clk_ctrl2.gen_bit_reg[24].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6593__A0 (.DIODE(_1366_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6558__A1 (.DIODE(_1372_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6601__A (.DIODE(_3217_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6560__A (.DIODE(_1633_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6606__A0 (.DIODE(_1384_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6561__A0 (.DIODE(\u_clk_ctrl2.gen_bit_reg[23].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6608__A0 (.DIODE(_1387_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6561__A1 (.DIODE(_1367_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6610__A (.DIODE(_3217_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6563__A0 (.DIODE(\u_clk_ctrl2.gen_bit_reg[22].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6615__A0 (.DIODE(_1400_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6563__A1 (.DIODE(_1364_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6619__A (.DIODE(_3179_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6565__A0 (.DIODE(\u_clk_ctrl2.gen_bit_reg[21].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6620__A (.DIODE(_3238_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6565__A1 (.DIODE(_1360_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6625__A0 (.DIODE(_1412_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6567__A0 (.DIODE(\u_clk_ctrl2.gen_bit_reg[20].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6629__A (.DIODE(_3238_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6567__A1 (.DIODE(_1357_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6638__A (.DIODE(_3238_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6569__A (.DIODE(_1633_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6639__A0 (.DIODE(_1426_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6570__A0 (.DIODE(\u_clk_ctrl2.gen_bit_reg[1].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6647__A (.DIODE(_3238_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6570__A1 (.DIODE(_1948_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6656__A (.DIODE(_3195_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6572__A0 (.DIODE(\u_clk_ctrl2.gen_bit_reg[18].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6657__A0 (.DIODE(_1446_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6572__A1 (.DIODE(_1350_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6667__A (.DIODE(_3195_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6574__A0 (.DIODE(\u_clk_ctrl2.gen_bit_reg[17].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6679__A (.DIODE(_3271_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6574__A1 (.DIODE(_1346_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6681__A0 (.DIODE(_1251_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6576__A0 (.DIODE(\u_clk_ctrl2.gen_bit_reg[16].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6683__A0 (.DIODE(_1260_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6576__A1 (.DIODE(_1343_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6685__A0 (.DIODE(_1262_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6579__A (.DIODE(_3207_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6688__A0 (.DIODE(_1264_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6580__A1 (.DIODE(_1339_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6690__A0 (.DIODE(_1894_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6582__A1 (.DIODE(_1336_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6692__A0 (.DIODE(_1896_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6584__A1 (.DIODE(_1331_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6694__A0 (.DIODE(_1898_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6586__A1 (.DIODE(_1328_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6697__A0 (.DIODE(_1903_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6588__A (.DIODE(_3207_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6699__A0 (.DIODE(_1905_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6589__A1 (.DIODE(_1324_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6701__A0 (.DIODE(_1907_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6589__S (.DIODE(_3213_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6703__A0 (.DIODE(_1909_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6591__A1 (.DIODE(_1321_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6705__A (.DIODE(_3271_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6591__S (.DIODE(_3213_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6706__A (.DIODE(_3287_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6593__A0 (.DIODE(\u_clk_ctrl2.gen_bit_reg[0].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6707__A (.DIODE(_3288_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6593__A1 (.DIODE(_1946_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6708__A0 (.DIODE(_1912_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6593__S (.DIODE(_3213_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6710__A0 (.DIODE(_1297_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6595__A1 (.DIODE(_1314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6712__A0 (.DIODE(_1300_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6595__S (.DIODE(_3213_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6714__A0 (.DIODE(_1304_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6597__A (.DIODE(_3207_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6716__A (.DIODE(_3288_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6602__A0 (.DIODE(\u_clk_ctrl2.gen_bit_reg[5].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6717__A0 (.DIODE(_1307_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6602__A1 (.DIODE(_1959_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6719__A0 (.DIODE(_1311_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6604__A0 (.DIODE(\u_clk_ctrl2.gen_bit_reg[4].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6721__A0 (.DIODE(_1314_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6604__A1 (.DIODE(_1957_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6723__A0 (.DIODE(_1319_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6606__A (.DIODE(_3207_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6725__A (.DIODE(_3288_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6607__A0 (.DIODE(\u_clk_ctrl2.gen_bit_reg[3].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6726__A0 (.DIODE(_1322_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6607__A1 (.DIODE(_1955_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6728__A0 (.DIODE(_1326_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6607__S (.DIODE(_3223_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6730__A0 (.DIODE(_1329_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6609__A1 (.DIODE(_1395_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6732__A0 (.DIODE(_1333_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6609__S (.DIODE(_3223_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6734__A (.DIODE(_3288_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6611__A1 (.DIODE(_1389_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6735__A0 (.DIODE(_1336_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6611__S (.DIODE(_3223_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6737__A0 (.DIODE(_1340_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6613__A0 (.DIODE(\u_clk_ctrl2.gen_bit_reg[19].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6739__A0 (.DIODE(_1343_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6613__A1 (.DIODE(_1353_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6741__A0 (.DIODE(_1347_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6613__S (.DIODE(_3223_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6743__A (.DIODE(_3287_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6615__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[9].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6744__A (.DIODE(_3309_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6615__S (.DIODE(_3184_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6745__A0 (.DIODE(_1350_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6618__A (.DIODE(_3229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6747__A0 (.DIODE(_1355_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6620__A0 (.DIODE(_1268_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6749__A0 (.DIODE(_1358_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6622__A0 (.DIODE(_1277_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6751__A0 (.DIODE(_1362_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6624__A0 (.DIODE(_1279_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6753__A (.DIODE(_3309_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6627__A0 (.DIODE(_1281_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6754__A0 (.DIODE(_1365_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6629__A0 (.DIODE(_1285_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6756__A0 (.DIODE(_1369_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6631__A0 (.DIODE(_1288_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6758__A0 (.DIODE(_1372_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6633__A0 (.DIODE(_1292_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6760__A0 (.DIODE(_1375_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6636__A0 (.DIODE(_1295_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6762__A (.DIODE(_3309_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6638__A0 (.DIODE(_1299_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6763__A0 (.DIODE(_1378_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6640__A0 (.DIODE(_1302_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6767__A0 (.DIODE(_1384_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6644__A (.DIODE(_3229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6769__A0 (.DIODE(_1387_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6645__A (.DIODE(_3245_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6771__A (.DIODE(_3309_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6646__A (.DIODE(_3246_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6776__A0 (.DIODE(_1400_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6655__A (.DIODE(_3246_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6780__A (.DIODE(_3271_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6658__A0 (.DIODE(_1329_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6781__A (.DIODE(_3330_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6664__A (.DIODE(_3246_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6786__A0 (.DIODE(_1412_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6673__A (.DIODE(_3246_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6790__A (.DIODE(_3330_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6682__A (.DIODE(_3245_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6799__A (.DIODE(_3330_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6683__A (.DIODE(_3267_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6800__A0 (.DIODE(_1426_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6692__A (.DIODE(_3267_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6808__A (.DIODE(_3330_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6701__A (.DIODE(_3267_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6817__A (.DIODE(_3287_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6706__A0 (.DIODE(_1402_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6818__A0 (.DIODE(_1446_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6708__A0 (.DIODE(_1405_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6828__A (.DIODE(_3287_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6710__A (.DIODE(_3267_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6839__CLK (.DIODE(clknet_leaf_45_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6715__A0 (.DIODE(_1418_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6899__CLK (.DIODE(clknet_leaf_45_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6717__A0 (.DIODE(_1420_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6908__RESET_B (.DIODE(net201),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6719__A (.DIODE(_3229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6909__RESET_B (.DIODE(net201),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6720__A (.DIODE(_3288_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6910__RESET_B (.DIODE(net212),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6721__A0 (.DIODE(_1422_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6911__RESET_B (.DIODE(net212),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6729__A (.DIODE(_3288_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6912__RESET_B (.DIODE(net201),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6734__A0 (.DIODE(_1440_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6913__RESET_B (.DIODE(net201),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6738__A (.DIODE(_3288_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6914__RESET_B (.DIODE(net201),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6739__A0 (.DIODE(_1444_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6915__RESET_B (.DIODE(net201),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6745__A0 (.DIODE(_1452_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6916__CLK (.DIODE(clknet_leaf_45_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6747__A (.DIODE(_3288_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6916__RESET_B (.DIODE(net185),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6748__A0 (.DIODE(_1454_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6917__CLK (.DIODE(clknet_leaf_45_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6750__A0 (.DIODE(_1458_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6917__RESET_B (.DIODE(net185),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6752__A0 (.DIODE(_1460_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6918__CLK (.DIODE(clknet_leaf_45_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6754__A0 (.DIODE(_1462_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6918__RESET_B (.DIODE(net185),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6756__A (.DIODE(_3245_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6919__RESET_B (.DIODE(net201),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6757__A0 (.DIODE(_1464_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6920__RESET_B (.DIODE(net201),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6767__A (.DIODE(_3245_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6921__RESET_B (.DIODE(net212),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6779__A (.DIODE(_3321_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6922__RESET_B (.DIODE(net212),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6781__A0 (.DIODE(_1268_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6923__RESET_B (.DIODE(net212),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6783__A0 (.DIODE(_1277_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6924__RESET_B (.DIODE(net212),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6785__A0 (.DIODE(_1279_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6925__CLK (.DIODE(clknet_leaf_45_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6788__A0 (.DIODE(_1281_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6925__RESET_B (.DIODE(net185),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6790__A0 (.DIODE(_1946_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6926__CLK (.DIODE(clknet_leaf_45_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6792__A0 (.DIODE(_1948_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6926__RESET_B (.DIODE(net185),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6794__A0 (.DIODE(_1950_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6927__RESET_B (.DIODE(net212),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6797__A0 (.DIODE(_1955_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6928__RESET_B (.DIODE(net212),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6799__A0 (.DIODE(_1957_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6929__RESET_B (.DIODE(net212),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6801__A0 (.DIODE(_1959_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6963__RESET_B (.DIODE(net212),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6805__A (.DIODE(_3321_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6964__RESET_B (.DIODE(net212),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6806__A (.DIODE(_3337_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6965__RESET_B (.DIODE(net212),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6807__A (.DIODE(_3338_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6966__RESET_B (.DIODE(net212),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6810__A0 (.DIODE(_1314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6967__RESET_B (.DIODE(net212),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6812__A0 (.DIODE(_1317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6968__RESET_B (.DIODE(net212),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6814__A0 (.DIODE(_1321_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6969__RESET_B (.DIODE(net181),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6816__A (.DIODE(_3338_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6970__RESET_B (.DIODE(net212),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6817__A0 (.DIODE(_1324_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6971__RESET_B (.DIODE(net212),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6819__A0 (.DIODE(_1328_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6972__CLK (.DIODE(clknet_leaf_45_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6821__A0 (.DIODE(_1331_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6972__RESET_B (.DIODE(net185),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6823__A0 (.DIODE(_1336_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6973__RESET_B (.DIODE(net201),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6825__A (.DIODE(_3338_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6974__RESET_B (.DIODE(net201),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6826__A0 (.DIODE(_1339_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6975__CLK (.DIODE(clknet_leaf_45_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6828__A0 (.DIODE(_1343_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6975__RESET_B (.DIODE(net201),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6830__A0 (.DIODE(_1346_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6976__RESET_B (.DIODE(net201),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6832__A0 (.DIODE(_1350_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6977__RESET_B (.DIODE(net201),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6834__A (.DIODE(_3338_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6978__RESET_B (.DIODE(net179),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6835__A0 (.DIODE(_1353_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6979__RESET_B (.DIODE(net179),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6837__A0 (.DIODE(_1357_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6980__D (.DIODE(wbs_ack_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6839__A0 (.DIODE(_1360_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6980__RESET_B (.DIODE(net212),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6841__A0 (.DIODE(_1364_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6981__RESET_B (.DIODE(net183),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6843__A (.DIODE(_3337_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6982__RESET_B (.DIODE(net183),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6844__A (.DIODE(_3359_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6983__RESET_B (.DIODE(net183),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6845__A0 (.DIODE(_1367_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6984__CLK (.DIODE(user_clock2),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6847__A0 (.DIODE(_1372_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6984__RESET_B (.DIODE(net182),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6849__A0 (.DIODE(_1375_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6985__CLK (.DIODE(user_clock2),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6851__A0 (.DIODE(_1379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6985__RESET_B (.DIODE(net182),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6853__A (.DIODE(_3359_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6986__CLK (.DIODE(user_clock2),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6854__A0 (.DIODE(_1382_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6986__RESET_B (.DIODE(net182),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6856__A0 (.DIODE(_1386_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6987__RESET_B (.DIODE(net182),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6858__A0 (.DIODE(_1389_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6988__RESET_B (.DIODE(net182),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6860__A0 (.DIODE(_1392_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6989__RESET_B (.DIODE(net182),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6862__A (.DIODE(_3359_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6990__RESET_B (.DIODE(net183),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6863__A0 (.DIODE(_1395_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6991__CLK (.DIODE(user_clock2),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6867__A0 (.DIODE(_1402_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6991__RESET_B (.DIODE(net182),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6869__A0 (.DIODE(_1405_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6992__CLK (.DIODE(user_clock2),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6871__A (.DIODE(_3359_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6992__RESET_B (.DIODE(net182),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6876__A0 (.DIODE(_1418_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6993__CLK (.DIODE(user_clock2),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6878__A0 (.DIODE(_1420_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6993__RESET_B (.DIODE(net182),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6880__A (.DIODE(_3321_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6994__CLK (.DIODE(user_clock2),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6881__A (.DIODE(_3380_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6994__RESET_B (.DIODE(net182),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6882__A0 (.DIODE(_1422_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6995__CLK (.DIODE(user_clock2),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6890__A (.DIODE(_3380_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6995__RESET_B (.DIODE(net182),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6895__A0 (.DIODE(_1440_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6996__CLK (.DIODE(user_clock2),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6899__A (.DIODE(_3380_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6996__RESET_B (.DIODE(net182),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6900__A0 (.DIODE(_1444_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6997__CLK (.DIODE(user_clock2),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6906__A0 (.DIODE(_1452_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6997__RESET_B (.DIODE(net182),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6908__A (.DIODE(_3380_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6998__CLK (.DIODE(user_clock2),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6909__A0 (.DIODE(_1454_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6998__RESET_B (.DIODE(net182),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6911__A0 (.DIODE(_1458_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6999__CLK (.DIODE(user_clock2),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6913__A0 (.DIODE(_1460_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__6999__RESET_B (.DIODE(net182),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6915__A0 (.DIODE(_1462_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7000__RESET_B (.DIODE(net183),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6917__A (.DIODE(_3337_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7001__CLK (.DIODE(user_clock2),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6918__A0 (.DIODE(_1464_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7001__RESET_B (.DIODE(net182),
+ sky130_fd_sc_hd__diode_2 ANTENNA__6928__A (.DIODE(_3337_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7002__CLK (.DIODE(user_clock2),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7008__RESET_B (.DIODE(net215),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7002__RESET_B (.DIODE(net182),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7009__RESET_B (.DIODE(net215),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7003__CLK (.DIODE(user_clock2),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7010__RESET_B (.DIODE(net218),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7003__RESET_B (.DIODE(net182),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7011__RESET_B (.DIODE(net218),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7004__CLK (.DIODE(user_clock2),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7012__RESET_B (.DIODE(net215),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7004__RESET_B (.DIODE(net182),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7013__RESET_B (.DIODE(net215),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7021__RESET_B (.DIODE(net183),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7014__RESET_B (.DIODE(net215),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7022__RESET_B (.DIODE(net183),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7015__RESET_B (.DIODE(net215),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7023__RESET_B (.DIODE(net181),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7016__RESET_B (.DIODE(net187),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7024__RESET_B (.DIODE(net184),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7017__RESET_B (.DIODE(net187),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7025__RESET_B (.DIODE(net184),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7018__RESET_B (.DIODE(net187),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7026__RESET_B (.DIODE(net184),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7019__RESET_B (.DIODE(net215),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7027__RESET_B (.DIODE(net185),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7020__RESET_B (.DIODE(net215),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7028__RESET_B (.DIODE(net185),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7021__RESET_B (.DIODE(net218),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7029__RESET_B (.DIODE(net185),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7022__RESET_B (.DIODE(net218),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7030__RESET_B (.DIODE(net185),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7023__RESET_B (.DIODE(net218),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7031__RESET_B (.DIODE(net185),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7024__RESET_B (.DIODE(net218),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7032__RESET_B (.DIODE(net185),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7025__RESET_B (.DIODE(net187),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7033__RESET_B (.DIODE(net185),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7026__RESET_B (.DIODE(net187),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7034__RESET_B (.DIODE(net185),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7027__RESET_B (.DIODE(net218),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7035__RESET_B (.DIODE(net185),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7028__RESET_B (.DIODE(net218),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7036__RESET_B (.DIODE(net185),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7029__RESET_B (.DIODE(net218),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7037__RESET_B (.DIODE(net185),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7063__RESET_B (.DIODE(net218),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7038__RESET_B (.DIODE(net185),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7064__RESET_B (.DIODE(net218),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7039__RESET_B (.DIODE(net185),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7065__RESET_B (.DIODE(net218),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7040__RESET_B (.DIODE(net181),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7066__RESET_B (.DIODE(net218),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7041__RESET_B (.DIODE(net184),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7067__RESET_B (.DIODE(net218),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7042__RESET_B (.DIODE(net184),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7068__RESET_B (.DIODE(net218),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7043__RESET_B (.DIODE(net184),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7069__RESET_B (.DIODE(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7044__RESET_B (.DIODE(net184),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7070__RESET_B (.DIODE(net218),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7045__RESET_B (.DIODE(net181),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7071__RESET_B (.DIODE(net218),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7046__RESET_B (.DIODE(net181),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7072__RESET_B (.DIODE(net187),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7047__RESET_B (.DIODE(net184),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7073__RESET_B (.DIODE(net215),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7048__RESET_B (.DIODE(net181),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7074__RESET_B (.DIODE(net215),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7049__RESET_B (.DIODE(net181),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7075__RESET_B (.DIODE(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7050__RESET_B (.DIODE(net181),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7076__RESET_B (.DIODE(net215),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7051__RESET_B (.DIODE(net181),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7077__RESET_B (.DIODE(net215),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7052__RESET_B (.DIODE(net184),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7078__RESET_B (.DIODE(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7053__RESET_B (.DIODE(net181),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7079__RESET_B (.DIODE(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7054__RESET_B (.DIODE(net181),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7080__D (.DIODE(wbs_ack_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7055__RESET_B (.DIODE(net184),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7080__RESET_B (.DIODE(net218),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7056__RESET_B (.DIODE(net179),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7081__RESET_B (.DIODE(net184),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7057__RESET_B (.DIODE(net179),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7082__RESET_B (.DIODE(net184),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7058__RESET_B (.DIODE(net179),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7083__RESET_B (.DIODE(net184),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7063__RESET_B (.DIODE(\u_arb.rstn ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7084__CLK (.DIODE(user_clock2),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7064__RESET_B (.DIODE(\u_arb.rstn ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7084__RESET_B (.DIODE(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7065__RESET_B (.DIODE(\u_arb.rstn ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7085__CLK (.DIODE(user_clock2),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7066__CLK (.DIODE(clknet_leaf_45_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7085__RESET_B (.DIODE(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7126__CLK (.DIODE(clknet_leaf_45_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7086__CLK (.DIODE(user_clock2),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7232__RESET_B (.DIODE(net199),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7086__RESET_B (.DIODE(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7234__RESET_B (.DIODE(net178),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7087__CLK (.DIODE(user_clock2),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7235__RESET_B (.DIODE(net180),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7087__RESET_B (.DIODE(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7236__RESET_B (.DIODE(net180),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7088__CLK (.DIODE(user_clock2),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7237__RESET_B (.DIODE(net180),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7088__RESET_B (.DIODE(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7238__RESET_B (.DIODE(net180),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7089__CLK (.DIODE(user_clock2),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7239__RESET_B (.DIODE(net180),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7089__RESET_B (.DIODE(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7240__RESET_B (.DIODE(net180),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7090__CLK (.DIODE(user_clock2),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7241__RESET_B (.DIODE(net180),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7090__RESET_B (.DIODE(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7242__RESET_B (.DIODE(net180),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7091__RESET_B (.DIODE(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7243__RESET_B (.DIODE(net180),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7092__RESET_B (.DIODE(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7244__RESET_B (.DIODE(net180),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7093__RESET_B (.DIODE(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7245__RESET_B (.DIODE(net180),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7094__RESET_B (.DIODE(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7246__RESET_B (.DIODE(net180),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7095__CLK (.DIODE(user_clock2),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7247__RESET_B (.DIODE(net180),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7095__RESET_B (.DIODE(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7248__RESET_B (.DIODE(net180),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7096__CLK (.DIODE(user_clock2),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7249__RESET_B (.DIODE(net180),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7096__RESET_B (.DIODE(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7250__RESET_B (.DIODE(net180),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7097__CLK (.DIODE(user_clock2),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7251__RESET_B (.DIODE(net179),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7097__RESET_B (.DIODE(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7252__RESET_B (.DIODE(net179),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7098__CLK (.DIODE(user_clock2),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7253__RESET_B (.DIODE(net179),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7098__RESET_B (.DIODE(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7254__RESET_B (.DIODE(net179),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7099__CLK (.DIODE(user_clock2),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7255__RESET_B (.DIODE(net179),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7099__RESET_B (.DIODE(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7256__CLK (.DIODE(\clknet_2_0_0_u_uart2wb.baud_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7100__CLK (.DIODE(user_clock2),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7256__RESET_B (.DIODE(net179),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7100__RESET_B (.DIODE(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7257__RESET_B (.DIODE(net179),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7101__CLK (.DIODE(user_clock2),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7258__RESET_B (.DIODE(net179),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7101__RESET_B (.DIODE(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7259__RESET_B (.DIODE(net180),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7102__CLK (.DIODE(user_clock2),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7260__RESET_B (.DIODE(net180),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7102__RESET_B (.DIODE(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7261__RESET_B (.DIODE(net180),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7103__CLK (.DIODE(user_clock2),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7262__RESET_B (.DIODE(net180),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7103__RESET_B (.DIODE(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7263__RESET_B (.DIODE(net180),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7104__RESET_B (.DIODE(net184),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7264__RESET_B (.DIODE(net180),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7105__CLK (.DIODE(user_clock2),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7265__RESET_B (.DIODE(net180),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7105__RESET_B (.DIODE(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7266__RESET_B (.DIODE(net180),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7106__CLK (.DIODE(user_clock2),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7267__RESET_B (.DIODE(net180),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7106__RESET_B (.DIODE(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7268__RESET_B (.DIODE(net180),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7107__CLK (.DIODE(user_clock2),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7269__RESET_B (.DIODE(net180),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7107__RESET_B (.DIODE(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7270__RESET_B (.DIODE(net180),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7108__CLK (.DIODE(user_clock2),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7271__RESET_B (.DIODE(net180),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7108__RESET_B (.DIODE(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7272__RESET_B (.DIODE(net180),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7109__CLK (.DIODE(user_clock2),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7273__RESET_B (.DIODE(net180),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7109__RESET_B (.DIODE(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7274__RESET_B (.DIODE(net180),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7110__CLK (.DIODE(user_clock2),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7275__RESET_B (.DIODE(net180),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7110__RESET_B (.DIODE(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7276__RESET_B (.DIODE(net180),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7111__CLK (.DIODE(user_clock2),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7277__RESET_B (.DIODE(net180),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7111__RESET_B (.DIODE(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7278__RESET_B (.DIODE(net180),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7112__CLK (.DIODE(user_clock2),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7279__RESET_B (.DIODE(net180),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7112__RESET_B (.DIODE(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7280__RESET_B (.DIODE(net180),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7129__RESET_B (.DIODE(net184),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7281__RESET_B (.DIODE(net180),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7130__RESET_B (.DIODE(net184),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7282__RESET_B (.DIODE(net180),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7131__RESET_B (.DIODE(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7283__RESET_B (.DIODE(net179),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7132__RESET_B (.DIODE(net187),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7284__RESET_B (.DIODE(net179),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7133__RESET_B (.DIODE(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7285__RESET_B (.DIODE(net179),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7134__RESET_B (.DIODE(net187),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7286__RESET_B (.DIODE(net179),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7135__RESET_B (.DIODE(net187),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7287__RESET_B (.DIODE(net179),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7136__RESET_B (.DIODE(net187),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7288__RESET_B (.DIODE(net179),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7137__RESET_B (.DIODE(net187),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7289__RESET_B (.DIODE(net179),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7138__RESET_B (.DIODE(net184),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7290__RESET_B (.DIODE(net179),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7139__RESET_B (.DIODE(net187),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7291__RESET_B (.DIODE(\u_arb.rstn ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7140__RESET_B (.DIODE(net179),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7292__RESET_B (.DIODE(\u_arb.rstn ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7141__RESET_B (.DIODE(net179),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7293__RESET_B (.DIODE(\u_arb.rstn ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7142__RESET_B (.DIODE(net179),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7294__RESET_B (.DIODE(net199),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7143__RESET_B (.DIODE(net179),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7295__RESET_B (.DIODE(net199),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7144__RESET_B (.DIODE(net179),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7296__RESET_B (.DIODE(net199),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7145__RESET_B (.DIODE(net179),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7297__RESET_B (.DIODE(net199),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7146__RESET_B (.DIODE(net179),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7298__RESET_B (.DIODE(net199),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7147__RESET_B (.DIODE(net179),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7299__RESET_B (.DIODE(net199),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7148__RESET_B (.DIODE(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7300__RESET_B (.DIODE(net199),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7149__RESET_B (.DIODE(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7318__RESET_B (.DIODE(\u_arb.rstn ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7150__RESET_B (.DIODE(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7319__RESET_B (.DIODE(\u_arb.rstn ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7151__RESET_B (.DIODE(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7320__RESET_B (.DIODE(\u_arb.rstn ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7152__RESET_B (.DIODE(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7321__RESET_B (.DIODE(\u_arb.rstn ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7153__RESET_B (.DIODE(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7322__RESET_B (.DIODE(net179),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7154__RESET_B (.DIODE(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7323__RESET_B (.DIODE(net179),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7155__RESET_B (.DIODE(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7324__RESET_B (.DIODE(net179),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7156__RESET_B (.DIODE(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7325__RESET_B (.DIODE(net179),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7157__RESET_B (.DIODE(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7326__RESET_B (.DIODE(net179),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7158__RESET_B (.DIODE(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7327__RESET_B (.DIODE(net179),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7159__RESET_B (.DIODE(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7328__RESET_B (.DIODE(net179),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7160__RESET_B (.DIODE(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7329__RESET_B (.DIODE(net179),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7161__RESET_B (.DIODE(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7330__RESET_B (.DIODE(net201),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7162__RESET_B (.DIODE(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7331__RESET_B (.DIODE(net179),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7163__RESET_B (.DIODE(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7332__RESET_B (.DIODE(net201),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7164__D (.DIODE(wb_ack_o1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7333__RESET_B (.DIODE(net201),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7164__RESET_B (.DIODE(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7334__RESET_B (.DIODE(net201),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7165__RESET_B (.DIODE(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7335__RESET_B (.DIODE(net177),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7166__RESET_B (.DIODE(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7336__RESET_B (.DIODE(\u_arb.rstn ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7171__RESET_B (.DIODE(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7337__RESET_B (.DIODE(\u_arb.rstn ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7172__RESET_B (.DIODE(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7339__RESET_B (.DIODE(net178),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7173__RESET_B (.DIODE(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -11783,167 +11760,167 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7342__SET_B (.DIODE(net178),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7342__RESET_B (.DIODE(net178),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7343__RESET_B (.DIODE(net178),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7343__RESET_B (.DIODE(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7344__RESET_B (.DIODE(net178),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7344__RESET_B (.DIODE(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7345__RESET_B (.DIODE(net178),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7345__RESET_B (.DIODE(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7346__RESET_B (.DIODE(net178),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7346__RESET_B (.DIODE(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7347__RESET_B (.DIODE(net179),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7347__RESET_B (.DIODE(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7348__RESET_B (.DIODE(net179),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7348__RESET_B (.DIODE(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7349__RESET_B (.DIODE(net181),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7349__RESET_B (.DIODE(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7350__RESET_B (.DIODE(net181),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7350__RESET_B (.DIODE(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7351__RESET_B (.DIODE(net181),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7351__RESET_B (.DIODE(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7352__RESET_B (.DIODE(net181),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7352__RESET_B (.DIODE(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7353__RESET_B (.DIODE(net181),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7353__RESET_B (.DIODE(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7354__RESET_B (.DIODE(net181),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7354__RESET_B (.DIODE(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7355__RESET_B (.DIODE(net181),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7355__RESET_B (.DIODE(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7356__RESET_B (.DIODE(net181),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7356__RESET_B (.DIODE(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7357__RESET_B (.DIODE(net181),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7357__RESET_B (.DIODE(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7358__RESET_B (.DIODE(net181),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7358__RESET_B (.DIODE(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7359__RESET_B (.DIODE(net181),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7359__RESET_B (.DIODE(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7360__RESET_B (.DIODE(net181),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7360__RESET_B (.DIODE(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7361__RESET_B (.DIODE(net181),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7361__RESET_B (.DIODE(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7362__RESET_B (.DIODE(net181),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7362__RESET_B (.DIODE(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7363__RESET_B (.DIODE(net181),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7363__RESET_B (.DIODE(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7364__RESET_B (.DIODE(net181),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7364__RESET_B (.DIODE(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7365__RESET_B (.DIODE(net181),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7365__RESET_B (.DIODE(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7366__RESET_B (.DIODE(net181),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7366__RESET_B (.DIODE(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7367__RESET_B (.DIODE(net181),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7367__RESET_B (.DIODE(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7368__RESET_B (.DIODE(net181),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7368__RESET_B (.DIODE(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7369__RESET_B (.DIODE(net181),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7369__RESET_B (.DIODE(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7370__RESET_B (.DIODE(net181),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7370__RESET_B (.DIODE(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7371__RESET_B (.DIODE(net181),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7371__RESET_B (.DIODE(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7372__RESET_B (.DIODE(net181),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7372__RESET_B (.DIODE(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7373__RESET_B (.DIODE(net181),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7373__RESET_B (.DIODE(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7374__RESET_B (.DIODE(net181),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7374__RESET_B (.DIODE(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -11953,12 +11930,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7376__RESET_B (.DIODE(net181),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7376__RESET_B (.DIODE(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7377__RESET_B (.DIODE(net181),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7377__RESET_B (.DIODE(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -11968,447 +11945,482 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7379__RESET_B (.DIODE(net178),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7379__RESET_B (.DIODE(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7380__RESET_B (.DIODE(net178),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7380__RESET_B (.DIODE(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7381__RESET_B (.DIODE(net178),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7381__RESET_B (.DIODE(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7382__RESET_B (.DIODE(net178),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7382__RESET_B (.DIODE(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7383__RESET_B (.DIODE(net178),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7383__RESET_B (.DIODE(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7384__RESET_B (.DIODE(net178),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7384__RESET_B (.DIODE(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7385__RESET_B (.DIODE(net178),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7385__RESET_B (.DIODE(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7386__RESET_B (.DIODE(net178),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7386__RESET_B (.DIODE(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7387__RESET_B (.DIODE(net178),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7387__RESET_B (.DIODE(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7388__RESET_B (.DIODE(net178),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7388__RESET_B (.DIODE(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7389__RESET_B (.DIODE(net178),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7389__RESET_B (.DIODE(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7390__RESET_B (.DIODE(net178),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7390__RESET_B (.DIODE(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7391__RESET_B (.DIODE(net178),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7391__RESET_B (.DIODE(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7392__RESET_B (.DIODE(net178),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7392__RESET_B (.DIODE(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7393__RESET_B (.DIODE(net178),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7393__RESET_B (.DIODE(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7394__RESET_B (.DIODE(net178),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7394__RESET_B (.DIODE(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7395__RESET_B (.DIODE(net178),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7395__RESET_B (.DIODE(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7396__RESET_B (.DIODE(net178),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7396__RESET_B (.DIODE(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7397__RESET_B (.DIODE(net178),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7397__RESET_B (.DIODE(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7398__RESET_B (.DIODE(net178),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7398__RESET_B (.DIODE(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7399__RESET_B (.DIODE(net179),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7399__CLK (.DIODE(\clknet_2_2_0_u_uart2wb.baud_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7400__RESET_B (.DIODE(net179),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7399__RESET_B (.DIODE(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7401__RESET_B (.DIODE(net179),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7400__RESET_B (.DIODE(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7402__RESET_B (.DIODE(net179),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7401__RESET_B (.DIODE(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7403__RESET_B (.DIODE(net179),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7402__RESET_B (.DIODE(net178),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7404__RESET_B (.DIODE(net179),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7403__RESET_B (.DIODE(net232),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7405__RESET_B (.DIODE(net222),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7404__RESET_B (.DIODE(net232),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7406__RESET_B (.DIODE(net222),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7405__RESET_B (.DIODE(net232),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7407__RESET_B (.DIODE(net222),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7406__RESET_B (.DIODE(net178),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7408__RESET_B (.DIODE(net222),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7407__RESET_B (.DIODE(net178),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7409__RESET_B (.DIODE(net222),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7408__RESET_B (.DIODE(net178),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7410__RESET_B (.DIODE(net201),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7426__RESET_B (.DIODE(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7411__SET_B (.DIODE(net178),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7427__RESET_B (.DIODE(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7412__SET_B (.DIODE(net178),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7428__RESET_B (.DIODE(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7413__D (.DIODE(uartm_rxd),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7429__RESET_B (.DIODE(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7413__SET_B (.DIODE(net178),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7430__RESET_B (.DIODE(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7414__RESET_B (.DIODE(net177),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7431__RESET_B (.DIODE(net185),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7432__RESET_B (.DIODE(net185),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7433__RESET_B (.DIODE(net185),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7434__RESET_B (.DIODE(net185),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7435__RESET_B (.DIODE(net185),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7436__RESET_B (.DIODE(net185),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7437__RESET_B (.DIODE(net186),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7438__RESET_B (.DIODE(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7415__RESET_B (.DIODE(net177),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7439__RESET_B (.DIODE(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7416__RESET_B (.DIODE(net177),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7440__RESET_B (.DIODE(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7417__RESET_B (.DIODE(net177),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7441__RESET_B (.DIODE(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7418__RESET_B (.DIODE(net177),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7442__RESET_B (.DIODE(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7419__RESET_B (.DIODE(net177),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7443__RESET_B (.DIODE(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7420__RESET_B (.DIODE(net177),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7444__RESET_B (.DIODE(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7421__RESET_B (.DIODE(net177),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7445__RESET_B (.DIODE(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7422__RESET_B (.DIODE(net177),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7446__RESET_B (.DIODE(net178),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7423__RESET_B (.DIODE(net177),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7447__RESET_B (.DIODE(net178),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7424__RESET_B (.DIODE(net177),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7448__RESET_B (.DIODE(net178),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7458__RESET_B (.DIODE(net179),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7449__RESET_B (.DIODE(net178),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7459__CLK (.DIODE(clknet_3_2_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7450__SET_B (.DIODE(net178),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7459__RESET_B (.DIODE(net179),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7451__RESET_B (.DIODE(net178),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7460__RESET_B (.DIODE(net177),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7452__RESET_B (.DIODE(net178),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7461__RESET_B (.DIODE(net177),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7453__RESET_B (.DIODE(net178),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7462__CLK (.DIODE(user_clock2),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7454__RESET_B (.DIODE(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7462__RESET_B (.DIODE(net183),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7455__RESET_B (.DIODE(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7463__CLK (.DIODE(user_clock2),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7456__RESET_B (.DIODE(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7463__RESET_B (.DIODE(net183),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7457__RESET_B (.DIODE(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7464__CLK (.DIODE(user_clock2),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7458__RESET_B (.DIODE(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7464__RESET_B (.DIODE(net183),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7459__RESET_B (.DIODE(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7465__CLK (.DIODE(user_clock2),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7460__RESET_B (.DIODE(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7465__RESET_B (.DIODE(net183),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7461__RESET_B (.DIODE(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7466__CLK (.DIODE(user_clock2),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7462__RESET_B (.DIODE(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7466__RESET_B (.DIODE(net182),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7463__RESET_B (.DIODE(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7467__CLK (.DIODE(user_clock2),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7464__RESET_B (.DIODE(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7467__RESET_B (.DIODE(net182),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7465__RESET_B (.DIODE(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7468__CLK (.DIODE(user_clock2),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7466__RESET_B (.DIODE(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7468__RESET_B (.DIODE(net182),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7467__RESET_B (.DIODE(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7469__CLK (.DIODE(user_clock2),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7468__RESET_B (.DIODE(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7469__RESET_B (.DIODE(net182),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7469__RESET_B (.DIODE(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7470__RESET_B (.DIODE(net179),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7470__RESET_B (.DIODE(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7471__RESET_B (.DIODE(net179),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7471__RESET_B (.DIODE(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7472__RESET_B (.DIODE(net179),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7472__RESET_B (.DIODE(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7473__RESET_B (.DIODE(net179),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7473__RESET_B (.DIODE(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7474__RESET_B (.DIODE(net177),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7474__RESET_B (.DIODE(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7475__RESET_B (.DIODE(net177),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7475__RESET_B (.DIODE(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7476__RESET_B (.DIODE(net177),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7476__RESET_B (.DIODE(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7477__RESET_B (.DIODE(net177),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7477__RESET_B (.DIODE(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7478__RESET_B (.DIODE(net177),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7478__RESET_B (.DIODE(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7479__RESET_B (.DIODE(net177),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7479__RESET_B (.DIODE(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7480__RESET_B (.DIODE(net177),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7480__RESET_B (.DIODE(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7481__RESET_B (.DIODE(net177),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7481__RESET_B (.DIODE(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7482__RESET_B (.DIODE(net177),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7482__RESET_B (.DIODE(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7483__RESET_B (.DIODE(net177),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7483__RESET_B (.DIODE(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7484__RESET_B (.DIODE(net177),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7484__RESET_B (.DIODE(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7485__RESET_B (.DIODE(net177),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7485__RESET_B (.DIODE(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7486__RESET_B (.DIODE(net177),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7486__RESET_B (.DIODE(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7487__RESET_B (.DIODE(net177),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7487__RESET_B (.DIODE(net178),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7488__RESET_B (.DIODE(net177),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7488__RESET_B (.DIODE(net178),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7489__RESET_B (.DIODE(net177),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7489__RESET_B (.DIODE(net178),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7490__RESET_B (.DIODE(net177),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7490__RESET_B (.DIODE(net178),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -12418,22 +12430,22 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7492__RESET_B (.DIODE(net177),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7492__RESET_B (.DIODE(net178),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7493__RESET_B (.DIODE(net177),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7493__RESET_B (.DIODE(net178),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7494__RESET_B (.DIODE(net177),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7494__RESET_B (.DIODE(net178),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7495__RESET_B (.DIODE(net177),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7495__RESET_B (.DIODE(net178),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -12473,6 +12485,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7503__CLK (.DIODE(\clknet_2_1_0_u_uart2wb.baud_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__7503__RESET_B (.DIODE(net177),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -12493,327 +12510,182 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7507__SET_B (.DIODE(net178),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7507__RESET_B (.DIODE(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7508__RESET_B (.DIODE(net178),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7508__RESET_B (.DIODE(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7509__RESET_B (.DIODE(net178),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7509__RESET_B (.DIODE(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7510__RESET_B (.DIODE(net178),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7510__RESET_B (.DIODE(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7511__RESET_B (.DIODE(net178),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7511__RESET_B (.DIODE(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7512__RESET_B (.DIODE(net182),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7512__RESET_B (.DIODE(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7513__RESET_B (.DIODE(net184),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7513__RESET_B (.DIODE(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7514__RESET_B (.DIODE(net182),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7514__RESET_B (.DIODE(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7515__RESET_B (.DIODE(net184),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7515__RESET_B (.DIODE(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7516__RESET_B (.DIODE(net184),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7516__RESET_B (.DIODE(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7517__RESET_B (.DIODE(net184),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7517__RESET_B (.DIODE(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7518__RESET_B (.DIODE(net184),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7518__RESET_B (.DIODE(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7519__RESET_B (.DIODE(net182),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7519__SET_B (.DIODE(net178),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7520__RESET_B (.DIODE(net182),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7520__SET_B (.DIODE(net178),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7521__RESET_B (.DIODE(net182),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7521__D (.DIODE(uartm_rxd),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7522__RESET_B (.DIODE(net182),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7521__SET_B (.DIODE(net178),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7523__RESET_B (.DIODE(net184),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7522__RESET_B (.DIODE(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7524__RESET_B (.DIODE(net182),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7523__RESET_B (.DIODE(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7525__RESET_B (.DIODE(net182),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7524__RESET_B (.DIODE(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7526__RESET_B (.DIODE(net182),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7525__RESET_B (.DIODE(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7527__RESET_B (.DIODE(net183),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7526__RESET_B (.DIODE(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7528__RESET_B (.DIODE(net183),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7527__RESET_B (.DIODE(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7529__RESET_B (.DIODE(net183),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7528__RESET_B (.DIODE(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7530__RESET_B (.DIODE(net183),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7529__RESET_B (.DIODE(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7531__RESET_B (.DIODE(net183),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7530__RESET_B (.DIODE(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7532__RESET_B (.DIODE(net183),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7531__RESET_B (.DIODE(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7533__RESET_B (.DIODE(net183),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7532__RESET_B (.DIODE(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7534__RESET_B (.DIODE(net183),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7566__RESET_B (.DIODE(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7535__RESET_B (.DIODE(net185),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7567__RESET_B (.DIODE(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7536__RESET_B (.DIODE(net185),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7568__RESET_B (.DIODE(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7537__RESET_B (.DIODE(net185),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7569__RESET_B (.DIODE(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7538__RESET_B (.DIODE(net185),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7570__CLK (.DIODE(user_clock2),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7539__RESET_B (.DIODE(net183),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7570__RESET_B (.DIODE(net184),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7540__RESET_B (.DIODE(net182),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7571__CLK (.DIODE(user_clock2),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7541__RESET_B (.DIODE(net182),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7571__RESET_B (.DIODE(net184),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7542__RESET_B (.DIODE(net182),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7543__RESET_B (.DIODE(net182),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7544__RESET_B (.DIODE(net182),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7545__RESET_B (.DIODE(net185),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7546__RESET_B (.DIODE(net185),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7547__SET_B (.DIODE(net185),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7548__RESET_B (.DIODE(net185),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7549__RESET_B (.DIODE(net184),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7550__RESET_B (.DIODE(net181),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7551__RESET_B (.DIODE(net184),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7552__RESET_B (.DIODE(net212),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7553__RESET_B (.DIODE(net212),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7554__RESET_B (.DIODE(net182),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7555__RESET_B (.DIODE(net184),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7556__RESET_B (.DIODE(net182),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7557__RESET_B (.DIODE(net184),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7558__RESET_B (.DIODE(net184),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7559__RESET_B (.DIODE(net184),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7560__RESET_B (.DIODE(net184),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7561__RESET_B (.DIODE(net184),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7562__RESET_B (.DIODE(net184),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7563__RESET_B (.DIODE(net184),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7564__RESET_B (.DIODE(net184),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7565__RESET_B (.DIODE(net184),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7566__RESET_B (.DIODE(net183),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7567__RESET_B (.DIODE(net183),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7568__RESET_B (.DIODE(net183),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7569__RESET_B (.DIODE(net183),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7570__RESET_B (.DIODE(net183),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7571__RESET_B (.DIODE(net183),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7572__CLK (.DIODE(user_clock2),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -12823,27 +12695,52 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7573__CLK (.DIODE(user_clock2),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__7573__RESET_B (.DIODE(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7574__CLK (.DIODE(user_clock2),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__7574__RESET_B (.DIODE(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7575__CLK (.DIODE(user_clock2),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__7575__RESET_B (.DIODE(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7576__CLK (.DIODE(user_clock2),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA__7576__RESET_B (.DIODE(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7577__RESET_B (.DIODE(net185),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7577__CLK (.DIODE(user_clock2),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7577__RESET_B (.DIODE(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -12868,332 +12765,872 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7582__RESET_B (.DIODE(net182),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7582__RESET_B (.DIODE(net177),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7583__RESET_B (.DIODE(net177),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7584__RESET_B (.DIODE(net177),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7585__RESET_B (.DIODE(net177),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7586__RESET_B (.DIODE(net177),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7587__RESET_B (.DIODE(net177),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7588__RESET_B (.DIODE(net177),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7589__RESET_B (.DIODE(net177),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7590__RESET_B (.DIODE(net177),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7591__RESET_B (.DIODE(net177),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7592__RESET_B (.DIODE(net177),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7593__RESET_B (.DIODE(net177),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7594__RESET_B (.DIODE(net177),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7595__RESET_B (.DIODE(net177),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7596__RESET_B (.DIODE(net217),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7597__RESET_B (.DIODE(net217),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7598__RESET_B (.DIODE(net232),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7599__RESET_B (.DIODE(net217),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7600__RESET_B (.DIODE(net232),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7601__RESET_B (.DIODE(net217),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7602__RESET_B (.DIODE(net232),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7603__RESET_B (.DIODE(net232),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7604__RESET_B (.DIODE(net232),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7605__RESET_B (.DIODE(net232),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7606__RESET_B (.DIODE(net232),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7607__RESET_B (.DIODE(net232),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7608__RESET_B (.DIODE(net232),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7609__RESET_B (.DIODE(net232),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7610__RESET_B (.DIODE(net232),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7611__RESET_B (.DIODE(net232),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7612__RESET_B (.DIODE(net232),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7613__RESET_B (.DIODE(net232),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7614__RESET_B (.DIODE(net177),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7615__SET_B (.DIODE(net178),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7616__RESET_B (.DIODE(net178),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7617__RESET_B (.DIODE(net178),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7618__RESET_B (.DIODE(net178),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7619__RESET_B (.DIODE(net178),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7620__RESET_B (.DIODE(net181),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7621__RESET_B (.DIODE(net187),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7622__RESET_B (.DIODE(net181),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7623__RESET_B (.DIODE(net181),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7624__RESET_B (.DIODE(net181),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7625__RESET_B (.DIODE(net181),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7626__RESET_B (.DIODE(net181),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7627__RESET_B (.DIODE(net183),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7628__RESET_B (.DIODE(net183),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7629__RESET_B (.DIODE(net183),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7630__RESET_B (.DIODE(net183),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7631__RESET_B (.DIODE(net182),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7632__RESET_B (.DIODE(net183),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7633__RESET_B (.DIODE(net183),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7634__RESET_B (.DIODE(net183),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7635__RESET_B (.DIODE(net183),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7636__RESET_B (.DIODE(net184),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7637__RESET_B (.DIODE(net184),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7638__RESET_B (.DIODE(net184),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7639__RESET_B (.DIODE(net179),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7640__RESET_B (.DIODE(net179),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7641__RESET_B (.DIODE(net183),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7642__RESET_B (.DIODE(net179),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7643__RESET_B (.DIODE(net187),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7644__RESET_B (.DIODE(net184),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7645__RESET_B (.DIODE(net184),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7646__RESET_B (.DIODE(net187),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7647__RESET_B (.DIODE(net187),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7648__RESET_B (.DIODE(net182),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7649__RESET_B (.DIODE(net182),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7650__RESET_B (.DIODE(net182),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7651__RESET_B (.DIODE(net183),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7652__RESET_B (.DIODE(net183),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7653__RESET_B (.DIODE(net187),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7654__RESET_B (.DIODE(net184),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7655__SET_B (.DIODE(net187),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7656__RESET_B (.DIODE(net187),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7657__RESET_B (.DIODE(net187),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7658__RESET_B (.DIODE(net180),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7659__RESET_B (.DIODE(net187),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7660__RESET_B (.DIODE(net218),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7661__RESET_B (.DIODE(net218),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7662__RESET_B (.DIODE(net181),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7663__RESET_B (.DIODE(net180),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7664__RESET_B (.DIODE(net182),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7665__RESET_B (.DIODE(net182),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7666__RESET_B (.DIODE(net182),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7667__RESET_B (.DIODE(net183),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7668__RESET_B (.DIODE(net183),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7669__RESET_B (.DIODE(net183),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7670__RESET_B (.DIODE(net182),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7671__RESET_B (.DIODE(net182),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7672__RESET_B (.DIODE(net182),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7673__RESET_B (.DIODE(net182),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7674__RESET_B (.DIODE(net183),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7675__RESET_B (.DIODE(net183),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7676__RESET_B (.DIODE(net183),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7677__RESET_B (.DIODE(net187),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7678__RESET_B (.DIODE(net184),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7679__RESET_B (.DIODE(net179),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7680__RESET_B (.DIODE(net179),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7681__RESET_B (.DIODE(net179),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7682__RESET_B (.DIODE(net179),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7683__RESET_B (.DIODE(net187),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7684__RESET_B (.DIODE(net179),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7685__RESET_B (.DIODE(net179),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7686__RESET_B (.DIODE(net179),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7687__RESET_B (.DIODE(net179),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7688__RESET_B (.DIODE(net179),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7689__RESET_B (.DIODE(net179),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__7690__RESET_B (.DIODE(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7583__RESET_B (.DIODE(net182),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7691__RESET_B (.DIODE(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7584__RESET_B (.DIODE(net184),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7692__RESET_B (.DIODE(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7585__RESET_B (.DIODE(net185),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7693__RESET_B (.DIODE(net187),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7586__RESET_B (.DIODE(net184),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7694__RESET_B (.DIODE(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7587__RESET_B (.DIODE(net184),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7695__RESET_B (.DIODE(net187),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7588__RESET_B (.DIODE(net184),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7696__RESET_B (.DIODE(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7589__RESET_B (.DIODE(net180),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7697__RESET_B (.DIODE(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7590__RESET_B (.DIODE(net180),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7698__RESET_B (.DIODE(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7591__RESET_B (.DIODE(net180),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7699__RESET_B (.DIODE(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7592__RESET_B (.DIODE(net180),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7700__RESET_B (.DIODE(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7593__RESET_B (.DIODE(net180),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7701__RESET_B (.DIODE(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7594__RESET_B (.DIODE(net180),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7702__RESET_B (.DIODE(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7595__RESET_B (.DIODE(net180),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7703__RESET_B (.DIODE(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7596__RESET_B (.DIODE(net180),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7704__RESET_B (.DIODE(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7597__RESET_B (.DIODE(net184),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7705__RESET_B (.DIODE(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7598__RESET_B (.DIODE(net182),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7706__RESET_B (.DIODE(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7599__RESET_B (.DIODE(net182),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7707__RESET_B (.DIODE(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7600__RESET_B (.DIODE(net182),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7708__RESET_B (.DIODE(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7601__RESET_B (.DIODE(net183),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7709__RESET_B (.DIODE(net184),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7602__RESET_B (.DIODE(net183),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7710__RESET_B (.DIODE(net184),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7603__RESET_B (.DIODE(net183),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7711__RESET_B (.DIODE(net184),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7604__RESET_B (.DIODE(net183),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7712__RESET_B (.DIODE(net184),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7605__RESET_B (.DIODE(net183),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7713__RESET_B (.DIODE(net179),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7606__RESET_B (.DIODE(net183),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7714__RESET_B (.DIODE(net179),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7607__RESET_B (.DIODE(net183),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7715__RESET_B (.DIODE(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7608__RESET_B (.DIODE(net183),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7716__RESET_B (.DIODE(net179),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7609__RESET_B (.DIODE(net183),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7717__RESET_B (.DIODE(net184),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7610__RESET_B (.DIODE(net183),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7718__RESET_B (.DIODE(net184),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7611__RESET_B (.DIODE(net185),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7719__RESET_B (.DIODE(net184),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7612__RESET_B (.DIODE(net183),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7720__RESET_B (.DIODE(net184),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7613__RESET_B (.DIODE(net183),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7721__RESET_B (.DIODE(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7614__RESET_B (.DIODE(net184),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7722__RESET_B (.DIODE(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7615__RESET_B (.DIODE(net184),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7723__RESET_B (.DIODE(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7616__RESET_B (.DIODE(net184),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7724__RESET_B (.DIODE(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7617__RESET_B (.DIODE(net183),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7725__RESET_B (.DIODE(net187),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7687__CLK (.DIODE(clknet_leaf_45_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7869__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[0].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7761__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[0].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7870__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[1].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7762__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[1].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7871__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[2].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7763__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[2].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7878__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[9].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7764__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[3].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7884__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[15].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7768__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[7].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7885__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[16].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7773__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[12].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7886__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[17].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7775__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[14].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7887__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[18].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7776__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[15].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7888__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[19].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7777__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[16].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7890__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[21].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7778__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[17].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7901__A (.DIODE(\u_clk_ctrl2.gen_bit_reg[0].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7779__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[18].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7902__A (.DIODE(\u_clk_ctrl2.gen_bit_reg[1].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7780__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[19].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7903__A (.DIODE(\u_clk_ctrl2.gen_bit_reg[2].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7790__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[29].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7904__A (.DIODE(\u_clk_ctrl2.gen_bit_reg[3].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7792__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[31].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7905__A (.DIODE(\u_clk_ctrl2.gen_bit_reg[4].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7793__A (.DIODE(\u_clk_ctrl2.gen_bit_reg[0].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7906__A (.DIODE(\u_clk_ctrl2.gen_bit_reg[5].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7795__A (.DIODE(\u_clk_ctrl2.gen_bit_reg[2].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7910__A (.DIODE(\u_clk_ctrl2.gen_bit_reg[9].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7796__A (.DIODE(\u_clk_ctrl2.gen_bit_reg[3].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7917__A (.DIODE(\u_clk_ctrl2.gen_bit_reg[16].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7799__A (.DIODE(\u_clk_ctrl2.gen_bit_reg[6].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7918__A (.DIODE(\u_clk_ctrl2.gen_bit_reg[17].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7800__A (.DIODE(\u_clk_ctrl2.gen_bit_reg[7].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7919__A (.DIODE(\u_clk_ctrl2.gen_bit_reg[18].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7802__A (.DIODE(\u_clk_ctrl2.gen_bit_reg[9].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7920__A (.DIODE(\u_clk_ctrl2.gen_bit_reg[19].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7813__A (.DIODE(\u_clk_ctrl2.gen_bit_reg[20].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7921__A (.DIODE(\u_clk_ctrl2.gen_bit_reg[20].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7814__A (.DIODE(\u_clk_ctrl2.gen_bit_reg[21].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7922__A (.DIODE(\u_clk_ctrl2.gen_bit_reg[21].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7815__A (.DIODE(\u_clk_ctrl2.gen_bit_reg[22].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7923__A (.DIODE(\u_clk_ctrl2.gen_bit_reg[22].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7816__A (.DIODE(\u_clk_ctrl2.gen_bit_reg[23].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7924__A (.DIODE(\u_clk_ctrl2.gen_bit_reg[23].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7817__A (.DIODE(\u_clk_ctrl2.gen_bit_reg[24].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7925__A (.DIODE(\u_clk_ctrl2.gen_bit_reg[24].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7818__A (.DIODE(\u_clk_ctrl2.gen_bit_reg[25].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7926__A (.DIODE(\u_clk_ctrl2.gen_bit_reg[25].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7819__A (.DIODE(\u_clk_ctrl2.gen_bit_reg[26].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7927__A (.DIODE(\u_clk_ctrl2.gen_bit_reg[26].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7820__A (.DIODE(\u_clk_ctrl2.gen_bit_reg[27].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7928__A (.DIODE(\u_clk_ctrl2.gen_bit_reg[27].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__7825__A (.DIODE(net138),
+ sky130_fd_sc_hd__diode_2 ANTENNA__7933__A (.DIODE(net197),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -13318,7 +13755,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_11_wbm_clk_i_A (.DIODE(clknet_3_1_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_11_wbm_clk_i_A (.DIODE(clknet_3_6_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -13333,7 +13770,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_13_u_uart2wb.baud_clk_16x_A  (.DIODE(\clknet_2_2_0_u_uart2wb.baud_clk_16x ),
+ sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_13_u_uart2wb.baud_clk_16x_A  (.DIODE(\clknet_2_3_0_u_uart2wb.baud_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -13363,7 +13800,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_16_u_uart2wb.baud_clk_16x_A  (.DIODE(\clknet_2_3_0_u_uart2wb.baud_clk_16x ),
+ sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_16_u_uart2wb.baud_clk_16x_A  (.DIODE(\clknet_2_2_0_u_uart2wb.baud_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -13373,7 +13810,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_17_u_uart2wb.baud_clk_16x_A  (.DIODE(\clknet_2_3_0_u_uart2wb.baud_clk_16x ),
+ sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_17_u_uart2wb.baud_clk_16x_A  (.DIODE(\clknet_2_2_0_u_uart2wb.baud_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -13393,7 +13830,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_19_u_uart2wb.baud_clk_16x_A  (.DIODE(\clknet_2_2_0_u_uart2wb.baud_clk_16x ),
+ sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_19_u_uart2wb.baud_clk_16x_A  (.DIODE(\clknet_2_3_0_u_uart2wb.baud_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -13443,21 +13880,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_23_u_uart2wb.baud_clk_16x_A  (.DIODE(\clknet_2_2_0_u_uart2wb.baud_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_23_wbm_clk_i_A (.DIODE(clknet_3_5_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_24_u_uart2wb.baud_clk_16x_A  (.DIODE(\clknet_2_2_0_u_uart2wb.baud_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_24_wbm_clk_i_A (.DIODE(clknet_3_5_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -13473,12 +13900,17 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_26_u_uart2wb.baud_clk_16x_A  (.DIODE(\clknet_2_2_0_u_uart2wb.baud_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_26_wbm_clk_i_A (.DIODE(clknet_3_5_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_27_u_uart2wb.baud_clk_16x_A  (.DIODE(\clknet_2_2_0_u_uart2wb.baud_clk_16x ),
+ sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_27_u_uart2wb.baud_clk_16x_A  (.DIODE(\clknet_2_1_0_u_uart2wb.baud_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -13508,12 +13940,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_2_u_uart2wb.baud_clk_16x_A  (.DIODE(\clknet_2_3_0_u_uart2wb.baud_clk_16x ),
+ sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_2_u_uart2wb.baud_clk_16x_A  (.DIODE(\clknet_2_0_0_u_uart2wb.baud_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_2_wbm_clk_i_A (.DIODE(clknet_3_0_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_2_wbm_clk_i_A (.DIODE(clknet_3_1_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -13533,7 +13965,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_31_wbm_clk_i_A (.DIODE(clknet_3_7_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_31_wbm_clk_i_A (.DIODE(clknet_3_5_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -13553,7 +13985,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_33_wbm_clk_i_A (.DIODE(clknet_3_4_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_33_wbm_clk_i_A (.DIODE(clknet_3_5_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -13563,7 +13995,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_34_wbm_clk_i_A (.DIODE(clknet_3_6_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_34_wbm_clk_i_A (.DIODE(clknet_3_4_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -13573,12 +14005,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_35_wbm_clk_i_A (.DIODE(clknet_3_6_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_35_wbm_clk_i_A (.DIODE(clknet_3_4_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_36_u_uart2wb.baud_clk_16x_A  (.DIODE(\clknet_2_0_0_u_uart2wb.baud_clk_16x ),
+ sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_36_u_uart2wb.baud_clk_16x_A  (.DIODE(\clknet_2_1_0_u_uart2wb.baud_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -13588,12 +14020,17 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_37_wbm_clk_i_A (.DIODE(clknet_3_6_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_37_u_uart2wb.baud_clk_16x_A  (.DIODE(\clknet_2_1_0_u_uart2wb.baud_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_38_u_uart2wb.baud_clk_16x_A  (.DIODE(\clknet_2_1_0_u_uart2wb.baud_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_37_wbm_clk_i_A (.DIODE(clknet_3_4_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_38_u_uart2wb.baud_clk_16x_A  (.DIODE(\clknet_2_0_0_u_uart2wb.baud_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -13628,7 +14065,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_40_wbm_clk_i_A (.DIODE(clknet_3_7_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_40_wbm_clk_i_A (.DIODE(clknet_3_6_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -13638,7 +14075,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_41_wbm_clk_i_A (.DIODE(clknet_3_7_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_41_wbm_clk_i_A (.DIODE(clknet_3_6_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -13653,6 +14090,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_43_u_uart2wb.baud_clk_16x_A  (.DIODE(\clknet_2_0_0_u_uart2wb.baud_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_43_wbm_clk_i_A (.DIODE(clknet_3_7_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -13668,17 +14110,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_46_wbm_clk_i_A (.DIODE(clknet_3_6_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_46_wbm_clk_i_A (.DIODE(clknet_3_7_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_47_wbm_clk_i_A (.DIODE(clknet_3_6_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_47_wbm_clk_i_A (.DIODE(clknet_3_7_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_4_u_uart2wb.baud_clk_16x_A  (.DIODE(\clknet_2_3_0_u_uart2wb.baud_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_48_wbm_clk_i_A (.DIODE(clknet_3_7_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_49_wbm_clk_i_A (.DIODE(clknet_3_7_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_4_u_uart2wb.baud_clk_16x_A  (.DIODE(\clknet_2_2_0_u_uart2wb.baud_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -13688,47 +14140,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_50_wbm_clk_i_A (.DIODE(clknet_3_2_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_50_wbm_clk_i_A (.DIODE(clknet_3_7_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_51_wbm_clk_i_A (.DIODE(clknet_3_2_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_51_wbm_clk_i_A (.DIODE(clknet_3_6_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_53_wbm_clk_i_A (.DIODE(clknet_3_3_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_52_wbm_clk_i_A (.DIODE(clknet_3_6_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_54_wbm_clk_i_A (.DIODE(clknet_3_3_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_53_wbm_clk_i_A (.DIODE(clknet_3_2_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_55_wbm_clk_i_A (.DIODE(clknet_3_1_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_55_wbm_clk_i_A (.DIODE(clknet_3_2_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_56_wbm_clk_i_A (.DIODE(clknet_3_3_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_56_wbm_clk_i_A (.DIODE(clknet_3_2_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_57_wbm_clk_i_A (.DIODE(clknet_3_0_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_57_wbm_clk_i_A (.DIODE(clknet_3_2_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_58_wbm_clk_i_A (.DIODE(clknet_3_0_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_58_wbm_clk_i_A (.DIODE(clknet_3_2_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_59_wbm_clk_i_A (.DIODE(clknet_3_3_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_59_wbm_clk_i_A (.DIODE(clknet_3_2_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -13748,22 +14200,22 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_61_wbm_clk_i_A (.DIODE(clknet_3_2_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_61_wbm_clk_i_A (.DIODE(clknet_3_3_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_62_wbm_clk_i_A (.DIODE(clknet_3_2_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_62_wbm_clk_i_A (.DIODE(clknet_3_1_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_63_wbm_clk_i_A (.DIODE(clknet_3_2_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_63_wbm_clk_i_A (.DIODE(clknet_3_1_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_64_wbm_clk_i_A (.DIODE(clknet_3_0_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_64_wbm_clk_i_A (.DIODE(clknet_3_3_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -13773,11 +14225,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_66_wbm_clk_i_A (.DIODE(clknet_3_3_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_67_wbm_clk_i_A (.DIODE(clknet_3_3_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_68_wbm_clk_i_A (.DIODE(clknet_3_3_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_69_wbm_clk_i_A (.DIODE(clknet_3_3_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_6_u_uart2wb.baud_clk_16x_A  (.DIODE(\clknet_2_3_0_u_uart2wb.baud_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_6_wbm_clk_i_A (.DIODE(clknet_3_1_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_70_wbm_clk_i_A (.DIODE(clknet_3_0_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_71_wbm_clk_i_A (.DIODE(clknet_3_0_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_72_wbm_clk_i_A (.DIODE(clknet_3_0_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_leaf_7_u_uart2wb.baud_clk_16x_A  (.DIODE(\clknet_2_3_0_u_uart2wb.baud_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -13808,72 +14300,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_opt_1_0_u_uart2wb.baud_clk_16x_A  (.DIODE(\clknet_2_0_0_u_uart2wb.baud_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_opt_1_0_wbm_clk_i_A (.DIODE(clknet_3_2_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_opt_2_0_u_uart2wb.baud_clk_16x_A  (.DIODE(\clknet_2_2_0_u_uart2wb.baud_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold17_A (.DIODE(wbm_rst_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_opt_2_0_wbm_clk_i_A (.DIODE(clknet_3_2_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold20_A (.DIODE(wbm_stb_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 \ANTENNA_clkbuf_opt_3_0_u_uart2wb.baud_clk_16x_A  (.DIODE(\clknet_2_3_0_u_uart2wb.baud_clk_16x ),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold40_A (.DIODE(net217),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold11_A (.DIODE(\u_arb.rstn ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold16_A (.DIODE(_0915_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold24_A (.DIODE(net199),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold25_A (.DIODE(\u_uart2wb.line_reset_n ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold32_A (.DIODE(net201),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold6_A (.DIODE(wbm_stb_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold7_A (.DIODE(_0919_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold9_A (.DIODE(\u_uart2wb.line_reset_n ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output129_A (.DIODE(net129),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold5_A (.DIODE(net138),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -13893,11 +14340,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output14_A (.DIODE(net14),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA_output15_A (.DIODE(net15),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -13908,6 +14350,16 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_output175_A (.DIODE(net175),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_output176_A (.DIODE(net201),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA_output17_A (.DIODE(net17),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -13933,6 +14385,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_output22_A (.DIODE(net22),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA_output24_A (.DIODE(net24),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -13943,31 +14400,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output40_A (.DIODE(net40),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output41_A (.DIODE(net41),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output42_A (.DIODE(net42),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA_output43_A (.DIODE(net43),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output44_A (.DIODE(net44),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 ANTENNA_output53_A (.DIODE(net53),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -13988,47 +14425,52 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output67_A (.DIODE(net67),
+ sky130_fd_sc_hd__diode_2 ANTENNA_repeater177_A (.DIODE(net178),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_repeater177_A (.DIODE(\u_uart2wb.line_reset_n ),
+ sky130_fd_sc_hd__diode_2 ANTENNA_repeater179_A (.DIODE(net184),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_repeater179_A (.DIODE(\u_arb.rstn ),
+ sky130_fd_sc_hd__diode_2 ANTENNA_repeater180_A (.DIODE(net187),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_repeater180_A (.DIODE(net184),
+ sky130_fd_sc_hd__diode_2 ANTENNA_repeater181_A (.DIODE(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_repeater181_A (.DIODE(net184),
+ sky130_fd_sc_hd__diode_2 ANTENNA_repeater182_A (.DIODE(net187),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_repeater182_A (.DIODE(net184),
+ sky130_fd_sc_hd__diode_2 ANTENNA_repeater183_A (.DIODE(net187),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_repeater183_A (.DIODE(net185),
+ sky130_fd_sc_hd__diode_2 ANTENNA_repeater184_A (.DIODE(net187),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_repeater184_A (.DIODE(net185),
+ sky130_fd_sc_hd__diode_2 ANTENNA_repeater185_A (.DIODE(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_repeater185_A (.DIODE(\u_arb.rstn ),
+ sky130_fd_sc_hd__diode_2 ANTENNA_repeater186_A (.DIODE(net187),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_repeater187_A (.DIODE(net215),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -14048,11 +14490,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 \ANTENNA_u_clkbuf_usb.u_buf_A  (.DIODE(\u_clkbuf_usb.A ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__diode_2 \ANTENNA_u_cpu_clk_sel.u_mux_S  (.DIODE(\u_cpu_clk_sel.S ),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -14211,10 +14648,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_15 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_0_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -14227,6 +14660,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_0_17 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_0_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -14243,15 +14680,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_21 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_0_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -14259,39 +14700,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -14299,19 +14740,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_0_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_0_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_307 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_0_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -14319,31 +14764,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_0_332 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_0_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_0_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_0_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_393 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_0_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -14351,7 +14804,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -14375,23 +14828,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_0_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_0_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_477 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_0_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -14399,47 +14848,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_0_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_0_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_531 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_533 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_537 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -14447,31 +14884,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_597 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_0_603 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_0_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -14479,51 +14924,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_611 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_0_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_0_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_673 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -14531,15 +14968,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_693 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_696 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_0_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -14547,11 +14976,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_710 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_0_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -14571,51 +15004,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_0_9 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_0_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_167 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_175 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -14627,39 +15056,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_255 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_100_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -14671,79 +15104,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_100_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_100_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_100_452 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_100_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -14751,67 +15188,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_100_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_100_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_100_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_100_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_100_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_618 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_100_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -14819,283 +15260,263 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_100_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_100_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_100_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_100_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_101_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_101_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_17 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_328 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_337 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_347 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_355 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_372 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_101_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_5 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_101_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_101_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -15107,23 +15528,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_646 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_101_650 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_101_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -15131,23 +15560,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_702 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_723 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -15155,19 +15580,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_101_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_101_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_102_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_123 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_102_129 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_102_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -15175,71 +15608,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_102_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_102_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_102_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_102_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_102_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_102_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -15247,75 +15684,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_102_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_102_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_102_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_102_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_102_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_102_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_102_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_102_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_389 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_102_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -15327,99 +15768,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_102_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_102_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_102_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_102_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_102_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_102_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_102_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_102_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_102_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_102_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_102_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_102_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_102_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_102_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_602 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_102_606 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_102_613 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_102_62 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_102_637 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_102_643 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_102_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -15427,131 +15892,151 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_102_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_102_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_102_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_102_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_102_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_102_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_102_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_102_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_102_730 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_102_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_102_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_102_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_102_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_102_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_103_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_103_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_103_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_103_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_103_251 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_103_260 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_103_27 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_103_276 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_103_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -15559,39 +16044,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_103_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_103_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -15599,15 +16084,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_103_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_398 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_103_411 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_103_419 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_103_423 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_103_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -15619,107 +16120,135 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_103_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_103_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_103_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_103_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_103_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_103_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_103_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_103_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_103_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_103_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_103_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_103_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_103_669 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_103_67 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_103_689 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_103_701 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_103_704 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_103_712 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_103_720 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_103_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -15727,19 +16256,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_103_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_103_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_103_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_103_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_103_96 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_104_108 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_104_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -15751,59 +16288,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_210 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -15811,11 +16344,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -15823,31 +16356,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_29 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_104_290 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_104_298 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_3 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -15855,11 +16372,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -15867,43 +16384,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_104_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_104_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_104_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_104_404 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -15915,79 +16428,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_104_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_104_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_104_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_104_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_5 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_104_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_104_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_104_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_104_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -15995,39 +16508,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_104_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_104_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_104_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_104_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_104_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_104_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_104_65 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_104_655 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_104_667 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_104_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -16035,7 +16560,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -16043,23 +16568,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_104_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_104_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_104_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_104_89 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_104_99 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_104_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -16067,31 +16584,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_105_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_105_13 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_105_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_143 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_149 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -16099,31 +16608,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_203 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_207 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_210 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_105_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -16131,79 +16628,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_105_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_105_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_105_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_363 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -16211,11 +16704,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_105_393 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -16223,147 +16712,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_105_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_105_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_105_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_5 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_105_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_105_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_105_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_105_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_105_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_105_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_590 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_105_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_105_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_105_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_105_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_105_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_105_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_105_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_105_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_105_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_709 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_717 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_105_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_105_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -16379,31 +16860,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_105_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_105_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_105_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_106_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_105_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_106_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -16411,15 +16892,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_106_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_180 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -16427,7 +16904,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_106_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_106_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -16443,11 +16920,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_218 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_106_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -16455,10 +16928,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_23 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_106_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -16467,95 +16936,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_106_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_106_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_393 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_106_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -16567,19 +17032,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_459 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_106_46 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_106_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -16587,95 +17060,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_106_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_106_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_106_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_106_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_106_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_106_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_106_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_106_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_616 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_106_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_106_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_106_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_106_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_106_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_106_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -16683,11 +17156,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_106_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_106_705 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_106_711 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_106_728 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_106_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -16699,59 +17184,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_106_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_106_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_107_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_107_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_107_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_107_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_107_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_107_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_186 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_107_192 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_107_198 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_107_204 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_107_210 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_107_214 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_107_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -16759,43 +17268,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_107_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_107_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_107_273 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_279 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_281 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_107_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -16803,135 +17300,147 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_107_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_107_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_107_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_107_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_107_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_107_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_107_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_107_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_107_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_107_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_107_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_107_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_107_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_107_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_590 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_598 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_107_60 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_107_606 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_107_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -16939,59 +17448,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_107_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_107_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_107_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_107_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_107_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_107_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_709 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_107_71 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_107_715 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_107_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -16999,23 +17496,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_107_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_107_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_107_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_107_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_107_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_108_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_108_109 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_108_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -17023,19 +17524,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_108_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_108_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_166 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_108_17 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_108_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -17063,15 +17572,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_108_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -17079,79 +17588,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_108_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_108_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_108_362 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_108_368 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_378 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_391 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -17159,63 +17652,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_108_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_108_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_108_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_506 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_5 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_108_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_108_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_108_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_108_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_533 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_108_545 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_108_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -17227,7 +17728,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_108_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_59 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_108_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -17235,55 +17740,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_108_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_108_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_108_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_108_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_108_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_108_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_108_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_108_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_108_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_108_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_108_696 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_707 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_108_719 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_108_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_108_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -17291,103 +17784,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_108_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_108_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_108_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_109_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_17 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_109_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_109_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_109_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_31 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_316 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -17395,115 +17880,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_109_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_109_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_366 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_109_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_109_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_109_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_109_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_109_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_109_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_109_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_109_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_109_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_109_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_109_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_109_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_5 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_109_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_109_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_109_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_109_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_109_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_109_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_109_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_109_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_561 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_109_569 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_109_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -17519,47 +18012,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_109_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_109_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_109_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_109_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_109_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_109_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_109_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_109_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_109_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -17567,11 +18060,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_109_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_109_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_109_89 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_109_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -17579,35 +18076,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_13 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_122 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_13 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_130 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_133 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_139 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_16 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -17615,51 +18092,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_222 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_228 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_23 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -17667,7 +18132,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -17679,19 +18144,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_10_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_290 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -17699,99 +18160,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_10_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_10_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_10_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_10_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_10_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_10_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_10_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_10_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_501 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_10_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_10_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -17799,199 +18268,175 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_10_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_10_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_10_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_706 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_10_730 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_110_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_110_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_110_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_223 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_229 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_23 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_242 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_248 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_255 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -17999,163 +18444,155 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_110_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_110_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_110_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_110_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_110_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_110_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_450 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_110_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_506 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_110_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_110_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_549 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_570 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -18163,67 +18600,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_110_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_110_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_110_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_110_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_674 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_110_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_110_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_110_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_110_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_110_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_110_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_110_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_110_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_110_87 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_110_98 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_111_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -18231,39 +18676,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_111_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_111_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_111_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_183 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_111_196 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_111_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -18275,51 +18728,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_111_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_111_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_111_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_111_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_111_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_111_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_276 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_111_290 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -18327,131 +18772,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_111_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_111_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_111_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_111_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_111_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_111_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_111_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_111_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_111_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_111_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_570 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_580 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_111_591 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -18459,47 +18892,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_111_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_111_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_111_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_111_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_111_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_111_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_111_709 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_111_721 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_111_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_111_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -18507,59 +18932,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_111_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_111_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_112_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_112_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_112_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_112_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_17 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_197 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_112_201 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_112_21 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_112_211 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_112_217 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_112_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -18567,43 +19016,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_257 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_112_266 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_272 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_293 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_299 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -18611,203 +19040,183 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_112_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_112_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_112_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_112_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_112_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_112_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_112_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_112_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_112_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_5 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_112_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_112_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_112_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_112_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_112_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_112_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_112_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_112_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_112_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_112_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_112_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_112_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_112_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_674 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_112_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_680 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_692 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_701 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_112_713 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_112_725 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_112_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_112_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -18815,127 +19224,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_112_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_112_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_113_17 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_113_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_35 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_113_361 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_113_369 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_113_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -18943,155 +19364,151 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_113_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_113_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_5 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_113_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_113_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_113_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_113_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_113_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_113_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_113_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_113_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_113_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_113_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_721 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_113_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_113_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -19099,19 +19516,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_113_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_113_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_113_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -19123,15 +19540,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_114_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_114_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_157 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_114_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -19139,35 +19560,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_114_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_114_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_114_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_114_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_24 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_114_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -19175,271 +19600,291 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_114_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_114_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_114_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_114_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_114_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_114_486 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_114_492 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_114_50 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_114_502 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_114_508 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_114_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_560 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_114_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_114_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_114_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_114_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_114_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_114_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_114_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_114_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_114_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_114_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_114_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_114_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_114_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_115_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_115_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_17 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_115_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_115_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_115_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_115_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -19447,83 +19892,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_115_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_115_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_115_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_115_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_115_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_115_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_115_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -19531,23 +19976,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_115_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_115_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_115_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -19559,27 +20004,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_488 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_491 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_495 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_115_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_5 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -19587,95 +20020,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_115_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_115_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_115_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_115_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_115_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_115_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_115_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_115_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_115_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_115_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_115_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_115_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_115_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_115_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_115_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_115_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_115_68 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_115_693 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_115_699 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_115_705 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_115_717 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_115_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -19683,27 +20136,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_115_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_115_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_115_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_115_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_115_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_116_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_105 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_119 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -19711,51 +20156,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_116_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_116_17 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_218 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -19763,11 +20204,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_24 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -19775,79 +20212,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_116_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_384 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_392 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_405 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_116_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -19855,175 +20280,155 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_116_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_5 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_116_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_116_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_116_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_116_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_116_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_116_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_116_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_116_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_674 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_116_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_116_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_116_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_116_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_116_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_10 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_116_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_116_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_116_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_116_80 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_107 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_111 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_115 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_121 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -20031,10 +20436,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_152 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_8 FILLER_117_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -20043,159 +20444,179 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_18 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_117_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_117_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_28 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_117_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_117_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_117_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_117_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_464 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_117_476 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_117_490 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_117_496 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_117_505 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_117_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -20203,87 +20624,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_117_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_117_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_117_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_117_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_117_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_117_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_117_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_117_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_700 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_117_712 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -20291,47 +20704,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_117_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_117_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_117_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_117_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_117_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_117_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_118_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_118_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_118_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -20339,23 +20752,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_118_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_24 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -20363,115 +20772,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_118_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_118_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_118_455 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_464 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_47 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -20479,59 +20876,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_118_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_118_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_118_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_118_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_118_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_118_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_118_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_118_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -20539,95 +20936,127 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_118_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_118_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_118_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_118_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_118_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_118_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_118_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_118_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_118_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_118_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_118_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_118_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_119_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_13 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_119_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_119_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_119_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_16 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_119_164 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_119_171 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_119_179 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_119_192 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_119_198 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_119_202 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_119_208 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_119_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -20635,111 +21064,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_119_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_119_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_119_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_119_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_119_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_119_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_119_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_434 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_119_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -20747,23 +21180,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_119_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_485 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_5 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -20771,19 +21200,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_119_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_119_549 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_119_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -20791,7 +21224,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_119_561 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_119_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -20799,71 +21236,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_119_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_119_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_119_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_119_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_119_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_119_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_119_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_119_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_119_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_119_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_119_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_119_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_119_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -20871,11 +21308,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_119_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_119_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_119_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_119_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -20883,31 +21320,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_14 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -20923,55 +21360,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_11_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_11_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_11_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_11_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_305 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_11_311 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_11_319 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_11_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -20979,95 +21432,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_11_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_366 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_11_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_11_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_11_505 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_517 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_525 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -21075,87 +21516,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_55 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_8 FILLER_11_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_11_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_11_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_11_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_673 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_679 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_685 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -21163,11 +21588,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_715 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_11_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -21175,19 +21604,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_120_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_92 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_120_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -21195,83 +21628,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_120_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_120_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_120_17 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_120_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_120_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_120_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_120_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_120_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_120_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_120_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_120_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_120_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_120_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_297 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_120_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_120_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -21279,55 +21720,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_120_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_120_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_120_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_120_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_120_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_401 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_120_413 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_120_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -21335,115 +21768,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_120_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_120_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_120_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_120_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_5 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_120_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_120_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_120_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_120_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_120_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_120_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_120_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_120_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_120_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_120_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_609 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_623 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_120_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -21451,191 +21876,175 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_120_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_120_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_120_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_120_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_120_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_120_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_120_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_120_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_120_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_120_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_120_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_120_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_120_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_121_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_121_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_121_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_121_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_17 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_121_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_121_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_121_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_121_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_121_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_346 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_374 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_378 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_381 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_121_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -21643,15 +22052,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_121_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -21663,27 +22072,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_121_488 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_121_49 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_121_5 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -21695,23 +22112,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_121_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_121_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_121_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_55 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_121_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -21719,51 +22140,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_121_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_121_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_121_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_121_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_653 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_121_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -21775,15 +22192,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_121_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_121_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_689 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_121_712 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_121_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -21795,195 +22220,187 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_121_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_121_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_121_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_121_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_121_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_122_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_122_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_122_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_122_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_122_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_122_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_122_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_122_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_122_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_122_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_122_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_122_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_122_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_44 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_451 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -21991,119 +22408,131 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_122_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_122_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_122_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_122_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_122_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_122_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_122_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_674 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_122_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_122_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_122_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_122_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_122_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_122_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_122_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_122_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_122_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_122_721 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_122_727 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_122_76 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_122_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -22115,71 +22544,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_123_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_123_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_123_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_18 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_123_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_240 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_123_246 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_252 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_123_255 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -22187,115 +22600,131 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_123_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_123_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_123_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_123_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_123_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_123_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_123_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_123_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_123_471 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_123_495 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_123_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -22303,75 +22732,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_123_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_123_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_123_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_123_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_123_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_123_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_123_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_123_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_123_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_637 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_123_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -22379,19 +22812,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_656 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_123_664 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_123_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -22399,31 +22824,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_123_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_123_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_123_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_695 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_123_707 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_719 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -22431,31 +22844,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_123_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_123_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_123_9 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_123_93 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_105 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_119 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -22463,83 +22860,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_18 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_124_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_124_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_124_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_124_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_124_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_124_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_124_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_272 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_124_285 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_124_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_124_293 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_124_298 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_124_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -22551,71 +22968,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_32 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_124_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_124_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_124_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_124_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_124_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_124_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_124_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_396 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_124_399 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_124_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -22623,43 +23028,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_124_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_124_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_124_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -22675,71 +23080,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_124_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_124_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_124_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_124_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_124_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_124_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_124_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_124_687 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_124_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -22747,23 +23156,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_124_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_124_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_124_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_124_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_124_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_124_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_124_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_124_74 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_124_82 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_124_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -22775,23 +23192,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_125_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_125_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_13 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_125_149 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -22799,15 +23212,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_125_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_125_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -22815,11 +23228,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_125_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_208 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_125_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -22827,91 +23244,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_125_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_292 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_125_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_125_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_125_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_125_345 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_351 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_354 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_36 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_360 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_366 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -22919,99 +23316,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_125_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_125_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_125_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_125_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_125_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_125_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_125_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_125_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_125_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_550 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_125_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -23023,91 +23424,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_590 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_125_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_125_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_125_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_673 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_677 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_690 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_697 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_125_709 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_125_721 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_125_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_125_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -23115,107 +23492,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_125_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_125_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_125_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_125_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_125_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_125_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_126_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_126_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_126_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_126_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_126_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_126_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_126_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_126_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_126_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_126_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_126_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_126_292 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_126_298 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_126_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -23223,75 +23612,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_126_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_126_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_126_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_126_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_439 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_445 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_449 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -23303,215 +23680,227 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_126_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_126_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_126_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_126_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_616 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_126_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_126_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_126_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_126_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_126_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_126_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_126_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_126_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_126_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_126_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_126_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_126_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_126_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_126_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_127_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_16 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_127_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_127_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_127_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_127_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_127_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_294 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_127_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_127_300 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_127_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -23519,31 +23908,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_127_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_359 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_127_374 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_127_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -23555,147 +23952,147 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_127_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_127_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_127_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_127_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_127_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_127_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_127_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_127_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_127_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_127_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_127_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_127_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_127_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_127_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_127_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -23703,19 +24100,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_127_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_127_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_127_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_128_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_128_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_128_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_128_117 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_128_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -23723,67 +24132,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_128_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_128_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_128_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_128_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_128_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -23791,27 +24200,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_280 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_284 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_287 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_128_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_293 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_128_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_128_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -23819,31 +24212,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_128_301 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_128_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_128_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_128_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_333 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_128_339 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_128_345 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_128_351 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_128_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -23851,11 +24264,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_365 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_128_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -23863,79 +24272,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_128_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_128_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_128_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_128_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_128_49 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_490 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_128_510 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -23943,151 +24340,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_128_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_128_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_128_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_128_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_616 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_128_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_128_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_128_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_128_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_128_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_128_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_128_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_128_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_128_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_128_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_128_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_128_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_128_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_128_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_128_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_128_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_129_131 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_137 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_145 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -24095,63 +24480,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_129_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_129_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_129_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_129_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -24163,7 +24548,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_283 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_129_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -24171,63 +24560,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_129_393 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -24247,15 +24632,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_129_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_471 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_129_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -24263,47 +24644,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_129_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_129_530 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_538 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_55 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_550 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_129_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_129_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -24311,19 +24676,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_129_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_129_585 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_129_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_129_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -24331,71 +24692,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_129_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_129_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_129_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_129_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_129_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_685 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_697 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_129_709 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_129_721 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_129_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -24403,43 +24748,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_129_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_129_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_129_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_129_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_129_96 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_116 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_122 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_129 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_13 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -24447,19 +24772,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_14 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_182 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_12_186 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_12_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -24467,199 +24800,187 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_20 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_12_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_12_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_12_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_12_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_12_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_12_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_12_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_12_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_589 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_600 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_61 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -24667,27 +24988,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_680 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -24699,15 +25016,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_703 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_715 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_12_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -24715,59 +25024,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_130_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_130_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_130_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_130_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_130_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_130_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -24775,19 +25084,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_130_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_130_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_130_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_130_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_235 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_130_24 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_130_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -24795,35 +25112,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_130_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_130_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_284 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_288 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_29 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_292 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -24839,171 +25140,179 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_130_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_130_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_130_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_130_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_130_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_130_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_130_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_130_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_130_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_130_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_130_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_130_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_130_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_130_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_130_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_130_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_130_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_130_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_130_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_130_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_130_703 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_130_715 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_130_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -25011,11 +25320,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_130_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_130_95 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_131_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -25023,11 +25336,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_126 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_131_138 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_131_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -25035,7 +25356,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -25043,31 +25364,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_187 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_193 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_203 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_211 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -25075,27 +25380,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_131_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_131_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_267 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_131_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -25107,99 +25416,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_131_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_131_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_131_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_131_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_475 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_131_487 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_131_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -25207,19 +25524,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_505 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_131_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -25227,27 +25540,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_131_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_574 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_131_586 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_131_590 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_131_593 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_131_605 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_131_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -25259,51 +25592,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_131_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_131_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_131_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_131_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_697 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_131_709 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_131_721 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_131_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -25311,23 +25632,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_131_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_131_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_131_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_132_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_132_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -25335,19 +25664,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_132_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_132_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_171 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_132_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_132_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -25355,19 +25692,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_217 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_132_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -25375,39 +25708,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_260 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_266 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_272 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_278 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -25415,19 +25732,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_307 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_309 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -25443,7 +25752,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_336 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_132_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -25455,51 +25768,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_132_37 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_132_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_132_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_447 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_132_453 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_132_459 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_132_46 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_132_465 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_132_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -25507,35 +25844,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_132_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_528 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_132_542 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_132_557 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_132_565 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_132_575 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_132_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -25543,47 +25900,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_132_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_132_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_132_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_132_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_132_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_132_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_132_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_132_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_132_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_132_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_132_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -25591,10 +25948,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_70 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_132_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -25607,15 +25960,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_132_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_132_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_132_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_132_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_132_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_132_97 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_133_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -25623,55 +25984,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_133_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_133_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_133_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_178 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_190 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_133_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -25679,51 +26032,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_133_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_25 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_133_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -25731,19 +26084,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_133_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_295 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_133_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -25751,51 +26108,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_133_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_133_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_133_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_133_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_133_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_377 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_133_385 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_133_388 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_133_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -25803,163 +26172,155 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_133_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_133_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_133_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_133_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_133_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_133_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_133_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_133_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_133_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_133_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_133_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_133_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_709 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_133_721 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_133_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -25971,31 +26332,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_133_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_133_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_133_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_133_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_136 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_134_141 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_134_15 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_134_161 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_134_167 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_134_170 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_134_183 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_134_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -26003,27 +26392,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_134_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_235 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_134_24 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_134_241 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_134_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -26031,23 +26432,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_134_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_134_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_134_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -26055,15 +26460,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_290 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_294 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -26071,15 +26468,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_311 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_134_335 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_134_339 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_134_345 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_134_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -26091,31 +26504,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_134_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_392 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_399 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -26123,15 +26528,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_426 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_134_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -26139,47 +26548,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_134_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_134_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_134_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_527 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_134_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -26187,15 +26600,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -26203,19 +26616,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_134_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_616 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_134_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -26227,27 +26644,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_134_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_134_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_134_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_134_693 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_134_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -26263,79 +26676,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_134_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_134_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_134_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_134_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_134_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_134_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_134_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_10 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_14 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_135_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_135_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_171 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_195 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_201 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -26343,47 +26744,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_135_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_135_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_306 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -26391,39 +26788,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_135_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -26431,31 +26832,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_135_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_438 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -26463,15 +26860,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_135_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_464 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_135_470 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_135_476 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_135_482 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_135_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -26479,115 +26892,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_135_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_135_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_135_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_135_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_135_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_588 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_135_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_135_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_135_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_135_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_135_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_135_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_135_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_135_721 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_135_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_135_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -26595,75 +27004,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_135_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_135_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_135_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_135_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_136_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_136_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_136_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_136_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_136_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_233 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_237 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -26671,11 +27072,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_136_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_136_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -26683,23 +27084,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_136_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_136_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_291 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_136_295 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_136_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -26707,35 +27116,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_350 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_136_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -26743,75 +27148,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_136_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_136_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_136_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_136_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_136_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_485 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -26819,15 +27220,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_506 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -26835,31 +27236,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_570 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_136_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -26871,31 +27276,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_136_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_136_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_623 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_136_635 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -26911,7 +27308,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -26939,19 +27336,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_136_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_136_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_136_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_136_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_85 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_136_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_137_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -26959,15 +27352,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_137_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_137_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_137_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_133 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_137_136 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_137_148 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_137_15 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_137_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -26975,47 +27384,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_137_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_18 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_137_239 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_137_243 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_137_247 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_137_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_137_259 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_137_269 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_137_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -27031,6 +27468,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_137_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_137_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -27043,63 +27484,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_137_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_137_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_137_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_385 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_391 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -27111,67 +27544,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_137_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_137_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_137_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_137_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_137_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_549 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_137_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -27179,39 +27608,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_137_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_588 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_137_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_137_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_137_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_137_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_137_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_615 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_137_617 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_137_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_137_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -27227,6 +27648,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_137_66 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_2 FILLER_137_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -27259,35 +27684,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_137_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_137_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_137_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_137_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_137_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_138_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_138_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_138_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_138_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -27295,35 +27724,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_138_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_138_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_138_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_138_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_138_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_138_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_138_212 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_138_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -27331,7 +27768,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -27339,11 +27776,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_138_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -27351,23 +27788,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_138_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_292 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -27411,10 +27844,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_138_37 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_138_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -27431,15 +27860,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -27447,63 +27876,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_138_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_138_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_138_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_138_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_138_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_138_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_138_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_138_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_138_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_510 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_138_517 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -27511,47 +27932,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_138_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_138_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_138_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_138_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_138_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_589 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_138_59 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_138_606 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_138_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_138_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -27559,10 +27968,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_138_63 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_138_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -27583,6 +27988,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_138_68 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_138_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -27611,15 +28020,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_138_85 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_138_90 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -27631,55 +28032,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_139_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_139_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_139_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_139_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_139_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_15 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_152 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_158 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_164 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_139_169 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_139_173 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_181 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_139_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -27687,11 +28060,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_139_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_139_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_139_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -27703,7 +28080,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_139_247 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_139_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_139_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -27711,15 +28096,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_139_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_139_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_270 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -27727,11 +28108,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_285 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -27739,11 +28116,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_139_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_139_314 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_139_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -27755,59 +28136,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_139_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_139_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_139_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_139_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_139_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_40 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_405 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_413 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -27819,75 +28188,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_139_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_139_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_139_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_139_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_139_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_139_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -27895,35 +28264,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_139_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_139_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_139_585 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_591 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_139_595 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_139_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_139_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -27963,10 +28320,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_139_69 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_139_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -27987,19 +28340,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_139_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_139_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_139_99 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_13_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -28007,51 +28364,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_12 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_18 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_13_193 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_13_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_13_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -28059,19 +28428,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_13_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_13_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_13_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -28079,43 +28452,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_13_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_13_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_13_379 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_13_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -28123,19 +28508,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_417 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_13_425 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_13_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -28151,23 +28544,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_13_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -28179,43 +28572,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_13_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_589 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_602 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -28223,39 +28608,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_689 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_13_700 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_13_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -28267,27 +28660,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_140_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_140_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_140_141 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_140_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -28295,47 +28692,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_140_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_181 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_191 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_195 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_197 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_221 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_140_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -28343,39 +28720,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_241 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_140_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_140_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_140_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_140_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_140_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_140_29 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_140_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -28387,23 +28756,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_140_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -28411,135 +28780,151 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_140_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_140_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_140_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_140_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_140_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_140_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_140_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_140_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_140_506 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_140_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_140_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_140_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_140_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_140_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_605 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_140_612 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_140_632 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_140_639 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_140_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -28555,10 +28940,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_140_67 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_140_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -28583,67 +28964,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_140_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_140_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_140_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_140_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_140_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_140_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_141_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_141_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_141_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_141_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_141_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_141_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_141_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_182 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_141_185 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_141_191 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_141_198 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_141_202 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_141_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_141_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -28655,27 +29060,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_141_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_141_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_141_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_281 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_141_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -28683,79 +29084,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_141_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_141_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_141_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_141_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_141_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_141_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_141_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_141_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -28763,39 +29164,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_141_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_461 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_465 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_141_48 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_485 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_492 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_141_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -28803,35 +29184,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_141_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_141_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_141_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_141_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_141_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_141_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_141_558 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_141_563 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_141_575 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_141_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_141_592 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_141_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -28839,23 +29240,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_141_60 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_141_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_141_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_141_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_141_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_141_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_141_652 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_141_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -28887,39 +29296,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_141_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_141_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_141_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_141_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_141_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_141_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_142_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_142_141 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_142_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -28927,19 +29344,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_142_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_176 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_142_182 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_142_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -28947,47 +29372,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_142_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_256 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -28995,23 +29416,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_142_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_142_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_142_292 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29027,75 +29444,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_142_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_142_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_142_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_142_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_142_41 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_142_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29103,31 +29516,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_142_453 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_463 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29135,23 +29540,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_142_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_142_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_507 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_142_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29159,55 +29568,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_142_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_142_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_142_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_142_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_142_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_142_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_142_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_617 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_142_629 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_142_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_142_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29215,6 +29616,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_142_65 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_142_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -29247,43 +29652,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_142_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_142_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_142_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_143_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_124 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_130 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_136 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29295,10 +29688,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_156 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_143_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -29307,31 +29696,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_206 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29339,19 +29724,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_143_255 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_143_262 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_143_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29367,7 +29764,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29375,11 +29772,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29391,27 +29788,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_143_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_363 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29431,51 +29824,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_143_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_143_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_143_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_143_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_143_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_488 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_143_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29483,31 +29880,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_508 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_143_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_528 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_534 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_541 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_143_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29515,7 +29896,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_143_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29527,31 +29908,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_143_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_143_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_143_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_143_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_143_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_604 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_143_607 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_143_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29567,10 +29940,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_143_65 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_143_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -29591,6 +29960,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_143_69 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_143_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -29611,27 +29984,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_143_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_143_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_143_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_143_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_143_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_120 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_144_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29639,11 +30016,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_144_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_144_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29651,75 +30028,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_144_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_144_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_144_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_232 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_240 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_248 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_253 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_261 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29727,15 +30084,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_144_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_290 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_144_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29747,19 +30108,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29779,27 +30140,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_38 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_382 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_144_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_144_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_144_41 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_144_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29807,31 +30164,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_144_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_437 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_44 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_445 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29839,27 +30184,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_499 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_144_509 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_144_516 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_144_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29867,63 +30224,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_144_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_144_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_144_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_60 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_616 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_628 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_144_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_144_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29935,6 +30280,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_144_66 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_144_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -29963,31 +30312,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_144_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_144_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_144_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_144_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_144_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_145_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_144_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_145_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_145_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_134 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_145_137 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_145_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29995,23 +30352,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_145_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_145_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_174 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_145_181 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_145_188 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_145_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -30055,7 +30424,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -30067,15 +30436,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_281 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_285 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_145_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_145_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -30083,15 +30444,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_318 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_145_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -30099,27 +30456,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_145_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_145_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_387 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_145_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -30131,23 +30492,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_145_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_145_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_145_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_422 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_427 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -30155,15 +30512,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_145_47 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_145_474 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_145_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -30175,27 +30540,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_145_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_145_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_145_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_145_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -30203,39 +30572,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_145_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_145_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_145_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_145_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_145_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_145_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_145_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_657 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_145_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_145_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -30255,10 +30620,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_145_71 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_145_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -30271,27 +30632,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_145_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_145_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_145_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_145_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_146_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_145_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_146_118 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_126 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -30299,11 +30652,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_146_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -30311,15 +30664,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_146_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_168 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_146_175 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_146_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -30359,11 +30720,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_146_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_260 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_146_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -30371,11 +30736,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -30383,35 +30748,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_293 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_146_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_146_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_146_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_146_339 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -30455,63 +30812,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_146_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_489 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_502 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_506 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_516 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_146_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -30519,55 +30860,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_146_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_146_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_146_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_146_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_146_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_146_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_146_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_146_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_146_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_611 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_623 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_146_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_146_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -30579,7 +30912,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_146_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_146_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -30591,6 +30924,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_146_68 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_12 FILLER_146_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -30615,7 +30952,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_146_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_146_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -30623,6 +30960,18 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_146_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_146_89 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_146_92 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_147_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -30639,11 +30988,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_147_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -30651,11 +31000,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_141 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -30663,7 +31008,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -30675,11 +31020,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_147_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_147_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_173 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_147_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -30835,15 +31184,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_147_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_147_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -30867,19 +31216,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_147_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_489 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_147_497 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_147_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -30887,7 +31228,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -30903,31 +31244,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_147_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_147_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_559 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_147_563 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -30935,35 +31268,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_147_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_147_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_147_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_147_586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_147_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_147_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_147_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_147_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_147_625 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_147_628 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_147_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -30987,7 +31328,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_147_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_147_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31011,11 +31352,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_147_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_147_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_147_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_147_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_147_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31023,31 +31372,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_159 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_170 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31059,19 +31416,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_238 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_14_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31079,7 +31440,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_258 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31087,123 +31452,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_14_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_14_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_450 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_462 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_14_474 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_477 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_483 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_487 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_499 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31211,39 +31548,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_14_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_595 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_606 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_14_616 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_14_624 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_14_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31251,63 +31604,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_14_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_14_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_15_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_107 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_15_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31315,135 +31672,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_15_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_15_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_361 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_373 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_385 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31451,151 +31796,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_15_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_15_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_15_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_15_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_15_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_15_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_15_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_15_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_15_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_624 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_648 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_660 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_668 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_673 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_697 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_7 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31607,19 +31924,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_134 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_16_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31631,35 +31952,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_16_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_235 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31667,11 +31984,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_16_261 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31679,15 +31992,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_16_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31695,51 +32012,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_16_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_16_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_16_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_16_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31751,123 +32068,119 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_16_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_16_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_16_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_679 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31875,51 +32188,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_16_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31927,19 +32240,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_196 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_17_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31947,43 +32264,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_17_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_276 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31991,11 +32304,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_305 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32011,95 +32320,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_17_366 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_17_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_17_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_17_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_17_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_17_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_508 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_514 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_535 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_541 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_545 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32107,87 +32396,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_17_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_17_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_691 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_695 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_719 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32195,51 +32472,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_18_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_176 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_18_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32247,147 +32528,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_18_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_18_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_18_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_18_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_18_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_18_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_18_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_389 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_410 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_416 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_421 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_429 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_44 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_446 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_458 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32399,19 +32648,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_18_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_18_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32419,79 +32672,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_18_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_18_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_18_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_18_701 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_705 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32499,31 +32744,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_19_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_113 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_19_137 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_19_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32531,87 +32784,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_19_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_19_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_27 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_275 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_279 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_281 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_285 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_288 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32619,55 +32848,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_19_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_19_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_19_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_19_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_413 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_19_418 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_19_429 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_19_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32675,31 +32916,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_19_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_505 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_19_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32707,15 +32952,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_542 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32723,71 +32964,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_19_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_19_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_19_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_705 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32799,43 +33036,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_124 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_130 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_139 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32843,39 +33068,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_201 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32883,67 +33104,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_1_289 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_293 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_297 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_301 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_31 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_314 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_1_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32955,11 +33152,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_1_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_341 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_1_344 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_1_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32967,99 +33172,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_1_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_584 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_1_588 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_1_599 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_1_605 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_1_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33067,39 +33288,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_617 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_1_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_1_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_1_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33111,6 +33328,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_1_700 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_1_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -33119,31 +33340,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_20_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_130 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_20_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33151,59 +33376,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_20_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_20_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_240 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_248 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_260 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33211,19 +33424,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_20_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33231,79 +33444,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_20_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_20_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_20_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_20_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_20_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_458 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_20_462 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_20_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33311,51 +33532,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_20_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_20_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_20_581 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_20_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33363,19 +33588,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_20_605 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_611 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33383,7 +33600,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_628 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_20_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33395,47 +33616,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_20_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_20_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_20_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_699 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_710 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_724 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_20_732 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_20_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_20_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33443,143 +33648,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_20_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_20_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_21_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_21_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_21_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_21_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_366 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_21_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_379 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33587,27 +33788,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_21_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_42 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_432 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33619,19 +33812,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_480 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_21_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33639,11 +33836,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_21_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33651,99 +33848,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_21_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_21_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_21_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_21_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_21_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_21_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_21_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_644 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_21_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_21_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_21_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_21_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_21_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_687 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_700 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33751,71 +33940,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_21_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_21_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_21_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_10 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_22_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_22_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_16 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_22_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_22_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33823,55 +34012,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_22_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_22_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_22_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_22_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_332 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_340 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33879,43 +34060,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_22_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_22_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_454 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_22_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33923,15 +34108,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_506 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_22_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_22_497 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_22_50 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_22_501 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_22_513 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_22_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33939,71 +34144,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_22_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_22_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_22_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_22_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_22_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_22_673 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_22_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34015,19 +34224,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_22_706 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_22_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_22_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_22_723 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_22_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34039,115 +34244,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_22_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_22_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_22_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_22_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_23_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_23_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_23_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_23_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_23_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_23_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_23_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_311 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34155,19 +34356,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_337 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_349 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_23_357 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34175,47 +34364,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_23_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_23_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_23_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_43 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_23_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34223,31 +34416,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_23_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_505 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_23_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_23_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34255,63 +34444,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_23_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_23_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_23_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_23_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_23_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_23_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_653 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_23_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34319,19 +34512,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_23_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_23_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_711 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_23_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34347,39 +34544,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_23_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_23_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_23_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_157 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34391,15 +34584,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_24_21 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_24_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_228 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_24_239 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_24_24 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_24_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34407,31 +34616,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_24_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_29 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_290 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34439,19 +34640,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_333 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_24_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34463,95 +34668,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_24_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_24_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_24_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_560 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34559,47 +34764,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_24_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_24_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34607,27 +34812,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_24_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_24_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_24_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_70 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_24_703 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34639,15 +34836,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_24_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_24_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_24_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_24_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34655,19 +34852,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34675,55 +34872,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_25_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_25_269 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_25_27 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_25_272 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_25_283 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_25_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34731,91 +34948,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_25_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_25_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_25_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_25_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_25_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_492 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_25_500 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_25_507 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_25_523 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_25_535 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_25_539 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_25_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34823,27 +35064,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_25_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_25_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_583 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_25_590 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_25_601 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_25_605 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_25_611 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_25_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34851,67 +35112,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_25_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_25_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_25_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_25_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_25_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_25_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_717 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_25_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34919,39 +35176,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_25_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_25_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_26_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_26_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_26_177 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_26_183 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_26_189 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_26_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34959,27 +35232,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_26_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_26_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_26_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_251 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_26_270 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_26_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34987,15 +35268,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_29 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35007,23 +35284,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_316 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_26_324 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_26_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_336 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35031,119 +35300,131 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_26_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_26_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_26_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_26_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_26_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_5 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_26_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_26_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_26_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_26_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_601 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_26_613 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_26_625 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_26_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35151,63 +35432,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_26_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_674 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_26_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_26_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_26_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_26_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_26_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_26_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_26_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_26_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_26_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_26_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_26_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_26_91 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_26_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35219,27 +35504,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_13 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_144 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_27_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35247,35 +35536,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_27_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_27_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35283,171 +35576,163 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_27_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_27_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_27_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_27_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_27_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_27_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_27_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_27_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_27_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_610 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_621 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35455,51 +35740,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_27_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_27_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_27_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_27_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_690 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_27_697 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_703 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_72 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_27_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35507,163 +35776,147 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_27_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_27_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_27_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_27_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_28_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_17 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_28_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_28_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_28_25 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_28_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_28_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_28_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_383 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_394 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_408 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_41 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35671,267 +35924,255 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_28_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_28_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_28_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_506 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_5 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_28_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_28_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_28_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_28_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_28_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_28_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_28_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_694 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_701 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_28_705 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_28_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_28_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_28_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_28_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_28_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_28_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_28_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_29_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_29_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_29_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_29_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_29_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35943,195 +36184,167 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_29_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_29_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_29_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_29_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_29_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_29_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_29_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_588 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_29_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_29_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_29_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_29_641 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_29_663 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_671 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_679 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_68 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_694 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_29_698 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_29_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_29_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36143,31 +36356,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_29_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_29_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_29_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36175,7 +36388,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_151 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_2_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36183,31 +36400,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36215,15 +36432,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_241 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36231,11 +36444,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_260 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_2_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36243,27 +36452,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_2_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_321 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_2_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36271,91 +36484,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_2_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_2_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_2_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_2_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_498 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_505 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_513 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36363,83 +36564,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_560 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_2_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_2_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_655 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_671 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_683 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36451,15 +36640,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_2_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_2_730 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_2_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36467,103 +36656,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_2_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_2_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_2_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_2_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_30_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_30_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_30_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_30_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_30_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_30_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_30_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_30_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_30_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_27 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_274 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_286 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_29 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36575,143 +36748,151 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_30_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_30_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_30_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_30_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_30_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_30_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_30_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_30_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_30_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_30_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_30_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_30_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_30_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_30_616 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_59 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_30_596 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_30_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36719,47 +36900,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_30_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_30_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_30_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_30_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_30_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_30_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_703 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_30_707 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_30_710 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_30_714 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_30_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36767,27 +36964,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_30_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_30_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_30_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_30_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_30_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_30_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_30_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_100 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_31_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36795,23 +36988,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_31_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_15 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36819,43 +37008,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_31_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_20 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_31_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_31_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_31_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_241 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_31_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_31_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_31_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36863,63 +37064,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_31_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_28 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_31_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_31_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_385 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_31_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_31_416 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_31_422 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_31_43 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_31_430 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_31_435 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_31_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36927,91 +37156,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_31_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_31_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_31_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_31_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_31_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_31_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_31_561 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_567 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_31_57 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_590 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_597 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_31_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37019,55 +37228,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_31_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_31_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_31_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_31_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_702 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_31_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_31_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_31_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_31_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37075,43 +37284,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_8 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_31_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_31_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_31_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_32_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_13 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_143 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_32_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37119,31 +37332,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_32_19 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_32_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_32_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_32_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37151,39 +37372,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_336 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_32_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_342 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_32_346 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_32_350 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_32_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37191,59 +37424,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_448 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37251,11 +37484,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_32_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_502 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_32_508 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_32_51 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_32_518 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_32_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37263,83 +37512,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_6 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_32_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_32_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_32_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_32_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_32_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_32_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_32_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_32_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_32_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37347,39 +37596,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_32_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_32_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_32_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_32_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_32_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_32_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_33_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_33_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_13 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_33_147 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_33_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37387,19 +37644,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_33_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37407,27 +37664,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_33_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_33_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_33_241 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_246 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_259 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_33_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37435,59 +37680,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_33_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_33_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_371 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_377 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_33_389 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_33_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37495,19 +37728,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_397 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_419 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_425 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37515,55 +37736,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_33_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_33_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_33_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_33_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_33_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_537 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_33_546 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_33_555 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_33_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37571,27 +37804,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_33_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_33_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37599,55 +37832,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_33_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_33_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_33_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_33_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_33_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_33_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_33_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_33_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_33_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_33_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_33_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_33_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_33_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_33_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37659,151 +37892,127 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_33_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_33_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_33_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_34_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_14 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_34_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_34_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_34_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_34_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_34_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_309 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_313 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_318 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_345 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_357 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_360 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37811,31 +38020,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_398 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_34_406 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_34_41 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37843,67 +38040,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_34_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_34_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_34_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_34_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_34_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_34_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37911,71 +38108,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_34_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_34_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_34_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_34_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_34_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_616 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_625 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_637 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_64 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_643 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37983,11 +38164,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_34_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_34_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_674 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_34_686 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_34_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37995,23 +38184,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_34_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_722 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_34_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_34_729 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_34_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_34_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38019,7 +38204,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_35_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_34_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38031,63 +38216,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_35_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_35_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_35_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_35_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_35_218 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_35_225 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_35_237 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_35_245 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_35_250 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_35_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38095,10 +38300,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_27 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_35_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -38107,7 +38308,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_285 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_35_293 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_35_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38115,27 +38324,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_320 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38143,35 +38348,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_35_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_366 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_37 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_35_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_35_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38179,39 +38392,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_420 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_35_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_35_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_447 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_35_469 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_35_477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_35_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_35_501 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_35_505 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_35_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38219,19 +38456,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_35_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_35_561 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_35_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38239,51 +38484,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_35_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_35_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_35_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_35_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_35_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_35_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_35_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_35_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38291,19 +38536,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_35_700 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_35_710 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_35_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38315,59 +38564,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_35_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_35_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_35_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_36_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_36_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_36_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38375,23 +38624,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_36_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_24 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_36_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38399,119 +38652,131 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_36_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_36_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_36_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_36_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_472 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_36_500 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_36_506 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_36_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38519,19 +38784,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38539,27 +38804,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_36_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_36_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38567,23 +38832,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_36_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_36_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_36_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_36_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_36_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38595,15 +38860,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_36_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_36_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_36_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_36_718 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_36_726 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_36_732 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_36_75 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_36_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38611,71 +38892,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_36_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_37_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_37_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_37_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38683,63 +38964,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_37_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_309 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_321 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38747,23 +39020,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_37_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_371 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38771,47 +39040,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_473 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_37_480 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_37_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38819,123 +39096,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_37_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_37_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_37_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_37_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_37_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_37_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_37_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_37_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_37_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_673 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_68 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_685 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_699 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_710 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_37_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -38947,195 +39204,143 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_37_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_37_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_37_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_17 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_38_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_38_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_38_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_38_25 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_38_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_38_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_38_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_38_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_38_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_363 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_365 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_369 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_376 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_392 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_396 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_40 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_404 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_415 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_419 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_423 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_43 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_445 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39143,223 +39348,275 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_5 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_38_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_38_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_38_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_38_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_38_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_38_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_38_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_38_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_616 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_674 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_38_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_38_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_38_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_38_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_38_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_38_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_38_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_38_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_38_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_38_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_39_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_38_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_38_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_38_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_38_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_38_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_17 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_39_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_225 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_39_236 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_39_248 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_39_25 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_39_256 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_39_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_39_268 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_39_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_39_287 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_39_303 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_39_309 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_39_314 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_39_326 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_39_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39367,23 +39624,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_39_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_366 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_39_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39395,15 +39656,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39411,11 +39672,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_39_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_462 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_39_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39423,31 +39688,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_5 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_39_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_512 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_39_524 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_39_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_39_537 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_39_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39455,83 +39736,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_39_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_39_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_39_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_39_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_39_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_39_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_39_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_39_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_39_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_39_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_39_686 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_39_710 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_39_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39539,51 +39828,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_39_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_39_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_39_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_159 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_3_163 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_3_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39591,31 +39888,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_202 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_212 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39623,51 +39916,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_292 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_3_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39675,31 +39972,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_366 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_388 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_393 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39707,59 +39996,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_3_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_3_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_3_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_3_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_509 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_3_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39767,11 +40060,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_535 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_3_546 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_3_55 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_3_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39779,47 +40084,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_590 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_3_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_3_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39831,15 +40136,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_3_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_3_673 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_3_678 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_3_684 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_3_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39847,15 +40164,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_3_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_717 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_3_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39863,103 +40176,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_3_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_3_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_3_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_3_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_40_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_40_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_40_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_235 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_239 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_247 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_251 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_253 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39967,27 +40260,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_294 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_3 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_40_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -39995,303 +40280,343 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_40_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_40_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_485 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_40_491 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_40_503 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_40_515 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_40_519 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_40_526 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_40_54 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_40_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_40_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_40_552 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_40_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_40_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_40_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_40_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_40_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_40_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_40_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_40_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_728 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_40_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_40_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_40_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_40_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_40_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_40_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_40_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_40_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_41_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_40_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_41_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_40_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_41_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_13 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_41_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_41_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40299,47 +40624,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_41_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_41_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_315 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_318 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_325 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40347,19 +40660,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40367,7 +40680,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_393 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_41_417 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_41_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40375,15 +40696,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_439 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40391,55 +40708,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_41_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_41_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_534 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_544 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40447,11 +40756,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_551 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40459,71 +40764,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_41_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_41_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_41_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_41_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_41_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_41_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_41_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_693 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_41_703 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_41_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40531,35 +40844,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_41_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_41_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_41_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_41_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_41_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_42_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_42_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_42_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_42_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40567,15 +40880,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_170 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40583,35 +40896,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_42_235 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_240 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_256 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40619,19 +40920,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_42_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_29 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40639,67 +40936,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_42_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_42_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_42_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_460 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_42_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40707,71 +41008,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_42_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_42_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_42_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_593 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_600 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40779,43 +41072,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_42_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_42_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_42_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_42_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_42_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_42_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_708 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40823,7 +41112,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_42_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_42_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40831,39 +41120,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_42_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_42_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_42_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_43_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_43_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_43_143 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_15 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40871,47 +41152,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_17 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40919,27 +41200,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_43_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40947,31 +41228,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_43_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_43_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_382 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_43_388 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_43_395 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_43_401 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_43_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -40979,31 +41276,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_43_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_43_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_493 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_43_5 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41011,91 +41312,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_43_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_43_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_43_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_43_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_629 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_633 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_641 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_646 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_658 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_661 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_43_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41103,23 +41380,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_43_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_43_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_43_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_43_71 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_43_717 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_43_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41127,71 +41412,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_43_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_43_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_43_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_43_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_43_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_43_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_43_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_44_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_44_179 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_44_190 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_44_197 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_44_209 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_44_214 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_44_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41199,15 +41504,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_251 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_44_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_44_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_44_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41215,135 +41532,127 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_44_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_44_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_500 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_506 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41351,87 +41660,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_44_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_44_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_44_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_44_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_44_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_44_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_44_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_44_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_44_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_44_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_44_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_44_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_44_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_44_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41439,59 +41748,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_44_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_44_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_45_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_45_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_45_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41499,47 +41808,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_45_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_45_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41547,135 +41864,151 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_45_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_45_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_45_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_45_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_45_585 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_45_593 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_604 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41683,47 +42016,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_45_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_45_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_45_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_45_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_45_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_702 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_45_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_45_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_45_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_45_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_711 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_45_719 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_45_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41731,47 +42072,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_45_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_45_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_45_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_45_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_45_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_46_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_46_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_46_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_175 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_46_19 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_46_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41779,27 +42128,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41807,35 +42156,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_27 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_273 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_279 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_291 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41843,95 +42176,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_46_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_46_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_46_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_472 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_46_487 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_46_495 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_46_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41939,35 +42284,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_46_533 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_541 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_547 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -41975,151 +42308,135 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_46_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_666 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_46_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_46_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_46_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_46_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_46_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_46_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_46_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_46_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_46_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_46_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_46_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_47_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_17 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_47_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_191 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_199 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_220 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_234 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_47_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42127,11 +42444,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_47_25 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_47_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_260 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_47_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42139,107 +42464,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_47_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_47_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_47_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_431 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_444 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_458 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_482 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_5 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42247,7 +42556,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_509 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_47_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42255,31 +42568,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_47_57 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_47_576 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_47_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42287,39 +42608,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_47_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_47_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_47_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_47_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_47_67 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_47_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42327,19 +42644,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_47_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_706 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_47_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_710 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_47_718 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_47_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42347,51 +42672,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_47_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_47_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_47_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_47_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_177 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_189 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42403,39 +42720,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_48_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_286 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_251 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_48_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_48_265 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_48_269 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_48_272 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_48_285 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_48_297 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_48_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42447,43 +42792,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_48_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_328 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_331 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_337 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_48_345 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_349 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42491,27 +42816,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_48_365 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_48_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_402 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_48_410 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42519,35 +42832,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_451 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_457 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_460 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42555,155 +42856,167 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_48_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_48_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_48_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_594 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_48_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_48_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_48_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_48_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_48_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_48_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_48_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_48_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_48_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_10 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_48_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_48_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_48_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_48_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_117 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_49_127 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_49_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_49_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42711,103 +43024,127 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_17 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_49_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_49_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_49_25 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_49_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_49_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_49_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_49_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_49_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_49_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_49_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_49_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_366 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_49_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_388 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_49_395 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_49_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_49_418 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_49_42 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_49_429 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_49_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42815,135 +43152,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_49_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_49_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_49_5 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_49_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_49_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_536 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_49_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_49_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_590 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_49_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_49_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_49_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_49_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_49_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_49_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_700 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_49_706 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_707 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_49_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42955,23 +43296,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_49_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_49_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_49_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_49_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_49_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_4_118 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_4_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -42979,87 +43328,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_14 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_4_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_274 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_4_282 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_4_303 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_4_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43071,15 +43432,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_319 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_4_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_321 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_4_327 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_4_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43091,119 +43456,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_4_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_537 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_541 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_552 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_558 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_572 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43215,51 +43560,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_4_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_4_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_4_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_4_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_674 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_4_678 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_4_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43275,11 +43624,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_704 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_4_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_4_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43287,11 +43632,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_74 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_4_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43299,63 +43640,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_4_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_4_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_50_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_50_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_197 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_50_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43363,23 +43708,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43387,23 +43732,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_50_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_295 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43411,43 +43752,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_50_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_50_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_384 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_50_390 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_50_396 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_50_400 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_50_403 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_50_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43459,39 +43820,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_50_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_506 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_466 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_50_474 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_50_477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_50_48 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_50_482 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_50_497 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_50_508 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_50_514 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_50_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43499,7 +43892,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43507,15 +43900,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43523,27 +43916,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_50_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_50_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_50_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43551,23 +43944,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_682 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_50_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43575,87 +43964,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_50_715 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_50_719 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_50_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_50_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_50_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_50_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_50_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_51_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_51_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_51_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_51_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_51_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_211 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43663,35 +44048,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_51_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_51_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_51_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43699,19 +44088,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_51_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_51_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_322 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43719,63 +44104,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_51_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_51_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_51_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_456 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_464 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_481 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_51_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43783,39 +44156,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_50 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_51_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_51_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_51_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_546 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43823,31 +44188,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_51_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_51_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_59 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_592 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_51_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43855,63 +44212,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_51_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_51_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_51_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_51_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_51_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_51_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_51_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_51_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_51_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_688 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_51_700 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_51_704 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_51_708 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_51_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_51_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -43923,199 +44264,191 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_52_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_52_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_51_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_10 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_52_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_52_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_16 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_52_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_52_250 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_52_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_52_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_52_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_52_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_52_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_52_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_52_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_52_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_504 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_510 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44127,143 +44460,163 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_52_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_52_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_52_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_52_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_52_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_52_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_52_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_52_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_52_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_52_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_52_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_52_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_52_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_52_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_52_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_52_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_52_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_52_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_53_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_52_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_53_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_130 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_53_133 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_53_141 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_53_15 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_53_153 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_53_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44271,47 +44624,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_182 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_27 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_53_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44319,11 +44676,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44331,55 +44688,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_53_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_393 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_53_40 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_53_417 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_53_424 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_53_430 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_53_442 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_53_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44387,19 +44768,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_53_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_510 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_499 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_53_503 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_53_507 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_53_513 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_53_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44407,39 +44804,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_53_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_53_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_53_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_53_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_53_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_53_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_587 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_53_596 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_53_603 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_53_607 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_53_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44447,27 +44860,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_53_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_53_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_648 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_53_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44475,23 +44892,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_53_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_53_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_53_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_53_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_53_709 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_53_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_53_715 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_53_72 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_53_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44499,27 +44924,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_53_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_53_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_53_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_10 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_100 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_112 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44531,23 +44948,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_54_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_164 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44555,39 +44968,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_54_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_20 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_54_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_223 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_235 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_241 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44595,59 +44996,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_54_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_54_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_54_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44655,7 +45056,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_369 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_54_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44663,115 +45068,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_54_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_54_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_54_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_54_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_606 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_54_61 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_54_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44779,191 +45192,167 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_54_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_54_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_674 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_54_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_54_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_54_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_54_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_54_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_54_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_54_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_54_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_54_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_55_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_54_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_54_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_54_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_13 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_10 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_55_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_55_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_55_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_55_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_55_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_55_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_55_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_31 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_315 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_321 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_55_333 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_337 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_340 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -44971,31 +45360,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_55_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_401 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_418 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_426 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_43 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45003,15 +45376,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_477 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_55_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45019,27 +45396,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_55_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45047,7 +45424,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45055,27 +45432,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_593 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_601 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45083,31 +45452,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_63 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_634 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_642 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_55_649 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_55_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_644 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45115,23 +45476,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_55_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_55_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_55_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_55_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_55_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_703 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_55_71 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_55_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45139,43 +45508,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_55_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_55_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_55_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_55_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_56_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_17 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_176 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_56_182 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_56_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45183,227 +45560,251 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_56_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_56_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_56_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_56_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_56_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_56_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_56_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_56_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_56_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_56_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_56_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_56_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_5 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_56_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_56_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_56_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_640 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_56_645 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_56_655 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_56_667 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_56_675 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_56_685 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_56_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45411,39 +45812,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_56_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_56_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_56_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_56_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_56_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_56_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_56_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_56_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_56_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_56_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_56_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_103 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_57_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_56_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45451,79 +45848,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_16 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_57_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_57_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_57_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_203 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_57_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_299 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_262 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_57_274 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_57_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_57_293 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_57_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_57_301 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_57_318 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_57_32 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_57_322 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_57_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45531,11 +45964,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_57_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_350 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_57_358 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_57_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45543,7 +45984,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45551,59 +45992,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_420 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_48 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45611,27 +46048,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_57_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_528 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_57_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45639,99 +46080,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_57_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_57_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_57_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_590 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_57_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_57_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_57_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_57_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_57_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_57_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_57_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_660 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_57_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_57_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_57_695 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_57_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_57_714 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_57_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_57_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45739,35 +46176,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_57_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_57_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_58_13 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_58_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_141 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_58_15 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_58_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45775,43 +46220,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_58_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_58_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_58_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_58_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_256 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_58_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45819,15 +46268,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_58_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_290 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_58_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45843,119 +46296,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_58_31 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_58_313 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_58_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_58_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_58_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_58_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_58_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_58_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_58_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_58_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_58_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_58_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_58_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_486 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_497 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_58_5 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_501 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_58_505 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_58_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45963,31 +46404,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_58_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_58_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_55 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_58_566 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_58_574 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -45995,15 +46424,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_593 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_58_602 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_58_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46011,19 +46432,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_58_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_58_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_58_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_58_645 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_58_654 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_58_666 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_58_672 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_58_678 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_58_684 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46031,67 +46472,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_58_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_58_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_58_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_58_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_58_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_10 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_59_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_14 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_59_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_59_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_188 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_59_194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_59_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46103,35 +46552,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_59_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_59_259 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_265 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_59_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46139,19 +46580,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_305 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46163,19 +46600,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_59_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_353 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_365 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46183,23 +46612,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_41 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_425 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46207,55 +46628,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_59_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_59_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_59_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_59_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_59_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_59_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_55 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_59_555 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_59_559 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_59_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46263,67 +46696,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_59_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_590 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_59_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_59_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_59_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_59_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_59_673 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_59_679 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_68 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_696 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_59_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46335,19 +46752,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_59_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_59_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_59_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_59_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_101 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_5_107 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_5_111 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_5_115 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_5_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46355,39 +46788,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_193 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_5_200 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_5_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46395,35 +46836,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_242 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_248 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_254 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46431,31 +46860,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_28 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_5_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_304 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_310 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46467,95 +46888,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_5_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_5_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_5_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_5_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_503 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_511 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46563,15 +46976,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_5_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46579,27 +46996,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_593 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_594 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46607,55 +47020,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_5_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_5_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_5_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_5_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_5_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_5_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_5_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_5_678 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_686 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_5_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46663,19 +47072,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_699 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_5_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_5_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_710 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_5_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46683,103 +47092,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_5_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_5_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_60_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_60_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_60_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_60_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_60_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_3 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46787,43 +47192,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_60_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_368 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_60_372 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_60_396 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_60_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_60_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46831,47 +47252,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_60_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_506 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_514 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46879,59 +47296,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_60_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_60_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_60_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_593 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_598 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_60 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_612 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_622 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46943,39 +47340,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_654 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_60_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_60_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_60_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_60_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_60_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_60_701 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_60_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_60_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -46983,19 +47376,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_60_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_60_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_60_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_60_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_60_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47003,15 +47396,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_61_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_131 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_61_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47019,67 +47416,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_61_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_61_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_61_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_228 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_61_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47087,19 +47484,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_61_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47107,63 +47504,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_61_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_61_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_444 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_61_457 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_61_465 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_61_476 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_61_48 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_61_486 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_61_498 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_61_505 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_61_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47171,15 +47600,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_541 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_61_549 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_61_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47187,39 +47624,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_566 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_61_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_61_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_61_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47227,11 +47664,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_61_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_626 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_61_65 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_61_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47243,23 +47688,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_61_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_61_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_61_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_61_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_61_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47267,67 +47712,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_61_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_61_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_61_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_61_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_112 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_62_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_62_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_62_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_62_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_62_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_17 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_62_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_201 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47335,43 +47776,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_62_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_62_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_62_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_62_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_62_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_282 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_62_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_62_290 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_62_298 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_62_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47379,83 +47836,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_62_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_62_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_62_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_455 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47463,15 +47916,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_62_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_5 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_496 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_62_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47479,63 +47936,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_62_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_538 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_62_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_62_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_62_616 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_629 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47543,51 +47996,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_62_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_62_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_687 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_62_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_703 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_62_730 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_62_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_62_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_62_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_62_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_62_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_62_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_62_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_62_97 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_63_10 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_63_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47599,231 +48064,211 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_63_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_14 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_63_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_63_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_63_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_63_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_63_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_63_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_63_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_63_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_63_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_63_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_519 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_526 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_535 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_545 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_55 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_63_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47831,79 +48276,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_579 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_63_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_63_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_63_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_63_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_63_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_63_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_63_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_63_716 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_63_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47911,35 +48360,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_63_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_63_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_63_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_63_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_63_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_63_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_63_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_64_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_112 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47947,23 +48396,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_64_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_64_179 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_64_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -47971,63 +48424,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_20 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_64_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_64_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_268 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_64_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_64_274 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_64_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_64_293 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48035,27 +48484,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_64_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_331 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_339 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48063,67 +48504,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_64_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_475 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_486 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_64_494 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_500 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_506 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48131,167 +48560,159 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_64_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_64_58 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_64_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_64_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_64_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_64_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_64_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_64_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_64_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_71 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_64_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_64_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_64_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_64_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_64_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_64_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_64_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_64_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_12 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_65_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_65_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_65_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_65_20 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_209 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_21 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48299,71 +48720,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_65_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_65_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_65_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_65_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_65_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_65_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_65_38 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_65_381 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_65_389 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_65_393 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_65_396 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_65_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_65_431 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_65_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48375,39 +48824,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_65_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_65_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_65_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_65_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_65_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_523 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_65_537 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_65_544 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_65_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48419,55 +48880,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_65_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_65_610 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_65_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_65_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_65_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_65_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_65_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_65_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_65_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_65_695 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_65_698 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_65_71 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_65_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48479,83 +48952,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_65_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_65_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_65_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_66_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_66_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_66_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_66_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_66_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_66_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_195 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_66_206 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_66_21 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_66_216 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_222 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_66_243 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48563,27 +49012,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_268 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_66_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_272 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_66_29 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_292 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48591,19 +49024,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_66_300 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_66_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48611,35 +49044,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_66_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_66_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_377 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48647,215 +49076,231 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_66_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_66_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_66_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_66_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_66_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_66_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_66_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_66_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_66_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_66_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_66_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_66_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_66_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_66_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_66_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_66_674 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_66_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_66_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_66_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_66_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_66_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_66_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_66_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_10 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_103 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_66_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_66_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_66_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_66_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_66_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_16 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_129 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_67_140 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_67_148 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_67_15 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_67_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48863,59 +49308,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_67_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_67_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_258 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_67_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48923,35 +49364,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_67_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_67_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_67_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_67_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_319 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -48959,67 +49396,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_67_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_402 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_414 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_426 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_434 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49027,27 +49448,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_67_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_67_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_503 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_67_509 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_67_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49055,39 +49484,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_67_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_67_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_67_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_67_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_67_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_67_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_67_59 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_67_593 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_67_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49095,39 +49532,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_67_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_67_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_67_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_67_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_67_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_67_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_67_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_67_673 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_67_685 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_67_697 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_67_709 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_67_71 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_67_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49139,71 +49596,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_67_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_67_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_67_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_68_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_68_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_68_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_68_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_68_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_68_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_68_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_68_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49215,83 +49672,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_68_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_68_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_68_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_68_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_68_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_68_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_68_39 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_68_395 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_68_401 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_68_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49299,71 +49768,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_68_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_68_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_68_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_68_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_68_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_68_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_68_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_68_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_68_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_68_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_68_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_565 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_68_571 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_68_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49371,71 +49848,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_68_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_68_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_68_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_68_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_68_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_68_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_68_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_68_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_68_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_68_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_68_710 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_68_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49447,47 +49928,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_68_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_68_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_68_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_13 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_138 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_155 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_159 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49495,19 +49964,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_69_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_69_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_191 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_69_202 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_69_208 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_69_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49515,11 +49996,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49527,59 +50008,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_252 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_69_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_69_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49587,19 +50068,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_397 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_405 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_69_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49611,135 +50084,131 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_69_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_69_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_5 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_69_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_69_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_69_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_69_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_69_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_69_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_69_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_69_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_69_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_69_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_69_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_69_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_69_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_70 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49747,19 +50216,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_69_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_69_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_69_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_69_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_69_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_69_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_105 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_6_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49775,115 +50248,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_6_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_20 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_6_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_217 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_220 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_224 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_228 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_239 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_245 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_251 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_256 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_262 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_268 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_27 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_274 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_277 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_289 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_29 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_301 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49891,103 +50300,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_6_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_6_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_6_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_506 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_519 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_528 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_533 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_6_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -49995,79 +50392,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_6_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_616 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_6_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_6_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_6_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_6_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_6_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_695 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_6_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_6_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50075,19 +50468,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_6_719 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_6_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_6_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_6_731 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_6_730 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_6_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50095,19 +50492,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_6_96 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_111 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50115,123 +50504,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_70_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_70_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_70_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_70_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_70_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_70_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_70_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_70_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_70_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_70_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_319 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_322 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_346 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_35 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_70_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50239,163 +50612,135 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_70_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_70_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_70_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_70_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_70_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_70_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_70_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_70_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_70_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_70_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_70_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_70_638 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_70_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_70_663 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_70_629 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_635 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_640 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_70_645 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_663 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_70_67 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_70_674 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_70_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50411,15 +50756,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_70_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_70_732 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_70_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_70_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50427,127 +50768,127 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_70_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_70_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_70_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_70_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_71_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_71_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_71_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_71_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_71_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_71_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_71_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_71_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_71_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_71_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_71_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_71_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50555,31 +50896,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_71_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_71_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_363 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_371 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50587,19 +50920,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_71_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_71_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_71_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_416 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_71_422 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_71_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50607,63 +50948,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_71_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_71_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_71_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_71_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_71_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_568 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50671,67 +51008,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_590 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_71_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_71_642 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_71_644 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_656 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_71_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_71_706 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_71_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_71_714 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_718 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_71_719 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_71_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50739,71 +51072,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_71_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_71_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_71_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_72_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_71_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_72_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_72_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_72_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_72_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_72_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_72_189 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_72_195 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_72_199 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_72_205 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_72_217 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_72_229 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_72_241 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_72_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50811,15 +51172,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_72_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_259 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_72_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50827,15 +51184,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_72_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_72_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_290 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_72_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50843,71 +51204,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_72_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_72_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_326 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_72_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_72_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_72_358 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_72_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_72_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_72_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_72_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_72_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_72_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_425 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -50919,191 +51276,199 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_72_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_72_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_72_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_512 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_72_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_72_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_622 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_72_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_72_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_650 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_72_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_72_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_72_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_72_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_721 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_72_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_72_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_72_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_72_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_72_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_72_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_72_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_73_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_73_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_73_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_73_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_17 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_73_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_177 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_73_187 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_73_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51119,55 +51484,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_73_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_73_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_28 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_73_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_73_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_286 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_290 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51175,115 +51532,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_73_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_73_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_478 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_73_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_73_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_73_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_511 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_515 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_525 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_543 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_55 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51291,75 +51628,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_73_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_626 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_73_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_660 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_73_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_73_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_73_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_719 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_73_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_73_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51367,75 +51704,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_73_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_73_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_73_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_73_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_74_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_74_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_74_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_74_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_74_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_74_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51443,91 +51780,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_277 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_74_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_74_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_74_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_74_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_74_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51535,135 +51872,111 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_74_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_74_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_630 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_74_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_74_675 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_74_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_74_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_74_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_74_676 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_74_690 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_74_698 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_701 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_729 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_74_73 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_74_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_74_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51671,75 +51984,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_74_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_74_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_11 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_75_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_13 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_75_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_142 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_75_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_19 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_75_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_75_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_215 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_75_223 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_75_225 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_75_23 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_75_231 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_75_255 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_75_261 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_75_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51751,207 +52092,239 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_75_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_75_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_366 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_75_366 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_75_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_75_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_75_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_75_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_75_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_75_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_75_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_75_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_75_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_75_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_75_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_75_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_75_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_75_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_75_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_75_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_600 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_75_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_631 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_75_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_75_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_75_646 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_75_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_75_664 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_75_673 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_75_686 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_75_698 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_75_7 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_75_706 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_75_713 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_75_72 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_75_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -51963,267 +52336,283 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_75_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_75_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_76_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_76_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_76_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_76_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_156 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_76_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_153 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_188 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_168 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_76_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_76_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_76_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_309 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_76_31 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_76_321 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_76_327 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_76_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_354 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_76_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_76_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_468 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_76_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_76_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_76_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_76_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_76_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_76_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_605 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_620 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_76_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52231,51 +52620,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_674 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_76_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_76_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_76_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_76_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_76_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_76_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_76_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_76_732 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_76_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_76_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_76_79 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_76_83 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_76_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52283,19 +52680,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_13 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_142 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_77_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52303,27 +52692,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_173 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_208 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_77_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52331,31 +52724,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_77_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_251 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_263 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_77_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52363,99 +52748,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_77_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_77_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_406 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_477 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_77_489 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_49 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52467,103 +52840,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_77_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_610 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_77_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_646 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_77_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_77_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_77_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_77_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_77_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_697 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_77_709 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_721 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_77_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52571,27 +52932,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_77_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_77_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_77_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_77_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_110 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_78_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52599,187 +52960,187 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_78_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_78_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_78_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_78_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_78_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_78_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_282 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_78_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_78_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_78_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_78_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_78_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_78_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_78_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_425 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_78_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_78_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_78_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_498 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_78_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52787,43 +53148,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_78_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_621 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_78_625 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_78_634 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52831,143 +53200,135 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_78_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_78_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_672 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_685 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_78_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_78_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_78_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_78_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_78_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_78_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_78_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_78_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_79_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_79_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_79_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_79_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_22 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_79_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_261 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_267 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -52979,199 +53340,263 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_293 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_407 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_79_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_79_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_79_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_473 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_79_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_50 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_79_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_79_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_79_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_79_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_79_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_79_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_79_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_79_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_681 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_717 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_79_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_79_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_79_723 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_79_608 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_79_617 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_79_622 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_79_628 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_79_634 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_79_638 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_79_644 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_79_656 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_79_660 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_79_663 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_79_671 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_79_673 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_79_677 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_79_68 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_79_697 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_79_703 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_79_718 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_79_726 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53179,7 +53604,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_79_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_79_74 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_79_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_79_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_79_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_79_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53191,11 +53632,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_115 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53203,7 +53640,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_141 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_7_152 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_7_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53211,7 +53656,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53223,7 +53668,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_20 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_20 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53235,55 +53680,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_7_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_306 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_310 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_301 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_7_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_7_32 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_7_320 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_7_332 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_7_337 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_7_349 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_7_361 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_7_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_7_38 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_7_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53291,47 +53776,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_7_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_475 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_7_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53339,107 +53828,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_539 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_59 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_590 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_7_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_7_628 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_644 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_7_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_676 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_7_681 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_687 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53447,19 +53928,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_700 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_7_711 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_7_715 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_7_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_7_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53467,19 +53948,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_7_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_118 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_7_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_7_94 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_80_118 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_80_130 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_80_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53487,75 +53980,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_80_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_80_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_80_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_80_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_80_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_80_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_80_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_295 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_80_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_80_27 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_80_279 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_80_283 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_80_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53563,31 +54072,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_331 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_334 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53599,15 +54100,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_379 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53615,19 +54116,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_394 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_408 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53635,111 +54132,147 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_80_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_80_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_80_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_80_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_524 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_80_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_80_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_80_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_80_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_80_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_80_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_80_630 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_80_634 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_80_640 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_80_645 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_80_653 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_80_66 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_80_663 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_80_671 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_80_676 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_80_688 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53747,11 +54280,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_80_70 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_80_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_80_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_706 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_80_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53759,7 +54300,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_80_75 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_80_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53767,19 +54312,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_80_91 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_80_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_80_99 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_100 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_104 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_80_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53787,19 +54324,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_81_159 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_81_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_81_149 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_81_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53807,23 +54356,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_21 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_81_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53831,19 +54384,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_81_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53851,11 +54404,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_81_284 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_81_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_81_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53863,31 +54424,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_81_310 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_332 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_339 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_34 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53895,59 +54444,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_440 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_81_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_81_472 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_81_476 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_81_479 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_81_487 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_81_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -53959,75 +54532,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_81_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_81_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_81_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_578 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_81_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_644 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_81_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_81_607 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_81_615 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_81_617 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_81_621 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_81_631 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_81_643 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_81_65 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_81_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54035,19 +54636,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_81_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_81_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_81_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_81_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_81_706 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_81_691 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_81_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54059,31 +54660,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_81_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_81_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_82_10 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_100 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_106 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_119 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_125 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_82_13 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54095,87 +54684,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_82_18 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_19 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_82_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_203 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_82_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_82_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_292 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_82_299 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54183,55 +54764,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_82_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_82_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_372 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_373 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_393 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54239,19 +54812,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_423 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_459 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_82_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54259,103 +54836,107 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_82_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_82_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_82_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_5 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_82_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_82_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_82_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_82_580 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_82_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_616 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_82_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_82_636 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_82_679 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_652 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_82_69 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_670 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_82_683 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54367,11 +54948,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_82_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_82_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_82_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_729 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_82_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54379,7 +54964,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_82_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_82_94 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_82_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54387,47 +54976,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_83_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_137 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_83_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_83_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_83_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_83_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_190 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_83_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54435,75 +55028,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_83_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_83_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_83_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_314 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_288 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_83_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_83_329 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_83_335 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_83_339 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_83_345 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_83_350 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_83_356 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_83_385 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_83_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54511,35 +55132,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_83_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_428 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_432 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_435 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_83_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54547,99 +55156,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_83_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_83_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_494 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_83_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_83_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_518 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_83_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_83_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_83_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_83_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_83_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_83_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_629 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_650 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_657 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_83_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_83_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54647,27 +55244,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_83_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_83_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_687 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_696 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_709 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54679,39 +55264,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_83_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_83_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_83_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_83_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_83_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_83_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_84_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_84_124 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_130 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_84_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54719,55 +55296,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_84_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_84_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_84_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_84_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_84_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_195 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_199 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_21 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_214 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_224 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_84_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54775,7 +55332,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54783,23 +55340,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_280 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_84_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_84_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54807,35 +55368,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_84_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_324 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_84_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_33 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_331 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_337 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_350 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -54843,179 +55392,187 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_84_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_84_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_84_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_84_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_84_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_84_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_84_616 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_84_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_84_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_625 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_652 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_664 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_84_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_672 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_680 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_714 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_84_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_84_730 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_84_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_84_75 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_84_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_84_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_84_725 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_84_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_85_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_84_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_84_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_85_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55023,11 +55580,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_85_123 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_85_140 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_85_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55043,27 +55608,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_85_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_200 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_85_208 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_85_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55071,35 +55644,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_85_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_85_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_254 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_85_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_85_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_279 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_85_28 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_85_283 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_85_291 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_85_294 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55107,75 +55696,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_30 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_85_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_85_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_413 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_85_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_85_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_85_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_85_449 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_85_455 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_85_466 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_85_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55187,91 +55788,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_85_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_85_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_85_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_85_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_60 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_85_619 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_85_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_85_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_85_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_85_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_85_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_85_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_85_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_85_689 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_85_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_85_68 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_700 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55283,35 +55880,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_85_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_85_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_85_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_85_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_86_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_86_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_86_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_86_133 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_86_141 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_86_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55319,51 +55920,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_86_17 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_86_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_212 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_86_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_271 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_86_277 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_86_283 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_86_289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_86_295 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_86_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55371,19 +55996,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_86_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_317 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_86_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_340 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_86_348 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_86_35 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_86_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55391,47 +56028,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_86_369 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_86_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_392 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_86_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_86_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_465 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_86_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55439,19 +56080,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_86_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_5 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_86_504 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_86_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55459,95 +56104,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_86_53 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__fill_1 FILLER_86_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_86_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_86_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_86_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_562 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_86_585 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_86_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_86_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_86_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_658 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_86_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_676 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_86_68 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_86_677 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_86_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55555,15 +56200,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_719 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_86_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55571,7 +56216,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_86_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_86_75 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55579,75 +56224,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_86_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_86_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_86_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_87_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_87_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_204 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_87_212 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_87_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55655,171 +56308,187 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_87_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_87_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_87_334 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_87_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_87_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_87_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_87_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_87_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_87_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_87_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_87_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_87_502 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_87_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_518 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_87_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_87_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_577 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_87_583 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_87_600 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_87_604 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_87_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55827,51 +56496,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_87_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_87_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_649 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_87_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_87_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_87_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_661 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_87_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_87_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_87_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_87_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_87_706 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_87_708 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_710 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_87_722 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_87_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55879,27 +56548,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_81 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_87_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_87_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_87_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_87_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_109 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_88_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_130 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_88_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -55907,95 +56580,87 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_88_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_204 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_88_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_229 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_258 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_283 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_291 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_88_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56007,27 +56672,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_321 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_339 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_341 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_88_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_88_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56035,39 +56700,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_88_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_88_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_371 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_396 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_88_398 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_404 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_407 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56075,43 +56728,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_43 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_4 FILLER_88_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_88_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_88_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_498 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_88_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56119,115 +56768,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_88_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_560 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_88_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_88_598 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_595 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_88_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_88_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_88_633 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_88_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_657 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_677 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_88_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_690 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_88_666 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_88_698 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_88_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_88_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_88_712 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_88_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_88_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_88_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_88_710 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_88_726 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_88_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_88_732 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_88_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_88_78 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_88_85 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_88_89 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_89_10 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56239,47 +56876,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_89_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_89_145 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_148 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_158 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_194 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_89_202 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_89_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_89_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56287,87 +56936,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_235 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_89_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_89_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_89_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_279 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_89_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_89_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_36 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_89_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_387 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_89_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56375,23 +57028,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_417 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_89_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_427 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_89_44 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_89_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56399,99 +57060,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_89_461 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_89_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_89_490 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_89_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_523 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_55 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_89_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_89_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_602 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_89_614 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_89_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_64 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_89_641 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_89_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56499,19 +57152,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_89_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_89_70 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_89_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_720 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_714 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_89_721 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_89_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56519,23 +57180,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_89_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_89_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_89_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_131 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_120 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_8_126 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_8_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56543,27 +57212,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_8_188 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_199 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_8_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56571,27 +57240,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_239 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_251 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_8_256 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_8_262 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_8_268 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_8_274 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_8_278 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_8_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56607,43 +57300,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_8_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_8_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_8_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_349 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_8_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_8_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_8_385 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_390 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_8_402 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_8_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56651,31 +57352,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_434 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_8_451 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_46 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56683,51 +57376,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_506 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_8_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_546 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_8_550 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_8_556 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_8_562 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_8_565 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_8_579 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_8_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56735,19 +57452,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_8_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_606 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_8_630 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56759,27 +57472,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_8_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_674 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_687 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_686 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_692 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_8_695 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_8_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56787,63 +57508,91 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_705 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_8_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_8_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_72 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_8_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_8_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_8_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_8_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_10 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_78 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_8_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_8_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_119 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_8_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_90_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_90_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_120 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_179 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_133 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_90_139 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_90_141 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_90_149 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_90_160 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_90_167 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_90_17 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_90_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56851,123 +57600,123 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_208 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_212 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_90_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_256 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_270 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_90_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_90_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_90_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_90_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_395 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_41 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_90_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_90_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -56975,55 +57724,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_5 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_90_521 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_90_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_53 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_90_554 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_558 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_564 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57035,47 +57772,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_59 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_618 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_90_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_90_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_90_650 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_658 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_669 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_681 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_90_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57083,11 +57824,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_703 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_90_704 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_90_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57095,63 +57836,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_90_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_90_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_90_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_90_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_90_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_90_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_91_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_13 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_91_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_91_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_91_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_176 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57159,23 +57896,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_199 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_209 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_21 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57183,27 +57908,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_227 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_242 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_249 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_257 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_267 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57211,75 +57928,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_91_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_346 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_366 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_91_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_91_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_435 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57287,31 +58004,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_453 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_91_478 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_91_497 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_91_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57319,91 +58032,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_91_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_590 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_602 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_91_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_91_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_622 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_651 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_91_670 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_656 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_91_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_91_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_700 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_91_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_707 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_91_699 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_91_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_91_716 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_91_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_91_72 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_91_724 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57411,75 +58128,79 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_91_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_91_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_91_84 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_91_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_91_92 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_92_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_124 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_130 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_92_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_165 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_17 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_17 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_185 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_195 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_92_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_209 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_92_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57487,15 +58208,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_92_226 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_92_238 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57503,67 +58224,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_92_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_92_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_92_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_92_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_92_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_330 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_336 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_353 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57571,11 +58280,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_92_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_368 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_92_38 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_92_380 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_92_383 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_92_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57583,43 +58308,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_92_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_92_433 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_92_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_470 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57627,7 +58352,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57635,91 +58360,99 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_52 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_3 FILLER_92_529 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_92_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_92_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_92_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_616 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_92_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_92_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_640 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_674 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_92_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_92_682 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_92_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_67 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_92_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_92_692 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_92_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_92_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_92_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_92_725 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_92_729 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_92_79 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_92_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57727,67 +58460,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_92_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_92_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_92_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_93_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_113 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_93_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_138 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_148 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_153 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_93_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_93_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_183 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_193 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_205 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_93_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -57795,263 +58520,239 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_93_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_231 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_93_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_255 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_26 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_93_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_279 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_308 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_32 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_93_333 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_93_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_93_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_93_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_404 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_424 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_421 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_432 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_447 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_93_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_93_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_510 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_534 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_93_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_549 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_604 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_93_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_93_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_628 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_93_670 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_93_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_93_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_705 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_713 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_93_652 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_93_664 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_668 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_681 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_688 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_700 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_724 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_727 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58059,19 +58760,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_93_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_93_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_93_99 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_93_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_93_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_107 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_94_110 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_94_122 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58087,31 +58796,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_94_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_160 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_174 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_181 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_94_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58119,39 +58832,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_94_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_231 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_248 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_263 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58159,127 +58864,103 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_290 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_285 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_94_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_302 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_94_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_338 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_309 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_313 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_94_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_38 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_94_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_94_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_429 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_454 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_460 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_506 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_477 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_482 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_49 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_494 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_506 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_515 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58287,35 +58968,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_94_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_94_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_568 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_578 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_94_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_94_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58323,91 +59000,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_621 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_635 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_94_642 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_94_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_657 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_94_665 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_94_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_94_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_678 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_686 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_94_694 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_94_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_94_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_94_707 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_706 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_94_729 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_712 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_94_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_94_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_94_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_94_730 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_94_75 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_83 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_94_85 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_94_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_94_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58415,75 +59076,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_95_115 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_123 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_14 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_95_15 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_147 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_95_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_172 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_20 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_186 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_213 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_95_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58491,195 +59152,195 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_28 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_95_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_95_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_95_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_95_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_347 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_95_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_95_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_95_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_418 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_95_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_95_446 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_95_46 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_95_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_95_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_95_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_95_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_95_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_95_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_95_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_565 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_574 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_603 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_95_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_601 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_617 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_95_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_95_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_653 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_659 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_95_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_681 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_95_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_95_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_95_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_95_690 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_700 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_717 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58691,27 +59352,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_95_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_95_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_95_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_95_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_95_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_103 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_109 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_96_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58719,27 +59372,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_144 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_17 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_96_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_181 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58747,23 +59396,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_96_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_211 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_96_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_245 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_228 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_96_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58771,39 +59424,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_96_25 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_279 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_96_287 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_29 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_291 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_96_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58811,51 +59448,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_320 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_96_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_336 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_363 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_365 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_37 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_382 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_389 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58863,115 +59484,95 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_96_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_440 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_96_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_96_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_96_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_5 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_96_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_514 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_526 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_96_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_96_525 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_601 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_571 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_577 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_96_585 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_589 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_592 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_616 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_96_613 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -58979,43 +59580,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_640 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_645 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_651 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_96_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_682 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_96_694 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_691 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_96_701 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_96_711 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_708 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_96_719 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_96_723 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59023,47 +59620,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_96_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_96_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_96_82 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_96_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_96_87 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_97_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_96_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_10 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_123 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_135 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_144 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59075,43 +59664,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_97_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_204 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_207 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_223 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_229 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_237 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_23 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59119,7 +59696,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59127,23 +59704,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_266 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_97_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_97_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_293 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59151,151 +59724,131 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_31 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_97_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_97_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_343 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_97_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_97_366 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_366 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_382 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_97_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_97_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_97_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_414 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_97_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_457 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_97_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_97_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_97_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_97_553 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_515 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_97_523 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_532 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_55 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_556 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59303,63 +59856,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_97_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_581 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_576 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_600 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_599 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_97_614 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_612 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_633 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_627 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_97_64 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_641 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_647 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_662 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_664 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_97_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_97_679 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_97_684 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_696 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_702 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_97_704 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_97_708 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_97_716 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_97_720 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59367,43 +59920,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_97_90 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_97_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_97_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_97_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_127 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_117 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_98_138 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_98_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_168 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_98_146 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_98_15 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_98_154 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_98_158 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_98_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59411,39 +59980,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_215 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_230 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_236 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_240 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_245 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_251 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_98_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59451,7 +60008,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_267 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59459,23 +60016,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_286 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_285 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_297 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_98_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_3 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_98_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59483,167 +60040,131 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_313 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_35 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_359 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_363 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_380 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_374 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_98_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_98_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_98_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_98_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_98_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_98_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_48 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_98_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_491 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_98_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_507 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_98_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_98_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_542 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_551 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_56 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_575 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_581 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_587 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_98_589 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_594 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_608 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_607 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59651,27 +60172,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_639 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_648 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_98_643 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_654 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_675 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_667 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_98_673 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59679,15 +60204,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_98_686 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_98_69 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_98_692 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_696 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59695,15 +60212,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_706 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_98_719 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_98_718 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_98_731 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_98_730 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59711,99 +60228,115 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_98_88 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_98_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_98_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_98_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_99_106 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_133 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_99_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_145 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_140 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_99_162 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_99_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_152 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_17 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_99_187 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_177 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_219 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_21 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_227 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_272 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_99_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_99_277 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_99_281 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_99_285 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_99_288 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_99_30 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59811,59 +60344,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_318 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_99_326 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_361 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_366 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_378 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_366 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_384 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_387 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_99_395 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_405 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_99_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_425 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59871,23 +60404,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_48 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_486 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59899,87 +60432,75 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_99_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_523 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_511 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_530 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_546 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_548 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_570 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_555 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_99_597 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_99_609 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_99_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_615 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_99_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_623 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_629 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_99_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_637 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_662 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_64 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_651 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_99_663 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_668 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -59987,19 +60508,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_99_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_99_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_683 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_99_695 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_700 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_99_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60011,63 +60524,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_99_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_99_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_99_98 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_102 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_125 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_14 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_9_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_13 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_135 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_141 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_147 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_153 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_161 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60075,99 +60576,139 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_171 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_176 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_194 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_210 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_20 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_9_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_9_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_211 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_9_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_9_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_276 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_305 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_9_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_329 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_9_250 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_9_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_9_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_28 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_40 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_305 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_9_439 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_357 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_9_363 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_9_375 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_9_387 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_9_391 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_9_401 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_9_409 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_9_414 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_9_420 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_9_431 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_9_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60175,39 +60716,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_476 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_9_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_9_497 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_51 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_515 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_9_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_9_523 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_9_531 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_9_538 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60215,31 +60768,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_55 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_551 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_hd__decap_6 FILLER_9_554 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_9_590 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_9_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_9_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_591 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_9_594 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_9_604 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_9_61 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_9_611 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60247,47 +60808,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_9_624 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_632 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_641 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_648 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_663 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_9_655 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_9_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_667 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_671 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_9_680 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_693 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_9_688 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_697 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_698 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_7 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_7 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_700 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -60299,15 +60860,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_9_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_9_86 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_9_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_9_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_9_84 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_9_87 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_9_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -65395,323 +65964,273 @@
     .VPWR(vccd1));
  sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_999 (.VGND(vssd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__clkbuf_2 _3368_ (.A(\u_uart2wb.u_core.u_rxfsm.offset[0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0881_));
- sky130_fd_sc_hd__clkinv_2 _3369_ (.A(_0881_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_0012_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _3370_ (.A(\u_uart2wb.u_core.u_txfsm.divcnt[0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0882_));
- sky130_fd_sc_hd__inv_2 _3371_ (.A(_0882_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_0016_));
- sky130_fd_sc_hd__or4_1 _3372_ (.A(\u_uart2wb.u_core.u_txfsm.divcnt[0] ),
-    .B(\u_uart2wb.u_core.u_txfsm.divcnt[1] ),
+ sky130_fd_sc_hd__or4_1 _3418_ (.A(\u_uart2wb.u_core.u_txfsm.divcnt[1] ),
+    .B(\u_uart2wb.u_core.u_txfsm.divcnt[0] ),
     .C(\u_uart2wb.u_core.u_txfsm.divcnt[3] ),
     .D(\u_uart2wb.u_core.u_txfsm.divcnt[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0883_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _3373_ (.A(_0883_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0884_));
- sky130_fd_sc_hd__clkbuf_1 _3374_ (.A(\u_uart2wb.u_core.u_txfsm.txstate[4] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0885_));
- sky130_fd_sc_hd__clkbuf_2 _3375_ (.A(\u_uart2wb.u_core.u_txfsm.divcnt[1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0886_));
- sky130_fd_sc_hd__nor4_4 _3376_ (.A(\u_uart2wb.u_core.u_txfsm.divcnt[0] ),
-    .B(_0886_),
-    .C(\u_uart2wb.u_core.u_txfsm.divcnt[3] ),
-    .D(\u_uart2wb.u_core.u_txfsm.divcnt[2] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_0887_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _3377_ (.A(_0887_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0888_));
- sky130_fd_sc_hd__and3_1 _3378_ (.A(la_data_in[3]),
-    .B(_0885_),
-    .C(_0888_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
     .X(_0889_));
- sky130_fd_sc_hd__a21o_1 _3379_ (.A1(\u_uart2wb.u_core.u_txfsm.txstate[1] ),
-    .A2(_0884_),
-    .B1(_0889_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0003_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _3380_ (.A(\u_uart2wb.u_core.u_txfsm.txstate[0] ),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _3419_ (.A(_0889_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0890_));
- sky130_fd_sc_hd__nand2_1 _3381_ (.A(la_data_in[1]),
-    .B(\u_uart2wb.tx_data_avail ),
+ sky130_fd_sc_hd__clkbuf_1 _3420_ (.A(\u_uart2wb.u_core.u_txfsm.txstate[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_0891_));
- sky130_fd_sc_hd__or2_1 _3382_ (.A(_0884_),
-    .B(_0891_),
+    .X(_0891_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _3421_ (.A(\u_uart2wb.u_core.u_txfsm.divcnt[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0892_));
- sky130_fd_sc_hd__inv_2 _3383_ (.A(la_data_in[3]),
+ sky130_fd_sc_hd__nor4_2 _3422_ (.A(_0892_),
+    .B(\u_uart2wb.u_core.u_txfsm.divcnt[0] ),
+    .C(\u_uart2wb.u_core.u_txfsm.divcnt[3] ),
+    .D(\u_uart2wb.u_core.u_txfsm.divcnt[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_0893_));
- sky130_fd_sc_hd__a21o_1 _3384_ (.A1(_0893_),
-    .A2(_0885_),
-    .B1(\u_uart2wb.u_core.u_txfsm.txstate[1] ),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _3423_ (.A(_0893_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0894_));
- sky130_fd_sc_hd__a22o_1 _3385_ (.A1(_0890_),
-    .A2(_0892_),
-    .B1(_0894_),
-    .B2(_0888_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0002_));
- sky130_fd_sc_hd__clkbuf_2 _3386_ (.A(\u_uart2wb.u_core.u_txfsm.txstate[3] ),
+ sky130_fd_sc_hd__and3_1 _3424_ (.A(la_data_in[3]),
+    .B(_0891_),
+    .C(_0894_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0895_));
- sky130_fd_sc_hd__nor2_4 _3387_ (.A(la_data_in[16]),
-    .B(la_data_in[17]),
+ sky130_fd_sc_hd__a21o_1 _3425_ (.A1(\u_uart2wb.u_core.u_txfsm.txstate[1] ),
+    .A2(_0890_),
+    .B1(_0895_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_0896_));
- sky130_fd_sc_hd__clkbuf_1 _3388_ (.A(\u_uart2wb.u_core.u_txfsm.cnt[2] ),
+    .X(_0003_));
+ sky130_fd_sc_hd__clkbuf_1 _3426_ (.A(\u_uart2wb.u_core.u_txfsm.txstate[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0897_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _3389_ (.A(\u_uart2wb.u_core.u_txfsm.cnt[0] ),
+    .X(_0896_));
+ sky130_fd_sc_hd__nand2_1 _3427_ (.A(la_data_in[1]),
+    .B(\u_uart2wb.tx_data_avail ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_0897_));
+ sky130_fd_sc_hd__or2_1 _3428_ (.A(_0890_),
+    .B(_0897_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0898_));
- sky130_fd_sc_hd__clkbuf_1 _3390_ (.A(_0898_),
+ sky130_fd_sc_hd__inv_2 _3429_ (.A(la_data_in[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0899_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _3391_ (.A(\u_uart2wb.u_core.u_txfsm.cnt[1] ),
+    .Y(_0899_));
+ sky130_fd_sc_hd__a21o_1 _3430_ (.A1(_0899_),
+    .A2(_0891_),
+    .B1(\u_uart2wb.u_core.u_txfsm.txstate[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0900_));
- sky130_fd_sc_hd__and4_1 _3392_ (.A(_0897_),
-    .B(_0899_),
-    .C(_0900_),
-    .D(_0887_),
+ sky130_fd_sc_hd__a22o_1 _3431_ (.A1(_0896_),
+    .A2(_0898_),
+    .B1(_0900_),
+    .B2(_0894_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0002_));
+ sky130_fd_sc_hd__clkbuf_2 _3432_ (.A(\u_uart2wb.u_core.u_txfsm.txstate[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0901_));
- sky130_fd_sc_hd__mux2_1 _3393_ (.A0(_0885_),
-    .A1(\u_uart2wb.u_core.u_txfsm.txstate[2] ),
-    .S(_0888_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0902_));
- sky130_fd_sc_hd__a31o_1 _3394_ (.A1(_0895_),
-    .A2(_0896_),
-    .A3(_0901_),
-    .B1(_0902_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0006_));
- sky130_fd_sc_hd__inv_2 _3395_ (.A(_0895_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_0903_));
- sky130_fd_sc_hd__and4_2 _3396_ (.A(_0890_),
-    .B(la_data_in[1]),
-    .C(\u_uart2wb.tx_data_avail ),
-    .D(_0887_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0904_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _3397_ (.A(_0904_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0905_));
- sky130_fd_sc_hd__o21bai_1 _3398_ (.A1(_0903_),
-    .A2(_0901_),
-    .B1_N(_0905_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_0005_));
- sky130_fd_sc_hd__or2_2 _3399_ (.A(la_data_in[16]),
+ sky130_fd_sc_hd__nor2_1 _3433_ (.A(la_data_in[16]),
     .B(la_data_in[17]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .Y(_0902_));
+ sky130_fd_sc_hd__clkbuf_1 _3434_ (.A(\u_uart2wb.u_core.u_txfsm.cnt[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0903_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _3435_ (.A(\u_uart2wb.u_core.u_txfsm.cnt[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0904_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _3436_ (.A(\u_uart2wb.u_core.u_txfsm.cnt[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0905_));
+ sky130_fd_sc_hd__clkbuf_1 _3437_ (.A(_0905_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .X(_0906_));
- sky130_fd_sc_hd__a32o_1 _3400_ (.A1(_0895_),
-    .A2(_0906_),
-    .A3(_0901_),
-    .B1(\u_uart2wb.u_core.u_txfsm.txstate[2] ),
-    .B2(_0884_),
+ sky130_fd_sc_hd__and4_1 _3438_ (.A(_0903_),
+    .B(_0904_),
+    .C(_0906_),
+    .D(_0893_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0004_));
- sky130_fd_sc_hd__xnor2_1 _3401_ (.A(\u_async_wb.u_cmd_if.rd_ptr[1] ),
-    .B(\u_async_wb.u_cmd_if.sync_wr_ptr_1[1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_0907_));
- sky130_fd_sc_hd__or2_1 _3402_ (.A(\u_async_wb.u_cmd_if.sync_wr_ptr[2] ),
-    .B(\u_async_wb.u_cmd_if.grey_rd_ptr[2] ),
+    .X(_0907_));
+ sky130_fd_sc_hd__mux2_1 _3439_ (.A0(_0891_),
+    .A1(\u_uart2wb.u_core.u_txfsm.txstate[2] ),
+    .S(_0894_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0908_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _3403_ (.A(\u_async_wb.u_cmd_if.grey_rd_ptr[2] ),
+ sky130_fd_sc_hd__a31o_1 _3440_ (.A1(_0901_),
+    .A2(_0902_),
+    .A3(_0907_),
+    .B1(_0908_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0909_));
- sky130_fd_sc_hd__a21oi_1 _3404_ (.A1(\u_async_wb.u_cmd_if.sync_wr_ptr[2] ),
-    .A2(_0909_),
-    .B1(_0907_),
+    .X(_0006_));
+ sky130_fd_sc_hd__inv_2 _3441_ (.A(_0901_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_0910_));
- sky130_fd_sc_hd__xnor2_1 _3405_ (.A(\u_async_wb.u_cmd_if.sync_wr_ptr_1[1] ),
-    .B(\u_async_wb.u_cmd_if.sync_wr_ptr[2] ),
+    .Y(_0909_));
+ sky130_fd_sc_hd__and4_2 _3442_ (.A(_0896_),
+    .B(la_data_in[1]),
+    .C(\u_uart2wb.tx_data_avail ),
+    .D(_0893_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_0911_));
- sky130_fd_sc_hd__xor2_1 _3406_ (.A(\u_async_wb.u_cmd_if.rd_ptr[0] ),
-    .B(\u_async_wb.u_cmd_if.sync_wr_ptr_1[0] ),
+    .X(_0910_));
+ sky130_fd_sc_hd__clkbuf_2 _3443_ (.A(_0910_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0911_));
+ sky130_fd_sc_hd__o21bai_1 _3444_ (.A1(_0909_),
+    .A2(_0907_),
+    .B1_N(_0911_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_0005_));
+ sky130_fd_sc_hd__or2_1 _3445_ (.A(la_data_in[16]),
+    .B(la_data_in[17]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0912_));
- sky130_fd_sc_hd__xnor2_1 _3407_ (.A(_0911_),
-    .B(_0912_),
+ sky130_fd_sc_hd__a32o_1 _3446_ (.A1(_0901_),
+    .A2(_0912_),
+    .A3(_0907_),
+    .B1(\u_uart2wb.u_core.u_txfsm.txstate[2] ),
+    .B2(_0890_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_0913_));
- sky130_fd_sc_hd__a211o_1 _3408_ (.A1(_0907_),
-    .A2(net205),
-    .B1(_0910_),
-    .C1(_0913_),
+    .X(_0004_));
+ sky130_fd_sc_hd__xor2_1 _3447_ (.A(\u_async_wb.u_cmd_if.sync_wr_ptr_1[1] ),
+    .B(\u_async_wb.u_cmd_if.sync_wr_ptr[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0913_));
+ sky130_fd_sc_hd__xor2_1 _3448_ (.A(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+    .B(net202),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0914_));
- sky130_fd_sc_hd__buf_2 _3409_ (.A(_0914_),
+ sky130_fd_sc_hd__xnor2_2 _3449_ (.A(_0913_),
+    .B(_0914_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0915_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _3410_ (.A(_0915_),
+    .Y(_0915_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _3450_ (.A(\u_async_wb.u_cmd_if.grey_rd_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0916_));
- sky130_fd_sc_hd__clkbuf_2 _3411_ (.A(_0916_),
+ sky130_fd_sc_hd__nor2_1 _3451_ (.A(\u_async_wb.u_cmd_if.sync_wr_ptr[2] ),
+    .B(_0916_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0917_));
- sky130_fd_sc_hd__clkbuf_1 _3412_ (.A(_0917_),
+    .Y(_0917_));
+ sky130_fd_sc_hd__and2_1 _3452_ (.A(\u_async_wb.u_cmd_if.sync_wr_ptr[2] ),
+    .B(net204),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0918_));
- sky130_fd_sc_hd__mux4_2 _3413_ (.A0(\u_async_wb.u_cmd_if.mem[0][36] ),
+ sky130_fd_sc_hd__xor2_1 _3453_ (.A(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+    .B(\u_async_wb.u_cmd_if.sync_wr_ptr_1[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0919_));
+ sky130_fd_sc_hd__mux2_2 _3454_ (.A0(_0917_),
+    .A1(net205),
+    .S(_0919_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0920_));
+ sky130_fd_sc_hd__mux4_2 _3455_ (.A0(\u_async_wb.u_cmd_if.mem[0][36] ),
     .A1(\u_async_wb.u_cmd_if.mem[1][36] ),
     .A2(\u_async_wb.u_cmd_if.mem[2][36] ),
     .A3(\u_async_wb.u_cmd_if.mem[3][36] ),
@@ -65721,255 +66240,233 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0919_));
- sky130_fd_sc_hd__and2_1 _3414_ (.A(_0918_),
-    .B(net197),
+    .X(_0921_));
+ sky130_fd_sc_hd__a21boi_4 _3456_ (.A1(_0915_),
+    .A2(_0920_),
+    .B1_N(_0921_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0920_));
- sky130_fd_sc_hd__clkbuf_1 _3415_ (.A(_0920_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net176));
- sky130_fd_sc_hd__nor2_1 _3416_ (.A(\u_arb.gnt[0] ),
+    .Y(net176));
+ sky130_fd_sc_hd__nor2_1 _3457_ (.A(\u_arb.gnt[0] ),
     .B(\u_arb.gnt[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_0921_));
- sky130_fd_sc_hd__clkbuf_2 _3417_ (.A(_0921_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0922_));
- sky130_fd_sc_hd__o21a_1 _3418_ (.A1(\u_arb.gnt[0] ),
-    .A2(\u_arb.gnt[1] ),
-    .B1(\u_uart2wb.reg_addr[23] ),
+    .Y(_0922_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _3458_ (.A(_0922_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0923_));
- sky130_fd_sc_hd__a21o_2 _3419_ (.A1(wbm_adr_i[23]),
-    .A2(_0922_),
-    .B1(_0923_),
+ sky130_fd_sc_hd__mux2_4 _3459_ (.A0(\u_uart2wb.reg_wr ),
+    .A1(wbm_we_i),
+    .S(_0923_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0924_));
- sky130_fd_sc_hd__nand2_2 _3420_ (.A(wb_req),
-    .B(_0924_),
+ sky130_fd_sc_hd__inv_2 _3460_ (.A(_0924_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_0925_));
- sky130_fd_sc_hd__clkbuf_2 _3421_ (.A(_0925_),
+ sky130_fd_sc_hd__clkbuf_2 _3461_ (.A(\u_async_wb.u_cmd_if.wr_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0926_));
- sky130_fd_sc_hd__clkbuf_2 _3422_ (.A(\u_async_wb.u_cmd_if.wr_ptr[1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0927_));
- sky130_fd_sc_hd__xnor2_4 _3423_ (.A(\u_async_wb.u_cmd_if.sync_rd_ptr_1[1] ),
+ sky130_fd_sc_hd__xnor2_4 _3462_ (.A(\u_async_wb.u_cmd_if.sync_rd_ptr_1[1] ),
     .B(\u_async_wb.u_cmd_if.sync_rd_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_0928_));
- sky130_fd_sc_hd__xor2_2 _3424_ (.A(\u_async_wb.u_cmd_if.sync_rd_ptr_1[0] ),
-    .B(_0928_),
+    .Y(_0927_));
+ sky130_fd_sc_hd__xor2_4 _3463_ (.A(\u_async_wb.u_cmd_if.sync_rd_ptr_1[0] ),
+    .B(_0927_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0928_));
+ sky130_fd_sc_hd__clkbuf_2 _3464_ (.A(\u_async_wb.u_cmd_if.wr_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0929_));
- sky130_fd_sc_hd__clkbuf_2 _3425_ (.A(\u_async_wb.u_cmd_if.wr_ptr[0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0930_));
- sky130_fd_sc_hd__xor2_2 _3426_ (.A(\u_async_wb.u_cmd_if.sync_rd_ptr[2] ),
+ sky130_fd_sc_hd__or2_1 _3465_ (.A(\u_async_wb.u_cmd_if.sync_rd_ptr[2] ),
     .B(\u_async_wb.u_cmd_if.grey_wr_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0931_));
- sky130_fd_sc_hd__o21ai_2 _3427_ (.A1(_0927_),
-    .A2(_0928_),
-    .B1(_0931_),
+    .X(_0930_));
+ sky130_fd_sc_hd__nand2_1 _3466_ (.A(\u_async_wb.u_cmd_if.sync_rd_ptr[2] ),
+    .B(\u_async_wb.u_cmd_if.grey_wr_ptr[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_0931_));
+ sky130_fd_sc_hd__o211ai_2 _3467_ (.A1(_0926_),
+    .A2(_0927_),
+    .B1(_0930_),
+    .C1(_0931_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_0932_));
- sky130_fd_sc_hd__a221oi_4 _3428_ (.A1(_0927_),
-    .A2(_0928_),
-    .B1(_0929_),
-    .B2(_0930_),
+ sky130_fd_sc_hd__a221oi_4 _3468_ (.A1(_0926_),
+    .A2(_0927_),
+    .B1(_0928_),
+    .B2(_0929_),
     .C1(_0932_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_0933_));
- sky130_fd_sc_hd__a21o_1 _3429_ (.A1(\u_async_wb.u_cmd_if.wr_ptr[1] ),
-    .A2(_0928_),
-    .B1(_0931_),
+ sky130_fd_sc_hd__a22o_1 _3469_ (.A1(\u_async_wb.u_cmd_if.wr_ptr[1] ),
+    .A2(_0927_),
+    .B1(_0930_),
+    .B2(_0931_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0934_));
- sky130_fd_sc_hd__clkinv_2 _3430_ (.A(wb_req),
+ sky130_fd_sc_hd__o21a_1 _3470_ (.A1(\u_arb.gnt[0] ),
+    .A2(\u_arb.gnt[1] ),
+    .B1(\u_uart2wb.reg_addr[23] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_0935_));
- sky130_fd_sc_hd__a2111o_4 _3431_ (.A1(wbm_adr_i[23]),
-    .A2(_0921_),
-    .B1(_0923_),
-    .C1(_0935_),
+    .X(_0935_));
+ sky130_fd_sc_hd__inv_2 _3471_ (.A(wb_req),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_0936_));
+ sky130_fd_sc_hd__a2111o_4 _3472_ (.A1(wbm_adr_i[23]),
+    .A2(_0922_),
+    .B1(_0935_),
+    .C1(_0936_),
     .D1(\u_async_wb.PendingRd ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0936_));
- sky130_fd_sc_hd__a41o_1 _3432_ (.A1(\u_async_wb.u_cmd_if.wr_ptr[0] ),
-    .A2(_0929_),
+    .X(_0937_));
+ sky130_fd_sc_hd__a41o_1 _3473_ (.A1(\u_async_wb.u_cmd_if.wr_ptr[0] ),
+    .A2(_0928_),
     .A3(_0932_),
     .A4(_0934_),
-    .B1(_0936_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0937_));
- sky130_fd_sc_hd__mux2_4 _3433_ (.A0(\u_uart2wb.reg_wr ),
-    .A1(wbm_we_i),
-    .S(_0922_),
+    .B1(_0937_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0938_));
- sky130_fd_sc_hd__clkinv_2 _3434_ (.A(_0938_),
+ sky130_fd_sc_hd__or3_4 _3474_ (.A(_0925_),
+    .B(_0933_),
+    .C(_0938_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_0939_));
- sky130_fd_sc_hd__or3_4 _3435_ (.A(_0933_),
-    .B(_0937_),
-    .C(_0939_),
+    .X(_0939_));
+ sky130_fd_sc_hd__xor2_4 _3475_ (.A(\u_async_wb.u_resp_if.rd_ptr[0] ),
+    .B(\u_async_wb.u_resp_if.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0940_));
- sky130_fd_sc_hd__clkbuf_2 _3436_ (.A(_0938_),
+ sky130_fd_sc_hd__xnor2_4 _3476_ (.A(\u_async_wb.u_resp_if.sync_wr_ptr_1[0] ),
+    .B(_0940_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0941_));
- sky130_fd_sc_hd__clkbuf_2 _3437_ (.A(\u_async_wb.u_resp_if.rd_ptr[1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0942_));
- sky130_fd_sc_hd__and2_1 _3438_ (.A(_0942_),
+    .Y(_0941_));
+ sky130_fd_sc_hd__xnor2_4 _3477_ (.A(\u_async_wb.u_resp_if.rd_ptr[1] ),
     .B(\u_async_wb.u_resp_if.sync_wr_ptr_1[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .Y(_0942_));
+ sky130_fd_sc_hd__a21o_2 _3478_ (.A1(wbm_adr_i[23]),
+    .A2(_0923_),
+    .B1(_0935_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .X(_0943_));
- sky130_fd_sc_hd__nor2_1 _3439_ (.A(_0942_),
-    .B(\u_async_wb.u_resp_if.sync_wr_ptr_1[1] ),
+ sky130_fd_sc_hd__a211o_1 _3479_ (.A1(_0941_),
+    .A2(_0942_),
+    .B1(_0936_),
+    .C1(_0943_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_0944_));
- sky130_fd_sc_hd__xor2_2 _3440_ (.A(\u_async_wb.u_resp_if.rd_ptr[1] ),
-    .B(\u_async_wb.u_resp_if.rd_ptr[0] ),
+    .X(_0944_));
+ sky130_fd_sc_hd__nand2_1 _3480_ (.A(wb_req),
+    .B(_0943_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0945_));
- sky130_fd_sc_hd__xnor2_1 _3441_ (.A(\u_async_wb.u_resp_if.sync_wr_ptr_1[0] ),
+    .Y(_0945_));
+ sky130_fd_sc_hd__o21a_1 _3481_ (.A1(_0924_),
+    .A2(_0944_),
+    .B1(_0945_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0946_));
+ sky130_fd_sc_hd__nor2_2 _3482_ (.A(reg_ack),
     .B(_0945_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_0946_));
- sky130_fd_sc_hd__o21a_4 _3442_ (.A1(_0943_),
-    .A2(_0944_),
-    .B1(_0946_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0947_));
- sky130_fd_sc_hd__or4_2 _3443_ (.A(_0935_),
-    .B(_0924_),
-    .C(_0941_),
-    .D(_0947_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0948_));
- sky130_fd_sc_hd__nor2_2 _3444_ (.A(reg_ack),
-    .B(_0925_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
     .Y(_0000_));
- sky130_fd_sc_hd__a31oi_4 _3445_ (.A1(_0926_),
-    .A2(_0940_),
-    .A3(_0948_),
+ sky130_fd_sc_hd__a21oi_4 _3483_ (.A1(_0939_),
+    .A2(_0946_),
     .B1(_0000_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_0949_));
- sky130_fd_sc_hd__clkbuf_4 _3446_ (.A(_0949_),
+    .Y(_0947_));
+ sky130_fd_sc_hd__clkbuf_2 _3484_ (.A(_0947_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0950_));
- sky130_fd_sc_hd__clkbuf_2 _3447_ (.A(_0950_),
+    .X(_0948_));
+ sky130_fd_sc_hd__buf_2 _3485_ (.A(_0948_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(wb_ack_o1));
- sky130_fd_sc_hd__nor3b_1 _3448_ (.A(wb_ack_o),
+ sky130_fd_sc_hd__nor3b_1 _3486_ (.A(wb_ack_o),
     .B(wb_ack_o1),
     .C_N(\u_delay2_stb2.X ),
     .VGND(vssd1),
@@ -65977,2725 +66474,2744 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_0001_));
- sky130_fd_sc_hd__and2b_1 _3449_ (.A_N(net191),
-    .B(_0917_),
+ sky130_fd_sc_hd__a21o_1 _3487_ (.A1(_0915_),
+    .A2(_0920_),
+    .B1(net193),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0949_));
+ sky130_fd_sc_hd__clkinv_2 _3488_ (.A(net198),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(net138));
+ sky130_fd_sc_hd__nand2_2 _3489_ (.A(net203),
+    .B(net206),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_0950_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _3490_ (.A(_0950_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0951_));
- sky130_fd_sc_hd__clkbuf_2 _3450_ (.A(_0951_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net138));
- sky130_fd_sc_hd__clkbuf_2 _3451_ (.A(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _3491_ (.A(_0951_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0952_));
- sky130_fd_sc_hd__clkbuf_4 _3452_ (.A(_0952_),
+ sky130_fd_sc_hd__clkbuf_1 _3492_ (.A(_0952_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0953_));
- sky130_fd_sc_hd__buf_2 _3453_ (.A(_0953_),
+ sky130_fd_sc_hd__buf_2 _3493_ (.A(\u_async_wb.u_cmd_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0954_));
- sky130_fd_sc_hd__clkbuf_4 _3454_ (.A(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__clkbuf_4 _3494_ (.A(_0954_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0955_));
- sky130_fd_sc_hd__clkbuf_4 _3455_ (.A(_0955_),
+ sky130_fd_sc_hd__buf_2 _3495_ (.A(_0955_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0956_));
- sky130_fd_sc_hd__buf_2 _3456_ (.A(_0956_),
+ sky130_fd_sc_hd__clkbuf_4 _3496_ (.A(\u_async_wb.u_cmd_if.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0957_));
- sky130_fd_sc_hd__mux4_2 _3457_ (.A0(\u_async_wb.u_cmd_if.mem[0][0] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][0] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][0] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][0] ),
-    .S0(_0954_),
-    .S1(_0957_),
+ sky130_fd_sc_hd__clkbuf_4 _3497_ (.A(_0957_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0958_));
- sky130_fd_sc_hd__and2_1 _3458_ (.A(_0918_),
-    .B(_0958_),
+ sky130_fd_sc_hd__buf_2 _3498_ (.A(_0958_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0959_));
- sky130_fd_sc_hd__clkbuf_1 _3459_ (.A(_0959_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net171));
- sky130_fd_sc_hd__mux4_2 _3460_ (.A0(\u_async_wb.u_cmd_if.mem[0][1] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][1] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][1] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][1] ),
-    .S0(_0954_),
-    .S1(_0957_),
+ sky130_fd_sc_hd__mux4_2 _3499_ (.A0(\u_async_wb.u_cmd_if.mem[0][0] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][0] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][0] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][0] ),
+    .S0(_0956_),
+    .S1(_0959_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0960_));
- sky130_fd_sc_hd__and2_1 _3461_ (.A(_0918_),
+ sky130_fd_sc_hd__and2_1 _3500_ (.A(_0953_),
     .B(_0960_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0961_));
- sky130_fd_sc_hd__clkbuf_1 _3462_ (.A(_0961_),
+ sky130_fd_sc_hd__clkbuf_1 _3501_ (.A(_0961_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net172));
- sky130_fd_sc_hd__buf_2 _3463_ (.A(_0953_),
+    .X(net171));
+ sky130_fd_sc_hd__mux4_2 _3502_ (.A0(\u_async_wb.u_cmd_if.mem[0][1] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][1] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][1] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][1] ),
+    .S0(_0956_),
+    .S1(_0959_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0962_));
- sky130_fd_sc_hd__mux4_2 _3464_ (.A0(\u_async_wb.u_cmd_if.mem[0][2] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][2] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][2] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][2] ),
-    .S0(_0962_),
-    .S1(_0957_),
+ sky130_fd_sc_hd__and2_1 _3503_ (.A(_0953_),
+    .B(_0962_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0963_));
- sky130_fd_sc_hd__and2_1 _3465_ (.A(_0918_),
-    .B(_0963_),
+ sky130_fd_sc_hd__clkbuf_1 _3504_ (.A(_0963_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net172));
+ sky130_fd_sc_hd__clkbuf_4 _3505_ (.A(_0955_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0964_));
- sky130_fd_sc_hd__clkbuf_1 _3466_ (.A(_0964_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net173));
- sky130_fd_sc_hd__clkbuf_1 _3467_ (.A(_0917_),
+ sky130_fd_sc_hd__mux4_2 _3506_ (.A0(\u_async_wb.u_cmd_if.mem[0][2] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][2] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][2] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][2] ),
+    .S0(_0964_),
+    .S1(_0959_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0965_));
- sky130_fd_sc_hd__clkbuf_2 _3468_ (.A(_0956_),
+ sky130_fd_sc_hd__and2_1 _3507_ (.A(_0953_),
+    .B(_0965_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0966_));
- sky130_fd_sc_hd__mux4_2 _3469_ (.A0(\u_async_wb.u_cmd_if.mem[0][3] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][3] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][3] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][3] ),
-    .S0(_0962_),
-    .S1(_0966_),
+ sky130_fd_sc_hd__clkbuf_1 _3508_ (.A(_0966_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net173));
+ sky130_fd_sc_hd__clkbuf_2 _3509_ (.A(_0958_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0967_));
- sky130_fd_sc_hd__and2_1 _3470_ (.A(_0965_),
-    .B(_0967_),
+ sky130_fd_sc_hd__mux4_2 _3510_ (.A0(\u_async_wb.u_cmd_if.mem[0][3] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][3] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][3] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][3] ),
+    .S0(_0964_),
+    .S1(_0967_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0968_));
- sky130_fd_sc_hd__clkbuf_1 _3471_ (.A(_0968_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net174));
- sky130_fd_sc_hd__mux4_2 _3472_ (.A0(\u_async_wb.u_cmd_if.mem[0][4] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][4] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][4] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][4] ),
-    .S0(_0962_),
-    .S1(_0966_),
+ sky130_fd_sc_hd__and2_1 _3511_ (.A(_0953_),
+    .B(_0968_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0969_));
- sky130_fd_sc_hd__and2_1 _3473_ (.A(_0965_),
-    .B(_0969_),
+ sky130_fd_sc_hd__clkbuf_1 _3512_ (.A(_0969_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net174));
+ sky130_fd_sc_hd__clkbuf_1 _3513_ (.A(_0952_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0970_));
- sky130_fd_sc_hd__clkbuf_1 _3474_ (.A(_0970_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net139));
- sky130_fd_sc_hd__mux4_2 _3475_ (.A0(\u_async_wb.u_cmd_if.mem[0][5] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][5] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][5] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][5] ),
-    .S0(_0962_),
-    .S1(_0966_),
+ sky130_fd_sc_hd__mux4_2 _3514_ (.A0(\u_async_wb.u_cmd_if.mem[0][4] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][4] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][4] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][4] ),
+    .S0(_0964_),
+    .S1(_0967_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0971_));
- sky130_fd_sc_hd__and2_1 _3476_ (.A(_0965_),
+ sky130_fd_sc_hd__and2_1 _3515_ (.A(_0970_),
     .B(_0971_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0972_));
- sky130_fd_sc_hd__clkbuf_1 _3477_ (.A(_0972_),
+ sky130_fd_sc_hd__clkbuf_1 _3516_ (.A(_0972_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net150));
- sky130_fd_sc_hd__clkbuf_4 _3478_ (.A(_0952_),
+    .X(net139));
+ sky130_fd_sc_hd__mux4_2 _3517_ (.A0(\u_async_wb.u_cmd_if.mem[0][5] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][5] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][5] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][5] ),
+    .S0(_0964_),
+    .S1(_0967_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0973_));
- sky130_fd_sc_hd__buf_2 _3479_ (.A(_0973_),
+ sky130_fd_sc_hd__and2_1 _3518_ (.A(_0970_),
+    .B(_0973_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0974_));
- sky130_fd_sc_hd__buf_2 _3480_ (.A(_0974_),
+ sky130_fd_sc_hd__clkbuf_1 _3519_ (.A(_0974_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net150));
+ sky130_fd_sc_hd__buf_2 _3520_ (.A(_0954_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0975_));
- sky130_fd_sc_hd__mux4_2 _3481_ (.A0(\u_async_wb.u_cmd_if.mem[0][6] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][6] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][6] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][6] ),
-    .S0(_0975_),
-    .S1(_0966_),
+ sky130_fd_sc_hd__buf_2 _3521_ (.A(_0975_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0976_));
- sky130_fd_sc_hd__and2_1 _3482_ (.A(_0965_),
-    .B(_0976_),
+ sky130_fd_sc_hd__buf_2 _3522_ (.A(_0976_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0977_));
- sky130_fd_sc_hd__clkbuf_1 _3483_ (.A(_0977_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net161));
- sky130_fd_sc_hd__clkbuf_1 _3484_ (.A(_0915_),
+ sky130_fd_sc_hd__mux4_2 _3523_ (.A0(\u_async_wb.u_cmd_if.mem[0][6] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][6] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][6] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][6] ),
+    .S0(_0977_),
+    .S1(_0967_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0978_));
- sky130_fd_sc_hd__clkbuf_1 _3485_ (.A(_0978_),
+ sky130_fd_sc_hd__and2_1 _3524_ (.A(_0970_),
+    .B(_0978_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0979_));
- sky130_fd_sc_hd__clkbuf_2 _3486_ (.A(_0955_),
+ sky130_fd_sc_hd__clkbuf_1 _3525_ (.A(_0979_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net161));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _3526_ (.A(_0957_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0980_));
- sky130_fd_sc_hd__clkbuf_4 _3487_ (.A(_0980_),
+ sky130_fd_sc_hd__clkbuf_4 _3527_ (.A(_0980_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0981_));
- sky130_fd_sc_hd__clkbuf_2 _3488_ (.A(_0981_),
+ sky130_fd_sc_hd__clkbuf_2 _3528_ (.A(_0981_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0982_));
- sky130_fd_sc_hd__mux4_1 _3489_ (.A0(\u_async_wb.u_cmd_if.mem[0][7] ),
+ sky130_fd_sc_hd__mux4_1 _3529_ (.A0(\u_async_wb.u_cmd_if.mem[0][7] ),
     .A1(\u_async_wb.u_cmd_if.mem[1][7] ),
     .A2(\u_async_wb.u_cmd_if.mem[2][7] ),
     .A3(\u_async_wb.u_cmd_if.mem[3][7] ),
-    .S0(_0975_),
+    .S0(_0977_),
     .S1(_0982_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0983_));
- sky130_fd_sc_hd__and2_1 _3490_ (.A(net203),
+ sky130_fd_sc_hd__and2_1 _3530_ (.A(_0970_),
     .B(_0983_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0984_));
- sky130_fd_sc_hd__clkbuf_1 _3491_ (.A(_0984_),
+ sky130_fd_sc_hd__clkbuf_1 _3531_ (.A(_0984_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net164));
- sky130_fd_sc_hd__mux4_2 _3492_ (.A0(\u_async_wb.u_cmd_if.mem[0][8] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][8] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][8] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][8] ),
-    .S0(_0975_),
-    .S1(_0982_),
+ sky130_fd_sc_hd__clkbuf_1 _3532_ (.A(_0952_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0985_));
- sky130_fd_sc_hd__and2_1 _3493_ (.A(net203),
-    .B(_0985_),
+ sky130_fd_sc_hd__mux4_1 _3533_ (.A0(\u_async_wb.u_cmd_if.mem[0][8] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][8] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][8] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][8] ),
+    .S0(_0977_),
+    .S1(_0982_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0986_));
- sky130_fd_sc_hd__clkbuf_1 _3494_ (.A(_0986_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net165));
- sky130_fd_sc_hd__mux4_1 _3495_ (.A0(\u_async_wb.u_cmd_if.mem[0][9] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][9] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][9] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][9] ),
-    .S0(_0975_),
-    .S1(_0982_),
+ sky130_fd_sc_hd__and2_1 _3534_ (.A(_0985_),
+    .B(_0986_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0987_));
- sky130_fd_sc_hd__and2_1 _3496_ (.A(net203),
-    .B(_0987_),
+ sky130_fd_sc_hd__clkbuf_1 _3535_ (.A(_0987_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0988_));
- sky130_fd_sc_hd__clkbuf_1 _3497_ (.A(_0988_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net166));
- sky130_fd_sc_hd__clkbuf_4 _3498_ (.A(_0974_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0989_));
- sky130_fd_sc_hd__mux4_1 _3499_ (.A0(\u_async_wb.u_cmd_if.mem[0][10] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][10] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][10] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][10] ),
-    .S0(_0989_),
+    .X(net165));
+ sky130_fd_sc_hd__mux4_1 _3536_ (.A0(\u_async_wb.u_cmd_if.mem[0][9] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][9] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][9] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][9] ),
+    .S0(_0977_),
     .S1(_0982_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .X(_0988_));
+ sky130_fd_sc_hd__and2_1 _3537_ (.A(_0985_),
+    .B(_0988_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0989_));
+ sky130_fd_sc_hd__clkbuf_1 _3538_ (.A(_0989_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net166));
+ sky130_fd_sc_hd__clkbuf_4 _3539_ (.A(_0976_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .X(_0990_));
- sky130_fd_sc_hd__and2_1 _3500_ (.A(net203),
-    .B(_0990_),
+ sky130_fd_sc_hd__mux4_2 _3540_ (.A0(\u_async_wb.u_cmd_if.mem[0][10] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][10] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][10] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][10] ),
+    .S0(_0990_),
+    .S1(_0982_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0991_));
- sky130_fd_sc_hd__clkbuf_1 _3501_ (.A(_0991_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net167));
- sky130_fd_sc_hd__clkbuf_1 _3502_ (.A(net204),
+ sky130_fd_sc_hd__and2_1 _3541_ (.A(_0985_),
+    .B(_0991_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0992_));
- sky130_fd_sc_hd__clkbuf_2 _3503_ (.A(_0981_),
+ sky130_fd_sc_hd__clkbuf_1 _3542_ (.A(_0992_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net167));
+ sky130_fd_sc_hd__clkbuf_2 _3543_ (.A(_0981_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0993_));
- sky130_fd_sc_hd__mux4_2 _3504_ (.A0(\u_async_wb.u_cmd_if.mem[0][11] ),
+ sky130_fd_sc_hd__mux4_2 _3544_ (.A0(\u_async_wb.u_cmd_if.mem[0][11] ),
     .A1(\u_async_wb.u_cmd_if.mem[1][11] ),
     .A2(\u_async_wb.u_cmd_if.mem[2][11] ),
     .A3(\u_async_wb.u_cmd_if.mem[3][11] ),
-    .S0(_0989_),
+    .S0(_0990_),
     .S1(_0993_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0994_));
- sky130_fd_sc_hd__and2_1 _3505_ (.A(_0992_),
+ sky130_fd_sc_hd__and2_1 _3545_ (.A(_0985_),
     .B(_0994_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0995_));
- sky130_fd_sc_hd__clkbuf_1 _3506_ (.A(_0995_),
+ sky130_fd_sc_hd__clkbuf_1 _3546_ (.A(_0995_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net168));
- sky130_fd_sc_hd__mux4_2 _3507_ (.A0(\u_async_wb.u_cmd_if.mem[0][12] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][12] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][12] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][12] ),
-    .S0(_0989_),
-    .S1(_0993_),
+ sky130_fd_sc_hd__clkbuf_1 _3547_ (.A(_0952_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0996_));
- sky130_fd_sc_hd__and2_1 _3508_ (.A(_0992_),
-    .B(_0996_),
+ sky130_fd_sc_hd__mux4_2 _3548_ (.A0(\u_async_wb.u_cmd_if.mem[0][12] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][12] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][12] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][12] ),
+    .S0(_0990_),
+    .S1(_0993_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0997_));
- sky130_fd_sc_hd__clkbuf_1 _3509_ (.A(_0997_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net169));
- sky130_fd_sc_hd__mux4_2 _3510_ (.A0(\u_async_wb.u_cmd_if.mem[0][13] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][13] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][13] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][13] ),
-    .S0(_0989_),
-    .S1(_0993_),
+ sky130_fd_sc_hd__and2_1 _3549_ (.A(_0996_),
+    .B(_0997_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0998_));
- sky130_fd_sc_hd__and2_1 _3511_ (.A(_0992_),
-    .B(_0998_),
+ sky130_fd_sc_hd__clkbuf_1 _3550_ (.A(_0998_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0999_));
- sky130_fd_sc_hd__clkbuf_1 _3512_ (.A(_0999_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net170));
- sky130_fd_sc_hd__buf_2 _3513_ (.A(_0974_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1000_));
- sky130_fd_sc_hd__mux4_2 _3514_ (.A0(\u_async_wb.u_cmd_if.mem[0][14] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][14] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][14] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][14] ),
-    .S0(_1000_),
+    .X(net169));
+ sky130_fd_sc_hd__mux4_2 _3551_ (.A0(\u_async_wb.u_cmd_if.mem[0][13] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][13] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][13] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][13] ),
+    .S0(_0990_),
     .S1(_0993_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .X(_0999_));
+ sky130_fd_sc_hd__and2_1 _3552_ (.A(_0996_),
+    .B(_0999_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1000_));
+ sky130_fd_sc_hd__clkbuf_1 _3553_ (.A(_1000_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net170));
+ sky130_fd_sc_hd__clkbuf_4 _3554_ (.A(_0976_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .X(_1001_));
- sky130_fd_sc_hd__and2_1 _3515_ (.A(_0992_),
-    .B(_1001_),
+ sky130_fd_sc_hd__mux4_2 _3555_ (.A0(\u_async_wb.u_cmd_if.mem[0][14] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][14] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][14] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][14] ),
+    .S0(_1001_),
+    .S1(_0993_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1002_));
- sky130_fd_sc_hd__clkbuf_1 _3516_ (.A(_1002_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net140));
- sky130_fd_sc_hd__clkbuf_1 _3517_ (.A(net204),
+ sky130_fd_sc_hd__and2_1 _3556_ (.A(_0996_),
+    .B(_1002_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1003_));
- sky130_fd_sc_hd__clkbuf_2 _3518_ (.A(_0981_),
+ sky130_fd_sc_hd__clkbuf_1 _3557_ (.A(_1003_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net140));
+ sky130_fd_sc_hd__clkbuf_2 _3558_ (.A(_0981_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1004_));
- sky130_fd_sc_hd__mux4_2 _3519_ (.A0(\u_async_wb.u_cmd_if.mem[0][15] ),
+ sky130_fd_sc_hd__mux4_2 _3559_ (.A0(\u_async_wb.u_cmd_if.mem[0][15] ),
     .A1(\u_async_wb.u_cmd_if.mem[1][15] ),
     .A2(\u_async_wb.u_cmd_if.mem[2][15] ),
     .A3(\u_async_wb.u_cmd_if.mem[3][15] ),
-    .S0(_1000_),
+    .S0(_1001_),
     .S1(_1004_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1005_));
- sky130_fd_sc_hd__and2_1 _3520_ (.A(_1003_),
+ sky130_fd_sc_hd__and2_1 _3560_ (.A(_0996_),
     .B(_1005_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1006_));
- sky130_fd_sc_hd__clkbuf_1 _3521_ (.A(_1006_),
+ sky130_fd_sc_hd__clkbuf_1 _3561_ (.A(_1006_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net141));
- sky130_fd_sc_hd__mux4_2 _3522_ (.A0(\u_async_wb.u_cmd_if.mem[0][16] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][16] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][16] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][16] ),
-    .S0(_1000_),
-    .S1(_1004_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _3562_ (.A(_0951_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1007_));
- sky130_fd_sc_hd__and2_1 _3523_ (.A(_1003_),
-    .B(_1007_),
+ sky130_fd_sc_hd__clkbuf_1 _3563_ (.A(_1007_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1008_));
- sky130_fd_sc_hd__clkbuf_1 _3524_ (.A(_1008_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net142));
- sky130_fd_sc_hd__mux4_2 _3525_ (.A0(\u_async_wb.u_cmd_if.mem[0][17] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][17] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][17] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][17] ),
-    .S0(_1000_),
+ sky130_fd_sc_hd__mux4_2 _3564_ (.A0(\u_async_wb.u_cmd_if.mem[0][16] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][16] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][16] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][16] ),
+    .S0(_1001_),
     .S1(_1004_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1009_));
- sky130_fd_sc_hd__and2_1 _3526_ (.A(_1003_),
+ sky130_fd_sc_hd__and2_1 _3565_ (.A(_1008_),
     .B(_1009_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1010_));
- sky130_fd_sc_hd__clkbuf_1 _3527_ (.A(_1010_),
+ sky130_fd_sc_hd__clkbuf_1 _3566_ (.A(_1010_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net143));
- sky130_fd_sc_hd__buf_2 _3528_ (.A(_0974_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1011_));
- sky130_fd_sc_hd__mux4_2 _3529_ (.A0(\u_async_wb.u_cmd_if.mem[0][18] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][18] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][18] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][18] ),
-    .S0(_1011_),
+    .X(net142));
+ sky130_fd_sc_hd__mux4_2 _3567_ (.A0(\u_async_wb.u_cmd_if.mem[0][17] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][17] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][17] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][17] ),
+    .S0(_1001_),
     .S1(_1004_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .X(_1011_));
+ sky130_fd_sc_hd__and2_1 _3568_ (.A(_1008_),
+    .B(_1011_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .X(_1012_));
- sky130_fd_sc_hd__and2_1 _3530_ (.A(_1003_),
-    .B(_1012_),
+ sky130_fd_sc_hd__clkbuf_1 _3569_ (.A(_1012_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net143));
+ sky130_fd_sc_hd__buf_2 _3570_ (.A(_0976_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1013_));
- sky130_fd_sc_hd__clkbuf_1 _3531_ (.A(_1013_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net144));
- sky130_fd_sc_hd__clkbuf_1 _3532_ (.A(net204),
+ sky130_fd_sc_hd__mux4_2 _3571_ (.A0(\u_async_wb.u_cmd_if.mem[0][18] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][18] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][18] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][18] ),
+    .S0(_1013_),
+    .S1(_1004_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1014_));
- sky130_fd_sc_hd__clkbuf_2 _3533_ (.A(_0981_),
+ sky130_fd_sc_hd__and2_1 _3572_ (.A(_1008_),
+    .B(_1014_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1015_));
- sky130_fd_sc_hd__mux4_2 _3534_ (.A0(\u_async_wb.u_cmd_if.mem[0][19] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][19] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][19] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][19] ),
-    .S0(_1011_),
-    .S1(_1015_),
+ sky130_fd_sc_hd__clkbuf_1 _3573_ (.A(_1015_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net144));
+ sky130_fd_sc_hd__clkbuf_2 _3574_ (.A(_0981_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1016_));
- sky130_fd_sc_hd__and2_1 _3535_ (.A(_1014_),
-    .B(_1016_),
+ sky130_fd_sc_hd__mux4_2 _3575_ (.A0(\u_async_wb.u_cmd_if.mem[0][19] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][19] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][19] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][19] ),
+    .S0(_1013_),
+    .S1(_1016_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1017_));
- sky130_fd_sc_hd__clkbuf_1 _3536_ (.A(_1017_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net145));
- sky130_fd_sc_hd__mux4_2 _3537_ (.A0(\u_async_wb.u_cmd_if.mem[0][20] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][20] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][20] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][20] ),
-    .S0(_1011_),
-    .S1(_1015_),
+ sky130_fd_sc_hd__and2_1 _3576_ (.A(_1008_),
+    .B(_1017_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1018_));
- sky130_fd_sc_hd__and2_1 _3538_ (.A(_1014_),
-    .B(_1018_),
+ sky130_fd_sc_hd__clkbuf_1 _3577_ (.A(_1018_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net145));
+ sky130_fd_sc_hd__clkbuf_1 _3578_ (.A(_1007_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1019_));
- sky130_fd_sc_hd__clkbuf_1 _3539_ (.A(_1019_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net146));
- sky130_fd_sc_hd__mux4_2 _3540_ (.A0(\u_async_wb.u_cmd_if.mem[0][21] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][21] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][21] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][21] ),
-    .S0(_1011_),
-    .S1(_1015_),
+ sky130_fd_sc_hd__mux4_2 _3579_ (.A0(\u_async_wb.u_cmd_if.mem[0][20] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][20] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][20] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][20] ),
+    .S0(_1013_),
+    .S1(_1016_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1020_));
- sky130_fd_sc_hd__and2_1 _3541_ (.A(_1014_),
+ sky130_fd_sc_hd__and2_1 _3580_ (.A(_1019_),
     .B(_1020_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1021_));
- sky130_fd_sc_hd__clkbuf_1 _3542_ (.A(_1021_),
+ sky130_fd_sc_hd__clkbuf_1 _3581_ (.A(_1021_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net147));
- sky130_fd_sc_hd__clkbuf_2 _3543_ (.A(_0952_),
+    .X(net146));
+ sky130_fd_sc_hd__mux4_2 _3582_ (.A0(\u_async_wb.u_cmd_if.mem[0][21] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][21] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][21] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][21] ),
+    .S0(_1013_),
+    .S1(_1016_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1022_));
- sky130_fd_sc_hd__buf_2 _3544_ (.A(_1022_),
+ sky130_fd_sc_hd__and2_1 _3583_ (.A(_1019_),
+    .B(_1022_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1023_));
- sky130_fd_sc_hd__mux4_2 _3545_ (.A0(\u_async_wb.u_cmd_if.mem[0][22] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][22] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][22] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][22] ),
-    .S0(_1023_),
-    .S1(_1015_),
+ sky130_fd_sc_hd__clkbuf_1 _3584_ (.A(_1023_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net147));
+ sky130_fd_sc_hd__clkbuf_2 _3585_ (.A(_0954_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1024_));
- sky130_fd_sc_hd__and2_1 _3546_ (.A(_1014_),
-    .B(_1024_),
+ sky130_fd_sc_hd__clkbuf_4 _3586_ (.A(_1024_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1025_));
- sky130_fd_sc_hd__clkbuf_1 _3547_ (.A(_1025_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net148));
- sky130_fd_sc_hd__buf_2 _3548_ (.A(_0915_),
+ sky130_fd_sc_hd__mux4_2 _3587_ (.A0(\u_async_wb.u_cmd_if.mem[0][22] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][22] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][22] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][22] ),
+    .S0(_1025_),
+    .S1(_1016_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1026_));
- sky130_fd_sc_hd__clkbuf_1 _3549_ (.A(_1026_),
+ sky130_fd_sc_hd__and2_1 _3588_ (.A(_1019_),
+    .B(_1026_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1027_));
- sky130_fd_sc_hd__clkbuf_2 _3550_ (.A(_0955_),
+ sky130_fd_sc_hd__clkbuf_1 _3589_ (.A(_1027_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net148));
+ sky130_fd_sc_hd__clkbuf_2 _3590_ (.A(_0957_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1028_));
- sky130_fd_sc_hd__clkbuf_2 _3551_ (.A(_1028_),
+ sky130_fd_sc_hd__clkbuf_2 _3591_ (.A(_1028_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1029_));
- sky130_fd_sc_hd__mux4_2 _3552_ (.A0(\u_async_wb.u_cmd_if.mem[0][23] ),
+ sky130_fd_sc_hd__mux4_2 _3592_ (.A0(\u_async_wb.u_cmd_if.mem[0][23] ),
     .A1(\u_async_wb.u_cmd_if.mem[1][23] ),
     .A2(\u_async_wb.u_cmd_if.mem[2][23] ),
     .A3(\u_async_wb.u_cmd_if.mem[3][23] ),
-    .S0(_1023_),
+    .S0(_1025_),
     .S1(_1029_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1030_));
- sky130_fd_sc_hd__and2_2 _3553_ (.A(_1027_),
+ sky130_fd_sc_hd__and2_1 _3593_ (.A(_1019_),
     .B(_1030_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1031_));
- sky130_fd_sc_hd__clkbuf_1 _3554_ (.A(_1031_),
+ sky130_fd_sc_hd__clkbuf_1 _3594_ (.A(_1031_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net149));
- sky130_fd_sc_hd__mux4_2 _3555_ (.A0(\u_async_wb.u_cmd_if.mem[0][24] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][24] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][24] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][24] ),
-    .S0(_1023_),
-    .S1(_1029_),
+ sky130_fd_sc_hd__clkbuf_1 _3595_ (.A(_1007_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1032_));
- sky130_fd_sc_hd__and2_2 _3556_ (.A(_1027_),
-    .B(_1032_),
+ sky130_fd_sc_hd__mux4_2 _3596_ (.A0(\u_async_wb.u_cmd_if.mem[0][24] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][24] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][24] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][24] ),
+    .S0(_1025_),
+    .S1(_1029_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1033_));
- sky130_fd_sc_hd__clkbuf_1 _3557_ (.A(_1033_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net151));
- sky130_fd_sc_hd__mux4_2 _3558_ (.A0(\u_async_wb.u_cmd_if.mem[0][25] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][25] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][25] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][25] ),
-    .S0(_1023_),
-    .S1(_1029_),
+ sky130_fd_sc_hd__and2_1 _3597_ (.A(_1032_),
+    .B(_1033_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1034_));
- sky130_fd_sc_hd__and2_2 _3559_ (.A(_1027_),
-    .B(_1034_),
+ sky130_fd_sc_hd__clkbuf_1 _3598_ (.A(_1034_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1035_));
- sky130_fd_sc_hd__clkbuf_1 _3560_ (.A(_1035_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net152));
- sky130_fd_sc_hd__buf_2 _3561_ (.A(_1022_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1036_));
- sky130_fd_sc_hd__mux4_2 _3562_ (.A0(\u_async_wb.u_cmd_if.mem[0][26] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][26] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][26] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][26] ),
-    .S0(_1036_),
+    .X(net151));
+ sky130_fd_sc_hd__mux4_2 _3599_ (.A0(\u_async_wb.u_cmd_if.mem[0][25] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][25] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][25] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][25] ),
+    .S0(_1025_),
     .S1(_1029_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .X(_1035_));
+ sky130_fd_sc_hd__and2_1 _3600_ (.A(_1032_),
+    .B(_1035_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1036_));
+ sky130_fd_sc_hd__clkbuf_1 _3601_ (.A(_1036_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net152));
+ sky130_fd_sc_hd__clkbuf_4 _3602_ (.A(_1024_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .X(_1037_));
- sky130_fd_sc_hd__and2_2 _3563_ (.A(_1027_),
-    .B(_1037_),
+ sky130_fd_sc_hd__mux4_2 _3603_ (.A0(\u_async_wb.u_cmd_if.mem[0][26] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][26] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][26] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][26] ),
+    .S0(_1037_),
+    .S1(_1029_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1038_));
- sky130_fd_sc_hd__clkbuf_1 _3564_ (.A(_1038_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net153));
- sky130_fd_sc_hd__clkbuf_1 _3565_ (.A(_1026_),
+ sky130_fd_sc_hd__and2_1 _3604_ (.A(_1032_),
+    .B(_1038_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1039_));
- sky130_fd_sc_hd__clkbuf_2 _3566_ (.A(_1028_),
+ sky130_fd_sc_hd__clkbuf_1 _3605_ (.A(_1039_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net153));
+ sky130_fd_sc_hd__clkbuf_2 _3606_ (.A(_1028_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1040_));
- sky130_fd_sc_hd__mux4_2 _3567_ (.A0(\u_async_wb.u_cmd_if.mem[0][27] ),
+ sky130_fd_sc_hd__mux4_2 _3607_ (.A0(\u_async_wb.u_cmd_if.mem[0][27] ),
     .A1(\u_async_wb.u_cmd_if.mem[1][27] ),
     .A2(\u_async_wb.u_cmd_if.mem[2][27] ),
     .A3(\u_async_wb.u_cmd_if.mem[3][27] ),
-    .S0(_1036_),
+    .S0(_1037_),
     .S1(_1040_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1041_));
- sky130_fd_sc_hd__and2_2 _3568_ (.A(_1039_),
+ sky130_fd_sc_hd__and2_1 _3608_ (.A(_1032_),
     .B(_1041_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1042_));
- sky130_fd_sc_hd__clkbuf_1 _3569_ (.A(_1042_),
+ sky130_fd_sc_hd__clkbuf_1 _3609_ (.A(_1042_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net154));
- sky130_fd_sc_hd__mux4_2 _3570_ (.A0(\u_async_wb.u_cmd_if.mem[0][28] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][28] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][28] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][28] ),
-    .S0(_1036_),
-    .S1(_1040_),
+ sky130_fd_sc_hd__clkbuf_1 _3610_ (.A(_1007_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1043_));
- sky130_fd_sc_hd__and2_2 _3571_ (.A(_1039_),
-    .B(_1043_),
+ sky130_fd_sc_hd__mux4_2 _3611_ (.A0(\u_async_wb.u_cmd_if.mem[0][28] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][28] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][28] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][28] ),
+    .S0(_1037_),
+    .S1(_1040_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1044_));
- sky130_fd_sc_hd__clkbuf_1 _3572_ (.A(_1044_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net155));
- sky130_fd_sc_hd__mux4_2 _3573_ (.A0(\u_async_wb.u_cmd_if.mem[0][29] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][29] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][29] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][29] ),
-    .S0(_1036_),
-    .S1(_1040_),
+ sky130_fd_sc_hd__and2_1 _3612_ (.A(_1043_),
+    .B(_1044_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1045_));
- sky130_fd_sc_hd__and2_2 _3574_ (.A(_1039_),
-    .B(_1045_),
+ sky130_fd_sc_hd__clkbuf_1 _3613_ (.A(_1045_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1046_));
- sky130_fd_sc_hd__clkbuf_1 _3575_ (.A(_1046_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net156));
- sky130_fd_sc_hd__buf_2 _3576_ (.A(_1022_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1047_));
- sky130_fd_sc_hd__mux4_2 _3577_ (.A0(\u_async_wb.u_cmd_if.mem[0][30] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][30] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][30] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][30] ),
-    .S0(_1047_),
+    .X(net155));
+ sky130_fd_sc_hd__mux4_2 _3614_ (.A0(\u_async_wb.u_cmd_if.mem[0][29] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][29] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][29] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][29] ),
+    .S0(_1037_),
     .S1(_1040_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .X(_1046_));
+ sky130_fd_sc_hd__and2_1 _3615_ (.A(_1043_),
+    .B(_1046_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1047_));
+ sky130_fd_sc_hd__clkbuf_1 _3616_ (.A(_1047_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net156));
+ sky130_fd_sc_hd__buf_2 _3617_ (.A(_1024_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .X(_1048_));
- sky130_fd_sc_hd__and2_2 _3578_ (.A(_1039_),
-    .B(_1048_),
+ sky130_fd_sc_hd__mux4_2 _3618_ (.A0(\u_async_wb.u_cmd_if.mem[0][30] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][30] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][30] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][30] ),
+    .S0(_1048_),
+    .S1(_1040_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1049_));
- sky130_fd_sc_hd__clkbuf_1 _3579_ (.A(_1049_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net157));
- sky130_fd_sc_hd__clkbuf_1 _3580_ (.A(_1026_),
+ sky130_fd_sc_hd__and2_1 _3619_ (.A(_1043_),
+    .B(_1049_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1050_));
- sky130_fd_sc_hd__clkbuf_2 _3581_ (.A(_1028_),
+ sky130_fd_sc_hd__clkbuf_1 _3620_ (.A(_1050_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net157));
+ sky130_fd_sc_hd__clkbuf_2 _3621_ (.A(_1028_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1051_));
- sky130_fd_sc_hd__mux4_2 _3582_ (.A0(\u_async_wb.u_cmd_if.mem[0][31] ),
+ sky130_fd_sc_hd__mux4_2 _3622_ (.A0(\u_async_wb.u_cmd_if.mem[0][31] ),
     .A1(\u_async_wb.u_cmd_if.mem[1][31] ),
     .A2(\u_async_wb.u_cmd_if.mem[2][31] ),
     .A3(\u_async_wb.u_cmd_if.mem[3][31] ),
-    .S0(_1047_),
+    .S0(_1048_),
     .S1(_1051_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1052_));
- sky130_fd_sc_hd__and2_2 _3583_ (.A(_1050_),
+ sky130_fd_sc_hd__and2_1 _3623_ (.A(_1043_),
     .B(_1052_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1053_));
- sky130_fd_sc_hd__clkbuf_1 _3584_ (.A(_1053_),
+ sky130_fd_sc_hd__clkbuf_1 _3624_ (.A(_1053_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net158));
- sky130_fd_sc_hd__mux4_2 _3585_ (.A0(\u_async_wb.u_cmd_if.mem[0][32] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][32] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][32] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][32] ),
-    .S0(_1047_),
-    .S1(_1051_),
+ sky130_fd_sc_hd__clkbuf_1 _3625_ (.A(_0951_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1054_));
- sky130_fd_sc_hd__and2_2 _3586_ (.A(_1050_),
-    .B(_1054_),
+ sky130_fd_sc_hd__clkbuf_1 _3626_ (.A(_1054_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1055_));
- sky130_fd_sc_hd__clkbuf_1 _3587_ (.A(_1055_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net159));
- sky130_fd_sc_hd__mux4_2 _3588_ (.A0(\u_async_wb.u_cmd_if.mem[0][33] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][33] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][33] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][33] ),
-    .S0(_1047_),
+ sky130_fd_sc_hd__mux4_2 _3627_ (.A0(\u_async_wb.u_cmd_if.mem[0][32] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][32] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][32] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][32] ),
+    .S0(_1048_),
     .S1(_1051_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1056_));
- sky130_fd_sc_hd__and2_2 _3589_ (.A(_1050_),
+ sky130_fd_sc_hd__and2_1 _3628_ (.A(_1055_),
     .B(_1056_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1057_));
- sky130_fd_sc_hd__clkbuf_1 _3590_ (.A(_1057_),
+ sky130_fd_sc_hd__clkbuf_1 _3629_ (.A(_1057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net160));
- sky130_fd_sc_hd__buf_2 _3591_ (.A(_1022_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1058_));
- sky130_fd_sc_hd__mux4_2 _3592_ (.A0(\u_async_wb.u_cmd_if.mem[0][34] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][34] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][34] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][34] ),
-    .S0(_1058_),
+    .X(net159));
+ sky130_fd_sc_hd__mux4_2 _3630_ (.A0(\u_async_wb.u_cmd_if.mem[0][33] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][33] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][33] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][33] ),
+    .S0(_1048_),
     .S1(_1051_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .X(_1058_));
+ sky130_fd_sc_hd__and2_1 _3631_ (.A(_1055_),
+    .B(_1058_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .X(_1059_));
- sky130_fd_sc_hd__and2_2 _3593_ (.A(_1050_),
-    .B(_1059_),
+ sky130_fd_sc_hd__clkbuf_1 _3632_ (.A(_1059_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net160));
+ sky130_fd_sc_hd__buf_2 _3633_ (.A(_1024_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1060_));
- sky130_fd_sc_hd__clkbuf_1 _3594_ (.A(_1060_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net162));
- sky130_fd_sc_hd__clkbuf_1 _3595_ (.A(_1026_),
+ sky130_fd_sc_hd__mux4_2 _3634_ (.A0(\u_async_wb.u_cmd_if.mem[0][34] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][34] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][34] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][34] ),
+    .S0(_1060_),
+    .S1(_1051_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1061_));
- sky130_fd_sc_hd__clkbuf_2 _3596_ (.A(_1028_),
+ sky130_fd_sc_hd__and2_1 _3635_ (.A(_1055_),
+    .B(_1061_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1062_));
- sky130_fd_sc_hd__mux4_2 _3597_ (.A0(\u_async_wb.u_cmd_if.mem[0][35] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][35] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][35] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][35] ),
-    .S0(_1058_),
-    .S1(_1062_),
+ sky130_fd_sc_hd__clkbuf_1 _3636_ (.A(_1062_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net162));
+ sky130_fd_sc_hd__clkbuf_2 _3637_ (.A(_1028_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1063_));
- sky130_fd_sc_hd__and2_1 _3598_ (.A(_1061_),
-    .B(_1063_),
+ sky130_fd_sc_hd__mux4_2 _3638_ (.A0(\u_async_wb.u_cmd_if.mem[0][35] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][35] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][35] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][35] ),
+    .S0(_1060_),
+    .S1(_1063_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1064_));
- sky130_fd_sc_hd__clkbuf_1 _3599_ (.A(_1064_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net163));
- sky130_fd_sc_hd__mux4_2 _3600_ (.A0(\u_async_wb.u_cmd_if.mem[0][37] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][37] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][37] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][37] ),
-    .S0(_1058_),
-    .S1(_1062_),
+ sky130_fd_sc_hd__and2_1 _3639_ (.A(_1055_),
+    .B(_1064_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1065_));
- sky130_fd_sc_hd__and2_1 _3601_ (.A(_1061_),
-    .B(_1065_),
+ sky130_fd_sc_hd__clkbuf_1 _3640_ (.A(_1065_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net163));
+ sky130_fd_sc_hd__clkbuf_1 _3641_ (.A(_1054_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1066_));
- sky130_fd_sc_hd__clkbuf_1 _3602_ (.A(_1066_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net105));
- sky130_fd_sc_hd__mux4_2 _3603_ (.A0(\u_async_wb.u_cmd_if.mem[0][38] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][38] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][38] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][38] ),
-    .S0(_1058_),
-    .S1(_1062_),
+ sky130_fd_sc_hd__mux4_2 _3642_ (.A0(\u_async_wb.u_cmd_if.mem[0][37] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][37] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][37] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][37] ),
+    .S0(_1060_),
+    .S1(_1063_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1067_));
- sky130_fd_sc_hd__and2_1 _3604_ (.A(_1061_),
+ sky130_fd_sc_hd__and2_1 _3643_ (.A(_1066_),
     .B(_1067_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1068_));
- sky130_fd_sc_hd__clkbuf_1 _3605_ (.A(_1068_),
+ sky130_fd_sc_hd__clkbuf_1 _3644_ (.A(_1068_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net116));
- sky130_fd_sc_hd__buf_2 _3606_ (.A(_0952_),
+    .X(net105));
+ sky130_fd_sc_hd__mux4_2 _3645_ (.A0(\u_async_wb.u_cmd_if.mem[0][38] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][38] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][38] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][38] ),
+    .S0(_1060_),
+    .S1(_1063_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1069_));
- sky130_fd_sc_hd__buf_2 _3607_ (.A(_1069_),
+ sky130_fd_sc_hd__and2_1 _3646_ (.A(_1066_),
+    .B(_1069_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1070_));
- sky130_fd_sc_hd__mux4_2 _3608_ (.A0(\u_async_wb.u_cmd_if.mem[0][39] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][39] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][39] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][39] ),
-    .S0(_1070_),
-    .S1(_1062_),
+ sky130_fd_sc_hd__clkbuf_1 _3647_ (.A(_1070_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net116));
+ sky130_fd_sc_hd__clkbuf_2 _3648_ (.A(_0954_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1071_));
- sky130_fd_sc_hd__and2_1 _3609_ (.A(_1061_),
-    .B(_1071_),
+ sky130_fd_sc_hd__buf_2 _3649_ (.A(_1071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1072_));
- sky130_fd_sc_hd__clkbuf_1 _3610_ (.A(_1072_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net127));
- sky130_fd_sc_hd__clkbuf_1 _3611_ (.A(net206),
+ sky130_fd_sc_hd__mux4_2 _3650_ (.A0(\u_async_wb.u_cmd_if.mem[0][39] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][39] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][39] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][39] ),
+    .S0(_1072_),
+    .S1(_1063_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1073_));
- sky130_fd_sc_hd__clkbuf_1 _3612_ (.A(_1073_),
+ sky130_fd_sc_hd__and2_1 _3651_ (.A(_1066_),
+    .B(_1073_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1074_));
- sky130_fd_sc_hd__clkbuf_2 _3613_ (.A(_0955_),
+ sky130_fd_sc_hd__clkbuf_1 _3652_ (.A(_1074_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net127));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _3653_ (.A(_0957_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1075_));
- sky130_fd_sc_hd__clkbuf_2 _3614_ (.A(_1075_),
+ sky130_fd_sc_hd__clkbuf_2 _3654_ (.A(_1075_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1076_));
- sky130_fd_sc_hd__mux4_2 _3615_ (.A0(\u_async_wb.u_cmd_if.mem[0][40] ),
+ sky130_fd_sc_hd__mux4_2 _3655_ (.A0(\u_async_wb.u_cmd_if.mem[0][40] ),
     .A1(\u_async_wb.u_cmd_if.mem[1][40] ),
     .A2(\u_async_wb.u_cmd_if.mem[2][40] ),
     .A3(\u_async_wb.u_cmd_if.mem[3][40] ),
-    .S0(_1070_),
+    .S0(_1072_),
     .S1(_1076_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1077_));
- sky130_fd_sc_hd__and2_1 _3616_ (.A(_1074_),
+ sky130_fd_sc_hd__and2_1 _3656_ (.A(_1066_),
     .B(_1077_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1078_));
- sky130_fd_sc_hd__clkbuf_1 _3617_ (.A(_1078_),
+ sky130_fd_sc_hd__clkbuf_1 _3657_ (.A(_1078_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net130));
- sky130_fd_sc_hd__mux4_2 _3618_ (.A0(\u_async_wb.u_cmd_if.mem[0][41] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][41] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][41] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][41] ),
-    .S0(_1070_),
-    .S1(_1076_),
+ sky130_fd_sc_hd__clkbuf_1 _3658_ (.A(_1054_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1079_));
- sky130_fd_sc_hd__and2_1 _3619_ (.A(_1074_),
-    .B(_1079_),
+ sky130_fd_sc_hd__mux4_2 _3659_ (.A0(\u_async_wb.u_cmd_if.mem[0][41] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][41] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][41] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][41] ),
+    .S0(_1072_),
+    .S1(_1076_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1080_));
- sky130_fd_sc_hd__clkbuf_1 _3620_ (.A(_1080_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net131));
- sky130_fd_sc_hd__mux4_2 _3621_ (.A0(\u_async_wb.u_cmd_if.mem[0][42] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][42] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][42] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][42] ),
-    .S0(_1070_),
-    .S1(_1076_),
+ sky130_fd_sc_hd__and2_1 _3660_ (.A(_1079_),
+    .B(_1080_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1081_));
- sky130_fd_sc_hd__and2_1 _3622_ (.A(_1074_),
-    .B(_1081_),
+ sky130_fd_sc_hd__clkbuf_1 _3661_ (.A(_1081_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1082_));
- sky130_fd_sc_hd__clkbuf_1 _3623_ (.A(_1082_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net132));
- sky130_fd_sc_hd__buf_2 _3624_ (.A(_1069_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1083_));
- sky130_fd_sc_hd__mux4_2 _3625_ (.A0(\u_async_wb.u_cmd_if.mem[0][43] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][43] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][43] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][43] ),
-    .S0(_1083_),
+    .X(net131));
+ sky130_fd_sc_hd__mux4_2 _3662_ (.A0(\u_async_wb.u_cmd_if.mem[0][42] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][42] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][42] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][42] ),
+    .S0(_1072_),
     .S1(_1076_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .X(_1082_));
+ sky130_fd_sc_hd__and2_1 _3663_ (.A(_1079_),
+    .B(_1082_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1083_));
+ sky130_fd_sc_hd__clkbuf_1 _3664_ (.A(_1083_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net132));
+ sky130_fd_sc_hd__buf_2 _3665_ (.A(_1071_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .X(_1084_));
- sky130_fd_sc_hd__and2_1 _3626_ (.A(_1074_),
-    .B(_1084_),
+ sky130_fd_sc_hd__mux4_2 _3666_ (.A0(\u_async_wb.u_cmd_if.mem[0][43] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][43] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][43] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][43] ),
+    .S0(_1084_),
+    .S1(_1076_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1085_));
- sky130_fd_sc_hd__clkbuf_1 _3627_ (.A(_1085_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net133));
- sky130_fd_sc_hd__clkbuf_1 _3628_ (.A(_1073_),
+ sky130_fd_sc_hd__and2_1 _3667_ (.A(_1079_),
+    .B(_1085_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1086_));
- sky130_fd_sc_hd__clkbuf_2 _3629_ (.A(_1075_),
+ sky130_fd_sc_hd__clkbuf_1 _3668_ (.A(_1086_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net133));
+ sky130_fd_sc_hd__buf_2 _3669_ (.A(_1075_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1087_));
- sky130_fd_sc_hd__mux4_2 _3630_ (.A0(\u_async_wb.u_cmd_if.mem[0][44] ),
+ sky130_fd_sc_hd__mux4_2 _3670_ (.A0(\u_async_wb.u_cmd_if.mem[0][44] ),
     .A1(\u_async_wb.u_cmd_if.mem[1][44] ),
     .A2(\u_async_wb.u_cmd_if.mem[2][44] ),
     .A3(\u_async_wb.u_cmd_if.mem[3][44] ),
-    .S0(_1083_),
+    .S0(_1084_),
     .S1(_1087_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1088_));
- sky130_fd_sc_hd__and2_1 _3631_ (.A(_1086_),
+ sky130_fd_sc_hd__and2_1 _3671_ (.A(_1079_),
     .B(_1088_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1089_));
- sky130_fd_sc_hd__clkbuf_1 _3632_ (.A(_1089_),
+ sky130_fd_sc_hd__clkbuf_1 _3672_ (.A(_1089_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net134));
- sky130_fd_sc_hd__mux4_2 _3633_ (.A0(\u_async_wb.u_cmd_if.mem[0][45] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][45] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][45] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][45] ),
-    .S0(_1083_),
-    .S1(_1087_),
+ sky130_fd_sc_hd__clkbuf_1 _3673_ (.A(_1054_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1090_));
- sky130_fd_sc_hd__and2_1 _3634_ (.A(_1086_),
-    .B(_1090_),
+ sky130_fd_sc_hd__mux4_2 _3674_ (.A0(\u_async_wb.u_cmd_if.mem[0][45] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][45] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][45] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][45] ),
+    .S0(_1084_),
+    .S1(_1087_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1091_));
- sky130_fd_sc_hd__clkbuf_1 _3635_ (.A(_1091_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net135));
- sky130_fd_sc_hd__mux4_2 _3636_ (.A0(\u_async_wb.u_cmd_if.mem[0][46] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][46] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][46] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][46] ),
-    .S0(_1083_),
-    .S1(_1087_),
+ sky130_fd_sc_hd__and2_1 _3675_ (.A(_1090_),
+    .B(_1091_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1092_));
- sky130_fd_sc_hd__and2_1 _3637_ (.A(_1086_),
-    .B(_1092_),
+ sky130_fd_sc_hd__clkbuf_1 _3676_ (.A(_1092_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1093_));
- sky130_fd_sc_hd__clkbuf_1 _3638_ (.A(_1093_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net136));
- sky130_fd_sc_hd__buf_2 _3639_ (.A(_1069_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1094_));
- sky130_fd_sc_hd__mux4_2 _3640_ (.A0(\u_async_wb.u_cmd_if.mem[0][47] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][47] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][47] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][47] ),
-    .S0(_1094_),
+    .X(net135));
+ sky130_fd_sc_hd__mux4_2 _3677_ (.A0(\u_async_wb.u_cmd_if.mem[0][46] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][46] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][46] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][46] ),
+    .S0(_1084_),
     .S1(_1087_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .X(_1093_));
+ sky130_fd_sc_hd__and2_1 _3678_ (.A(_1090_),
+    .B(_1093_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1094_));
+ sky130_fd_sc_hd__clkbuf_1 _3679_ (.A(_1094_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net136));
+ sky130_fd_sc_hd__buf_2 _3680_ (.A(_1071_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .X(_1095_));
- sky130_fd_sc_hd__and2_1 _3641_ (.A(_1086_),
-    .B(_1095_),
+ sky130_fd_sc_hd__mux4_2 _3681_ (.A0(\u_async_wb.u_cmd_if.mem[0][47] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][47] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][47] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][47] ),
+    .S0(_1095_),
+    .S1(_1087_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1096_));
- sky130_fd_sc_hd__clkbuf_1 _3642_ (.A(_1096_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net106));
- sky130_fd_sc_hd__clkbuf_1 _3643_ (.A(_1073_),
+ sky130_fd_sc_hd__and2_1 _3682_ (.A(_1090_),
+    .B(_1096_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1097_));
- sky130_fd_sc_hd__buf_2 _3644_ (.A(_1075_),
+ sky130_fd_sc_hd__clkbuf_1 _3683_ (.A(_1097_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net106));
+ sky130_fd_sc_hd__clkbuf_2 _3684_ (.A(_1075_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1098_));
- sky130_fd_sc_hd__mux4_2 _3645_ (.A0(\u_async_wb.u_cmd_if.mem[0][48] ),
+ sky130_fd_sc_hd__mux4_2 _3685_ (.A0(\u_async_wb.u_cmd_if.mem[0][48] ),
     .A1(\u_async_wb.u_cmd_if.mem[1][48] ),
     .A2(\u_async_wb.u_cmd_if.mem[2][48] ),
     .A3(\u_async_wb.u_cmd_if.mem[3][48] ),
-    .S0(_1094_),
+    .S0(_1095_),
     .S1(_1098_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1099_));
- sky130_fd_sc_hd__and2_1 _3646_ (.A(_1097_),
+ sky130_fd_sc_hd__and2_1 _3686_ (.A(_1090_),
     .B(_1099_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1100_));
- sky130_fd_sc_hd__clkbuf_1 _3647_ (.A(_1100_),
+ sky130_fd_sc_hd__clkbuf_1 _3687_ (.A(_1100_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net107));
- sky130_fd_sc_hd__mux4_2 _3648_ (.A0(\u_async_wb.u_cmd_if.mem[0][49] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][49] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][49] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][49] ),
-    .S0(_1094_),
-    .S1(_1098_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _3688_ (.A(_0950_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1101_));
- sky130_fd_sc_hd__and2_1 _3649_ (.A(_1097_),
-    .B(_1101_),
+ sky130_fd_sc_hd__clkbuf_1 _3689_ (.A(_1101_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1102_));
- sky130_fd_sc_hd__clkbuf_1 _3650_ (.A(_1102_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net108));
- sky130_fd_sc_hd__mux4_2 _3651_ (.A0(\u_async_wb.u_cmd_if.mem[0][50] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][50] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][50] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][50] ),
-    .S0(_1094_),
+ sky130_fd_sc_hd__mux4_2 _3690_ (.A0(\u_async_wb.u_cmd_if.mem[0][49] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][49] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][49] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][49] ),
+    .S0(_1095_),
     .S1(_1098_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1103_));
- sky130_fd_sc_hd__and2_1 _3652_ (.A(_1097_),
+ sky130_fd_sc_hd__and2_1 _3691_ (.A(_1102_),
     .B(_1103_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1104_));
- sky130_fd_sc_hd__clkbuf_1 _3653_ (.A(_1104_),
+ sky130_fd_sc_hd__clkbuf_1 _3692_ (.A(_1104_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net109));
- sky130_fd_sc_hd__buf_2 _3654_ (.A(_1069_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1105_));
- sky130_fd_sc_hd__mux4_2 _3655_ (.A0(\u_async_wb.u_cmd_if.mem[0][51] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][51] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][51] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][51] ),
-    .S0(_1105_),
+    .X(net108));
+ sky130_fd_sc_hd__mux4_2 _3693_ (.A0(\u_async_wb.u_cmd_if.mem[0][50] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][50] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][50] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][50] ),
+    .S0(_1095_),
     .S1(_1098_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .X(_1105_));
+ sky130_fd_sc_hd__and2_1 _3694_ (.A(_1102_),
+    .B(_1105_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .X(_1106_));
- sky130_fd_sc_hd__and2_1 _3656_ (.A(_1097_),
-    .B(_1106_),
+ sky130_fd_sc_hd__clkbuf_1 _3695_ (.A(_1106_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net109));
+ sky130_fd_sc_hd__buf_2 _3696_ (.A(_1071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1107_));
- sky130_fd_sc_hd__clkbuf_1 _3657_ (.A(_1107_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net110));
- sky130_fd_sc_hd__clkbuf_1 _3658_ (.A(_1073_),
+ sky130_fd_sc_hd__mux4_2 _3697_ (.A0(\u_async_wb.u_cmd_if.mem[0][51] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][51] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][51] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][51] ),
+    .S0(_1107_),
+    .S1(_1098_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1108_));
- sky130_fd_sc_hd__clkbuf_2 _3659_ (.A(_1075_),
+ sky130_fd_sc_hd__and2_1 _3698_ (.A(_1102_),
+    .B(_1108_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1109_));
- sky130_fd_sc_hd__mux4_2 _3660_ (.A0(\u_async_wb.u_cmd_if.mem[0][52] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][52] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][52] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][52] ),
-    .S0(_1105_),
-    .S1(_1109_),
+ sky130_fd_sc_hd__clkbuf_1 _3699_ (.A(_1109_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net110));
+ sky130_fd_sc_hd__buf_2 _3700_ (.A(_1075_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1110_));
- sky130_fd_sc_hd__and2_1 _3661_ (.A(_1108_),
-    .B(_1110_),
+ sky130_fd_sc_hd__mux4_2 _3701_ (.A0(\u_async_wb.u_cmd_if.mem[0][52] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][52] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][52] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][52] ),
+    .S0(_1107_),
+    .S1(_1110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1111_));
- sky130_fd_sc_hd__clkbuf_1 _3662_ (.A(_1111_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net111));
- sky130_fd_sc_hd__mux4_2 _3663_ (.A0(\u_async_wb.u_cmd_if.mem[0][53] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][53] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][53] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][53] ),
-    .S0(_1105_),
-    .S1(_1109_),
+ sky130_fd_sc_hd__and2_1 _3702_ (.A(_1102_),
+    .B(_1111_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1112_));
- sky130_fd_sc_hd__and2_1 _3664_ (.A(_1108_),
-    .B(_1112_),
+ sky130_fd_sc_hd__clkbuf_1 _3703_ (.A(_1112_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net111));
+ sky130_fd_sc_hd__clkbuf_1 _3704_ (.A(_1101_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1113_));
- sky130_fd_sc_hd__clkbuf_1 _3665_ (.A(_1113_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net112));
- sky130_fd_sc_hd__mux4_2 _3666_ (.A0(\u_async_wb.u_cmd_if.mem[0][54] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][54] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][54] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][54] ),
-    .S0(_1105_),
-    .S1(_1109_),
+ sky130_fd_sc_hd__mux4_2 _3705_ (.A0(\u_async_wb.u_cmd_if.mem[0][53] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][53] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][53] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][53] ),
+    .S0(_1107_),
+    .S1(_1110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1114_));
- sky130_fd_sc_hd__and2_1 _3667_ (.A(_1108_),
+ sky130_fd_sc_hd__and2_1 _3706_ (.A(_1113_),
     .B(_1114_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1115_));
- sky130_fd_sc_hd__clkbuf_1 _3668_ (.A(_1115_),
+ sky130_fd_sc_hd__clkbuf_1 _3707_ (.A(_1115_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net113));
- sky130_fd_sc_hd__buf_2 _3669_ (.A(_0973_),
+    .X(net112));
+ sky130_fd_sc_hd__mux4_2 _3708_ (.A0(\u_async_wb.u_cmd_if.mem[0][54] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][54] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][54] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][54] ),
+    .S0(_1107_),
+    .S1(_1110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1116_));
- sky130_fd_sc_hd__mux4_2 _3670_ (.A0(\u_async_wb.u_cmd_if.mem[0][55] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][55] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][55] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][55] ),
-    .S0(_1116_),
-    .S1(_1109_),
+ sky130_fd_sc_hd__and2_1 _3709_ (.A(_1113_),
+    .B(_1116_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1117_));
- sky130_fd_sc_hd__and2_1 _3671_ (.A(_1108_),
-    .B(_1117_),
+ sky130_fd_sc_hd__clkbuf_1 _3710_ (.A(_1117_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net113));
+ sky130_fd_sc_hd__clkbuf_4 _3711_ (.A(_0975_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1118_));
- sky130_fd_sc_hd__clkbuf_1 _3672_ (.A(_1118_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net114));
- sky130_fd_sc_hd__clkbuf_1 _3673_ (.A(_0916_),
+ sky130_fd_sc_hd__mux4_2 _3712_ (.A0(\u_async_wb.u_cmd_if.mem[0][55] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][55] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][55] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][55] ),
+    .S0(_1118_),
+    .S1(_1110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1119_));
- sky130_fd_sc_hd__clkbuf_4 _3674_ (.A(_0980_),
+ sky130_fd_sc_hd__and2_1 _3713_ (.A(_1113_),
+    .B(_1119_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1120_));
- sky130_fd_sc_hd__mux4_2 _3675_ (.A0(\u_async_wb.u_cmd_if.mem[0][56] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][56] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][56] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][56] ),
-    .S0(_1116_),
-    .S1(_1120_),
+ sky130_fd_sc_hd__clkbuf_1 _3714_ (.A(_1120_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net114));
+ sky130_fd_sc_hd__buf_2 _3715_ (.A(_0980_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1121_));
- sky130_fd_sc_hd__and2_1 _3676_ (.A(_1119_),
-    .B(_1121_),
+ sky130_fd_sc_hd__mux4_2 _3716_ (.A0(\u_async_wb.u_cmd_if.mem[0][56] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][56] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][56] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][56] ),
+    .S0(_1118_),
+    .S1(_1121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1122_));
- sky130_fd_sc_hd__clkbuf_1 _3677_ (.A(_1122_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net115));
- sky130_fd_sc_hd__mux4_2 _3678_ (.A0(\u_async_wb.u_cmd_if.mem[0][57] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][57] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][57] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][57] ),
-    .S0(_1116_),
-    .S1(_1120_),
+ sky130_fd_sc_hd__and2_1 _3717_ (.A(_1113_),
+    .B(_1122_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1123_));
- sky130_fd_sc_hd__and2_1 _3679_ (.A(_1119_),
-    .B(_1123_),
+ sky130_fd_sc_hd__clkbuf_1 _3718_ (.A(_1123_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net115));
+ sky130_fd_sc_hd__clkbuf_1 _3719_ (.A(_1101_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1124_));
- sky130_fd_sc_hd__clkbuf_1 _3680_ (.A(_1124_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net117));
- sky130_fd_sc_hd__mux4_2 _3681_ (.A0(\u_async_wb.u_cmd_if.mem[0][58] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][58] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][58] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][58] ),
-    .S0(_1116_),
-    .S1(_1120_),
+ sky130_fd_sc_hd__mux4_2 _3720_ (.A0(\u_async_wb.u_cmd_if.mem[0][57] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][57] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][57] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][57] ),
+    .S0(_1118_),
+    .S1(_1121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1125_));
- sky130_fd_sc_hd__and2_1 _3682_ (.A(_1119_),
+ sky130_fd_sc_hd__and2_1 _3721_ (.A(_1124_),
     .B(_1125_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1126_));
- sky130_fd_sc_hd__clkbuf_1 _3683_ (.A(_1126_),
+ sky130_fd_sc_hd__clkbuf_1 _3722_ (.A(_1126_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net118));
- sky130_fd_sc_hd__clkbuf_4 _3684_ (.A(_0973_),
+    .X(net117));
+ sky130_fd_sc_hd__mux4_2 _3723_ (.A0(\u_async_wb.u_cmd_if.mem[0][58] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][58] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][58] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][58] ),
+    .S0(_1118_),
+    .S1(_1121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1127_));
- sky130_fd_sc_hd__mux4_2 _3685_ (.A0(\u_async_wb.u_cmd_if.mem[0][59] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][59] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][59] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][59] ),
-    .S0(_1127_),
-    .S1(_1120_),
+ sky130_fd_sc_hd__and2_1 _3724_ (.A(_1124_),
+    .B(_1127_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1128_));
- sky130_fd_sc_hd__and2_1 _3686_ (.A(_1119_),
-    .B(_1128_),
+ sky130_fd_sc_hd__clkbuf_1 _3725_ (.A(_1128_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net118));
+ sky130_fd_sc_hd__clkbuf_4 _3726_ (.A(_0975_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1129_));
- sky130_fd_sc_hd__clkbuf_1 _3687_ (.A(_1129_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net119));
- sky130_fd_sc_hd__clkbuf_1 _3688_ (.A(_0916_),
+ sky130_fd_sc_hd__mux4_2 _3727_ (.A0(\u_async_wb.u_cmd_if.mem[0][59] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][59] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][59] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][59] ),
+    .S0(_1129_),
+    .S1(_1121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1130_));
- sky130_fd_sc_hd__buf_2 _3689_ (.A(_0980_),
+ sky130_fd_sc_hd__and2_1 _3728_ (.A(_1124_),
+    .B(_1130_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1131_));
- sky130_fd_sc_hd__mux4_2 _3690_ (.A0(\u_async_wb.u_cmd_if.mem[0][60] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][60] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][60] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][60] ),
-    .S0(_1127_),
-    .S1(_1131_),
+ sky130_fd_sc_hd__clkbuf_1 _3729_ (.A(_1131_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net119));
+ sky130_fd_sc_hd__buf_2 _3730_ (.A(_0980_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1132_));
- sky130_fd_sc_hd__and2_1 _3691_ (.A(_1130_),
-    .B(_1132_),
+ sky130_fd_sc_hd__mux4_2 _3731_ (.A0(\u_async_wb.u_cmd_if.mem[0][60] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][60] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][60] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][60] ),
+    .S0(_1129_),
+    .S1(_1132_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1133_));
- sky130_fd_sc_hd__clkbuf_1 _3692_ (.A(_1133_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net120));
- sky130_fd_sc_hd__mux4_2 _3693_ (.A0(\u_async_wb.u_cmd_if.mem[0][61] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][61] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][61] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][61] ),
-    .S0(_1127_),
-    .S1(_1131_),
+ sky130_fd_sc_hd__and2_1 _3732_ (.A(_1124_),
+    .B(_1133_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1134_));
- sky130_fd_sc_hd__and2_1 _3694_ (.A(_1130_),
-    .B(_1134_),
+ sky130_fd_sc_hd__clkbuf_1 _3733_ (.A(_1134_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net120));
+ sky130_fd_sc_hd__clkbuf_1 _3734_ (.A(_1101_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1135_));
- sky130_fd_sc_hd__clkbuf_1 _3695_ (.A(_1135_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net121));
- sky130_fd_sc_hd__mux4_2 _3696_ (.A0(\u_async_wb.u_cmd_if.mem[0][62] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][62] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][62] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][62] ),
-    .S0(_1127_),
-    .S1(_1131_),
+ sky130_fd_sc_hd__mux4_2 _3735_ (.A0(\u_async_wb.u_cmd_if.mem[0][61] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][61] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][61] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][61] ),
+    .S0(_1129_),
+    .S1(_1132_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1136_));
- sky130_fd_sc_hd__and2_1 _3697_ (.A(_1130_),
+ sky130_fd_sc_hd__and2_1 _3736_ (.A(_1135_),
     .B(_1136_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1137_));
- sky130_fd_sc_hd__clkbuf_1 _3698_ (.A(_1137_),
+ sky130_fd_sc_hd__clkbuf_1 _3737_ (.A(_1137_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net122));
- sky130_fd_sc_hd__buf_2 _3699_ (.A(_0973_),
+    .X(net121));
+ sky130_fd_sc_hd__mux4_2 _3738_ (.A0(\u_async_wb.u_cmd_if.mem[0][62] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][62] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][62] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][62] ),
+    .S0(_1129_),
+    .S1(_1132_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1138_));
- sky130_fd_sc_hd__mux4_2 _3700_ (.A0(\u_async_wb.u_cmd_if.mem[0][63] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][63] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][63] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][63] ),
-    .S0(_1138_),
-    .S1(_1131_),
+ sky130_fd_sc_hd__and2_1 _3739_ (.A(_1135_),
+    .B(_1138_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1139_));
- sky130_fd_sc_hd__and2_1 _3701_ (.A(_1130_),
-    .B(_1139_),
+ sky130_fd_sc_hd__clkbuf_1 _3740_ (.A(_1139_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net122));
+ sky130_fd_sc_hd__buf_2 _3741_ (.A(_0975_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1140_));
- sky130_fd_sc_hd__clkbuf_1 _3702_ (.A(_1140_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net123));
- sky130_fd_sc_hd__clkbuf_1 _3703_ (.A(_0916_),
+ sky130_fd_sc_hd__mux4_2 _3742_ (.A0(\u_async_wb.u_cmd_if.mem[0][63] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][63] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][63] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][63] ),
+    .S0(_1140_),
+    .S1(_1132_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1141_));
- sky130_fd_sc_hd__clkbuf_2 _3704_ (.A(_0980_),
+ sky130_fd_sc_hd__and2_1 _3743_ (.A(_1135_),
+    .B(_1141_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1142_));
- sky130_fd_sc_hd__mux4_2 _3705_ (.A0(\u_async_wb.u_cmd_if.mem[0][64] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][64] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][64] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][64] ),
-    .S0(_1138_),
-    .S1(_1142_),
+ sky130_fd_sc_hd__clkbuf_1 _3744_ (.A(_1142_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net123));
+ sky130_fd_sc_hd__clkbuf_2 _3745_ (.A(_0980_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1143_));
- sky130_fd_sc_hd__and2_1 _3706_ (.A(_1141_),
-    .B(_1143_),
+ sky130_fd_sc_hd__mux4_2 _3746_ (.A0(\u_async_wb.u_cmd_if.mem[0][64] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][64] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][64] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][64] ),
+    .S0(_1140_),
+    .S1(_1143_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1144_));
- sky130_fd_sc_hd__clkbuf_1 _3707_ (.A(_1144_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net124));
- sky130_fd_sc_hd__mux4_2 _3708_ (.A0(\u_async_wb.u_cmd_if.mem[0][65] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][65] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][65] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][65] ),
-    .S0(_1138_),
-    .S1(_1142_),
+ sky130_fd_sc_hd__and2_1 _3747_ (.A(_1135_),
+    .B(_1144_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1145_));
- sky130_fd_sc_hd__and2_1 _3709_ (.A(_1141_),
-    .B(_1145_),
+ sky130_fd_sc_hd__clkbuf_1 _3748_ (.A(_1145_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net124));
+ sky130_fd_sc_hd__clkbuf_1 _3749_ (.A(_0951_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1146_));
- sky130_fd_sc_hd__clkbuf_1 _3710_ (.A(_1146_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net125));
- sky130_fd_sc_hd__mux4_2 _3711_ (.A0(\u_async_wb.u_cmd_if.mem[0][66] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][66] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][66] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][66] ),
-    .S0(_1138_),
-    .S1(_1142_),
+ sky130_fd_sc_hd__mux4_2 _3750_ (.A0(\u_async_wb.u_cmd_if.mem[0][65] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][65] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][65] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][65] ),
+    .S0(_1140_),
+    .S1(_1143_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1147_));
- sky130_fd_sc_hd__and2_1 _3712_ (.A(_1141_),
+ sky130_fd_sc_hd__and2_1 _3751_ (.A(_1146_),
     .B(_1147_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1148_));
- sky130_fd_sc_hd__clkbuf_1 _3713_ (.A(_1148_),
+ sky130_fd_sc_hd__clkbuf_1 _3752_ (.A(_1148_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net126));
- sky130_fd_sc_hd__mux4_2 _3714_ (.A0(\u_async_wb.u_cmd_if.mem[0][67] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][67] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][67] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][67] ),
-    .S0(_0953_),
-    .S1(_1142_),
+    .X(net125));
+ sky130_fd_sc_hd__mux4_1 _3753_ (.A0(\u_async_wb.u_cmd_if.mem[0][66] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][66] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][66] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][66] ),
+    .S0(_1140_),
+    .S1(_1143_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1149_));
- sky130_fd_sc_hd__and2_1 _3715_ (.A(_1141_),
+ sky130_fd_sc_hd__and2_1 _3754_ (.A(_1146_),
     .B(_1149_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1150_));
- sky130_fd_sc_hd__clkbuf_1 _3716_ (.A(_1150_),
+ sky130_fd_sc_hd__clkbuf_1 _3755_ (.A(_1150_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net128));
- sky130_fd_sc_hd__mux4_2 _3717_ (.A0(\u_async_wb.u_cmd_if.mem[0][68] ),
-    .A1(\u_async_wb.u_cmd_if.mem[1][68] ),
-    .A2(\u_async_wb.u_cmd_if.mem[2][68] ),
-    .A3(\u_async_wb.u_cmd_if.mem[3][68] ),
-    .S0(_0953_),
-    .S1(_0956_),
+    .X(net126));
+ sky130_fd_sc_hd__mux4_1 _3756_ (.A0(\u_async_wb.u_cmd_if.mem[0][67] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][67] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][67] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][67] ),
+    .S0(_0955_),
+    .S1(_1143_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1151_));
- sky130_fd_sc_hd__and2_1 _3718_ (.A(_0917_),
+ sky130_fd_sc_hd__and2_1 _3757_ (.A(_1146_),
     .B(_1151_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1152_));
- sky130_fd_sc_hd__clkbuf_1 _3719_ (.A(_1152_),
+ sky130_fd_sc_hd__clkbuf_1 _3758_ (.A(_1152_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net129));
- sky130_fd_sc_hd__clkbuf_2 _3720_ (.A(_0922_),
+    .X(net128));
+ sky130_fd_sc_hd__mux4_2 _3759_ (.A0(\u_async_wb.u_cmd_if.mem[0][68] ),
+    .A1(\u_async_wb.u_cmd_if.mem[1][68] ),
+    .A2(\u_async_wb.u_cmd_if.mem[2][68] ),
+    .A3(\u_async_wb.u_cmd_if.mem[3][68] ),
+    .S0(_0955_),
+    .S1(_0958_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1153_));
- sky130_fd_sc_hd__clkbuf_2 _3721_ (.A(_1153_),
+ sky130_fd_sc_hd__and2_1 _3760_ (.A(_1146_),
+    .B(_1153_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1154_));
- sky130_fd_sc_hd__clkbuf_1 _3722_ (.A(_1154_),
+ sky130_fd_sc_hd__clkbuf_1 _3761_ (.A(_1154_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net129));
+ sky130_fd_sc_hd__clkbuf_2 _3762_ (.A(_0923_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1155_));
- sky130_fd_sc_hd__clkbuf_2 _3723_ (.A(_1155_),
+ sky130_fd_sc_hd__clkbuf_2 _3763_ (.A(_1155_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1156_));
- sky130_fd_sc_hd__clkbuf_2 _3724_ (.A(_1156_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _3764_ (.A(_1156_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1157_));
- sky130_fd_sc_hd__mux2_1 _3725_ (.A0(\u_uart2wb.u_async_reg_bus.out_reg_cs ),
-    .A1(wbm_stb_i),
-    .S(_1157_),
+ sky130_fd_sc_hd__clkbuf_2 _3765_ (.A(_1157_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1158_));
- sky130_fd_sc_hd__clkbuf_1 _3726_ (.A(_1158_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(\u_delay1_stb0.A ));
- sky130_fd_sc_hd__clkbuf_1 _3727_ (.A(_1157_),
+ sky130_fd_sc_hd__clkbuf_2 _3766_ (.A(_1158_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1159_));
- sky130_fd_sc_hd__and2_1 _3728_ (.A(\wb_dat_o[0] ),
-    .B(_1159_),
+ sky130_fd_sc_hd__mux2_1 _3767_ (.A0(\u_uart2wb.u_async_reg_bus.out_reg_cs ),
+    .A1(wbm_stb_i),
+    .S(_1159_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1160_));
- sky130_fd_sc_hd__clkbuf_1 _3729_ (.A(_1160_),
+ sky130_fd_sc_hd__clkbuf_1 _3768_ (.A(_1160_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net72));
- sky130_fd_sc_hd__and2_1 _3730_ (.A(\wb_dat_o[1] ),
-    .B(_1159_),
+    .X(\u_delay1_stb0.A ));
+ sky130_fd_sc_hd__clkbuf_1 _3769_ (.A(_1159_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1161_));
- sky130_fd_sc_hd__clkbuf_1 _3731_ (.A(_1161_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net83));
- sky130_fd_sc_hd__and2_1 _3732_ (.A(\wb_dat_o[2] ),
-    .B(_1159_),
+ sky130_fd_sc_hd__and2_1 _3770_ (.A(\wb_dat_o[0] ),
+    .B(_1161_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1162_));
- sky130_fd_sc_hd__clkbuf_1 _3733_ (.A(_1162_),
+ sky130_fd_sc_hd__clkbuf_1 _3771_ (.A(_1162_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net94));
- sky130_fd_sc_hd__and2_1 _3734_ (.A(\wb_dat_o[3] ),
-    .B(_1159_),
+    .X(net72));
+ sky130_fd_sc_hd__and2_1 _3772_ (.A(\wb_dat_o[1] ),
+    .B(_1161_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1163_));
- sky130_fd_sc_hd__clkbuf_1 _3735_ (.A(_1163_),
+ sky130_fd_sc_hd__clkbuf_1 _3773_ (.A(_1163_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net97));
- sky130_fd_sc_hd__dlymetal6s2s_1 _3736_ (.A(_1156_),
+    .X(net83));
+ sky130_fd_sc_hd__and2_1 _3774_ (.A(\wb_dat_o[2] ),
+    .B(_1161_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1164_));
- sky130_fd_sc_hd__clkbuf_1 _3737_ (.A(_1164_),
+ sky130_fd_sc_hd__clkbuf_1 _3775_ (.A(_1164_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net94));
+ sky130_fd_sc_hd__and2_1 _3776_ (.A(\wb_dat_o[3] ),
+    .B(_1161_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1165_));
- sky130_fd_sc_hd__and2_1 _3738_ (.A(\wb_dat_o[4] ),
-    .B(_1165_),
+ sky130_fd_sc_hd__clkbuf_1 _3777_ (.A(_1165_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net97));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _3778_ (.A(_1158_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1166_));
- sky130_fd_sc_hd__clkbuf_1 _3739_ (.A(_1166_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net98));
- sky130_fd_sc_hd__and2_1 _3740_ (.A(\wb_dat_o[5] ),
-    .B(_1165_),
+ sky130_fd_sc_hd__clkbuf_1 _3779_ (.A(_1166_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1167_));
- sky130_fd_sc_hd__clkbuf_1 _3741_ (.A(_1167_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net99));
- sky130_fd_sc_hd__and2_1 _3742_ (.A(\wb_dat_o[6] ),
-    .B(_1165_),
+ sky130_fd_sc_hd__and2_1 _3780_ (.A(\wb_dat_o[4] ),
+    .B(_1167_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1168_));
- sky130_fd_sc_hd__clkbuf_1 _3743_ (.A(_1168_),
+ sky130_fd_sc_hd__clkbuf_1 _3781_ (.A(_1168_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net100));
- sky130_fd_sc_hd__and2_1 _3744_ (.A(\wb_dat_o[7] ),
-    .B(_1165_),
+    .X(net98));
+ sky130_fd_sc_hd__and2_1 _3782_ (.A(\wb_dat_o[5] ),
+    .B(_1167_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1169_));
- sky130_fd_sc_hd__clkbuf_1 _3745_ (.A(_1169_),
+ sky130_fd_sc_hd__clkbuf_1 _3783_ (.A(_1169_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net101));
- sky130_fd_sc_hd__clkbuf_1 _3746_ (.A(_1164_),
+    .X(net99));
+ sky130_fd_sc_hd__and2_1 _3784_ (.A(\wb_dat_o[6] ),
+    .B(_1167_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1170_));
- sky130_fd_sc_hd__and2_1 _3747_ (.A(\wb_dat_o[8] ),
-    .B(_1170_),
+ sky130_fd_sc_hd__clkbuf_1 _3785_ (.A(_1170_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net100));
+ sky130_fd_sc_hd__and2_1 _3786_ (.A(\wb_dat_o[7] ),
+    .B(_1167_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1171_));
- sky130_fd_sc_hd__clkbuf_1 _3748_ (.A(_1171_),
+ sky130_fd_sc_hd__clkbuf_1 _3787_ (.A(_1171_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net102));
- sky130_fd_sc_hd__and2_1 _3749_ (.A(\wb_dat_o[9] ),
-    .B(_1170_),
+    .X(net101));
+ sky130_fd_sc_hd__clkbuf_1 _3788_ (.A(_1166_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1172_));
- sky130_fd_sc_hd__clkbuf_1 _3750_ (.A(_1172_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net103));
- sky130_fd_sc_hd__and2_1 _3751_ (.A(\wb_dat_o[10] ),
-    .B(_1170_),
+ sky130_fd_sc_hd__and2_1 _3789_ (.A(\wb_dat_o[8] ),
+    .B(_1172_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1173_));
- sky130_fd_sc_hd__clkbuf_1 _3752_ (.A(_1173_),
+ sky130_fd_sc_hd__clkbuf_1 _3790_ (.A(_1173_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net73));
- sky130_fd_sc_hd__and2_1 _3753_ (.A(\wb_dat_o[11] ),
-    .B(_1170_),
+    .X(net102));
+ sky130_fd_sc_hd__and2_1 _3791_ (.A(\wb_dat_o[9] ),
+    .B(_1172_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1174_));
- sky130_fd_sc_hd__clkbuf_1 _3754_ (.A(_1174_),
+ sky130_fd_sc_hd__clkbuf_1 _3792_ (.A(_1174_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net74));
- sky130_fd_sc_hd__clkbuf_1 _3755_ (.A(_1164_),
+    .X(net103));
+ sky130_fd_sc_hd__and2_1 _3793_ (.A(\wb_dat_o[10] ),
+    .B(_1172_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1175_));
- sky130_fd_sc_hd__and2_1 _3756_ (.A(\wb_dat_o[12] ),
-    .B(_1175_),
+ sky130_fd_sc_hd__clkbuf_1 _3794_ (.A(_1175_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net73));
+ sky130_fd_sc_hd__and2_1 _3795_ (.A(\wb_dat_o[11] ),
+    .B(_1172_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1176_));
- sky130_fd_sc_hd__clkbuf_1 _3757_ (.A(_1176_),
+ sky130_fd_sc_hd__clkbuf_1 _3796_ (.A(_1176_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net75));
- sky130_fd_sc_hd__and2_1 _3758_ (.A(\wb_dat_o[13] ),
-    .B(_1175_),
+    .X(net74));
+ sky130_fd_sc_hd__clkbuf_1 _3797_ (.A(_1166_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1177_));
- sky130_fd_sc_hd__clkbuf_1 _3759_ (.A(_1177_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net76));
- sky130_fd_sc_hd__and2_1 _3760_ (.A(\wb_dat_o[14] ),
-    .B(_1175_),
+ sky130_fd_sc_hd__and2_1 _3798_ (.A(\wb_dat_o[12] ),
+    .B(_1177_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1178_));
- sky130_fd_sc_hd__clkbuf_1 _3761_ (.A(_1178_),
+ sky130_fd_sc_hd__clkbuf_1 _3799_ (.A(_1178_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net77));
- sky130_fd_sc_hd__and2_1 _3762_ (.A(\wb_dat_o[15] ),
-    .B(_1175_),
+    .X(net75));
+ sky130_fd_sc_hd__and2_1 _3800_ (.A(\wb_dat_o[13] ),
+    .B(_1177_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1179_));
- sky130_fd_sc_hd__clkbuf_1 _3763_ (.A(_1179_),
+ sky130_fd_sc_hd__clkbuf_1 _3801_ (.A(_1179_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net78));
- sky130_fd_sc_hd__clkbuf_1 _3764_ (.A(_1164_),
+    .X(net76));
+ sky130_fd_sc_hd__and2_1 _3802_ (.A(\wb_dat_o[14] ),
+    .B(_1177_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1180_));
- sky130_fd_sc_hd__and2_1 _3765_ (.A(\wb_dat_o[16] ),
-    .B(_1180_),
+ sky130_fd_sc_hd__clkbuf_1 _3803_ (.A(_1180_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net77));
+ sky130_fd_sc_hd__and2_1 _3804_ (.A(\wb_dat_o[15] ),
+    .B(_1177_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1181_));
- sky130_fd_sc_hd__clkbuf_1 _3766_ (.A(_1181_),
+ sky130_fd_sc_hd__clkbuf_1 _3805_ (.A(_1181_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net79));
- sky130_fd_sc_hd__and2_1 _3767_ (.A(\wb_dat_o[17] ),
-    .B(_1180_),
+    .X(net78));
+ sky130_fd_sc_hd__clkbuf_1 _3806_ (.A(_1166_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1182_));
- sky130_fd_sc_hd__clkbuf_1 _3768_ (.A(_1182_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net80));
- sky130_fd_sc_hd__and2_1 _3769_ (.A(\wb_dat_o[18] ),
-    .B(_1180_),
+ sky130_fd_sc_hd__and2_1 _3807_ (.A(\wb_dat_o[16] ),
+    .B(_1182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1183_));
- sky130_fd_sc_hd__clkbuf_1 _3770_ (.A(_1183_),
+ sky130_fd_sc_hd__clkbuf_1 _3808_ (.A(_1183_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net81));
- sky130_fd_sc_hd__and2_1 _3771_ (.A(\wb_dat_o[19] ),
-    .B(_1180_),
+    .X(net79));
+ sky130_fd_sc_hd__and2_1 _3809_ (.A(\wb_dat_o[17] ),
+    .B(_1182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1184_));
- sky130_fd_sc_hd__clkbuf_1 _3772_ (.A(_1184_),
+ sky130_fd_sc_hd__clkbuf_1 _3810_ (.A(_1184_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net82));
- sky130_fd_sc_hd__dlymetal6s2s_1 _3773_ (.A(_1154_),
+    .X(net80));
+ sky130_fd_sc_hd__and2_1 _3811_ (.A(\wb_dat_o[18] ),
+    .B(_1182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1185_));
- sky130_fd_sc_hd__clkbuf_2 _3774_ (.A(_1185_),
+ sky130_fd_sc_hd__clkbuf_1 _3812_ (.A(_1185_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net81));
+ sky130_fd_sc_hd__and2_1 _3813_ (.A(\wb_dat_o[19] ),
+    .B(_1182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1186_));
- sky130_fd_sc_hd__clkbuf_1 _3775_ (.A(_1186_),
+ sky130_fd_sc_hd__clkbuf_1 _3814_ (.A(_1186_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net82));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _3815_ (.A(_1156_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1187_));
- sky130_fd_sc_hd__and2_1 _3776_ (.A(\wb_dat_o[20] ),
-    .B(_1187_),
+ sky130_fd_sc_hd__clkbuf_2 _3816_ (.A(_1187_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1188_));
- sky130_fd_sc_hd__clkbuf_1 _3777_ (.A(_1188_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net84));
- sky130_fd_sc_hd__and2_1 _3778_ (.A(\wb_dat_o[21] ),
-    .B(_1187_),
+ sky130_fd_sc_hd__clkbuf_1 _3817_ (.A(_1188_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1189_));
- sky130_fd_sc_hd__clkbuf_1 _3779_ (.A(_1189_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net85));
- sky130_fd_sc_hd__and2_1 _3780_ (.A(\wb_dat_o[22] ),
-    .B(_1187_),
+ sky130_fd_sc_hd__and2_1 _3818_ (.A(\wb_dat_o[20] ),
+    .B(_1189_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1190_));
- sky130_fd_sc_hd__clkbuf_1 _3781_ (.A(_1190_),
+ sky130_fd_sc_hd__clkbuf_1 _3819_ (.A(_1190_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net86));
- sky130_fd_sc_hd__and2_1 _3782_ (.A(\wb_dat_o[23] ),
-    .B(_1187_),
+    .X(net84));
+ sky130_fd_sc_hd__and2_1 _3820_ (.A(\wb_dat_o[21] ),
+    .B(_1189_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1191_));
- sky130_fd_sc_hd__clkbuf_1 _3783_ (.A(_1191_),
+ sky130_fd_sc_hd__clkbuf_1 _3821_ (.A(_1191_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net87));
- sky130_fd_sc_hd__clkbuf_1 _3784_ (.A(_1186_),
+    .X(net85));
+ sky130_fd_sc_hd__and2_1 _3822_ (.A(\wb_dat_o[22] ),
+    .B(_1189_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1192_));
- sky130_fd_sc_hd__and2_1 _3785_ (.A(\wb_dat_o[24] ),
-    .B(_1192_),
+ sky130_fd_sc_hd__clkbuf_1 _3823_ (.A(_1192_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net86));
+ sky130_fd_sc_hd__and2_1 _3824_ (.A(\wb_dat_o[23] ),
+    .B(_1189_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1193_));
- sky130_fd_sc_hd__clkbuf_1 _3786_ (.A(_1193_),
+ sky130_fd_sc_hd__clkbuf_1 _3825_ (.A(_1193_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net88));
- sky130_fd_sc_hd__and2_1 _3787_ (.A(\wb_dat_o[25] ),
-    .B(_1192_),
+    .X(net87));
+ sky130_fd_sc_hd__clkbuf_1 _3826_ (.A(_1188_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1194_));
- sky130_fd_sc_hd__clkbuf_1 _3788_ (.A(_1194_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net89));
- sky130_fd_sc_hd__and2_1 _3789_ (.A(\wb_dat_o[26] ),
-    .B(_1192_),
+ sky130_fd_sc_hd__and2_1 _3827_ (.A(\wb_dat_o[24] ),
+    .B(_1194_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1195_));
- sky130_fd_sc_hd__clkbuf_1 _3790_ (.A(_1195_),
+ sky130_fd_sc_hd__clkbuf_1 _3828_ (.A(_1195_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net90));
- sky130_fd_sc_hd__and2_1 _3791_ (.A(\wb_dat_o[27] ),
-    .B(_1192_),
+    .X(net88));
+ sky130_fd_sc_hd__and2_1 _3829_ (.A(\wb_dat_o[25] ),
+    .B(_1194_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1196_));
- sky130_fd_sc_hd__clkbuf_1 _3792_ (.A(_1196_),
+ sky130_fd_sc_hd__clkbuf_1 _3830_ (.A(_1196_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net91));
- sky130_fd_sc_hd__clkbuf_1 _3793_ (.A(_1186_),
+    .X(net89));
+ sky130_fd_sc_hd__and2_1 _3831_ (.A(\wb_dat_o[26] ),
+    .B(_1194_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1197_));
- sky130_fd_sc_hd__and2_1 _3794_ (.A(\wb_dat_o[28] ),
-    .B(_1197_),
+ sky130_fd_sc_hd__clkbuf_1 _3832_ (.A(_1197_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net90));
+ sky130_fd_sc_hd__and2_1 _3833_ (.A(\wb_dat_o[27] ),
+    .B(_1194_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1198_));
- sky130_fd_sc_hd__clkbuf_1 _3795_ (.A(_1198_),
+ sky130_fd_sc_hd__clkbuf_1 _3834_ (.A(_1198_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net92));
- sky130_fd_sc_hd__and2_1 _3796_ (.A(\wb_dat_o[29] ),
-    .B(_1197_),
+    .X(net91));
+ sky130_fd_sc_hd__clkbuf_1 _3835_ (.A(_1188_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1199_));
- sky130_fd_sc_hd__clkbuf_1 _3797_ (.A(_1199_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net93));
- sky130_fd_sc_hd__and2_1 _3798_ (.A(\wb_dat_o[30] ),
-    .B(_1197_),
+ sky130_fd_sc_hd__and2_1 _3836_ (.A(\wb_dat_o[28] ),
+    .B(_1199_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1200_));
- sky130_fd_sc_hd__clkbuf_1 _3799_ (.A(_1200_),
+ sky130_fd_sc_hd__clkbuf_1 _3837_ (.A(_1200_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net95));
- sky130_fd_sc_hd__and2_1 _3800_ (.A(\wb_dat_o[31] ),
-    .B(_1197_),
+    .X(net92));
+ sky130_fd_sc_hd__and2_1 _3838_ (.A(\wb_dat_o[29] ),
+    .B(_1199_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1201_));
- sky130_fd_sc_hd__clkbuf_1 _3801_ (.A(_1201_),
+ sky130_fd_sc_hd__clkbuf_1 _3839_ (.A(_1201_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net96));
- sky130_fd_sc_hd__buf_2 _3802_ (.A(_0926_),
+    .X(net93));
+ sky130_fd_sc_hd__and2_1 _3840_ (.A(\wb_dat_o[30] ),
+    .B(_1199_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1202_));
- sky130_fd_sc_hd__clkbuf_2 _3803_ (.A(_1202_),
+ sky130_fd_sc_hd__clkbuf_1 _3841_ (.A(_1202_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net95));
+ sky130_fd_sc_hd__and2_1 _3842_ (.A(\wb_dat_o[31] ),
+    .B(_1199_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1203_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _3804_ (.A(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__clkbuf_1 _3843_ (.A(_1203_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net96));
+ sky130_fd_sc_hd__clkbuf_2 _3844_ (.A(_0945_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1204_));
- sky130_fd_sc_hd__clkbuf_2 _3805_ (.A(_1204_),
+ sky130_fd_sc_hd__clkbuf_2 _3845_ (.A(_1204_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1205_));
- sky130_fd_sc_hd__clkbuf_2 _3806_ (.A(_1205_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _3846_ (.A(_1205_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1206_));
- sky130_fd_sc_hd__mux2_2 _3807_ (.A0(\u_async_wb.u_resp_if.mem[0][32] ),
-    .A1(\u_async_wb.u_resp_if.mem[1][32] ),
-    .S(_1206_),
+ sky130_fd_sc_hd__clkbuf_2 _3847_ (.A(\u_async_wb.u_resp_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1207_));
- sky130_fd_sc_hd__and2_4 _3808_ (.A(_1203_),
-    .B(_1207_),
+ sky130_fd_sc_hd__clkbuf_2 _3848_ (.A(_1207_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1208_));
- sky130_fd_sc_hd__clkbuf_1 _3809_ (.A(_1208_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(wb_err_o1));
- sky130_fd_sc_hd__clkbuf_1 _3810_ (.A(\u_wbclk.high_count[0] ),
+ sky130_fd_sc_hd__clkbuf_2 _3849_ (.A(_1208_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1209_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _3811_ (.A(\u_wbclk.high_count[1] ),
+ sky130_fd_sc_hd__mux2_2 _3850_ (.A0(\u_async_wb.u_resp_if.mem[0][32] ),
+    .A1(\u_async_wb.u_resp_if.mem[1][32] ),
+    .S(_1209_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1210_));
- sky130_fd_sc_hd__or3_1 _3812_ (.A(\u_wbclk.low_count[0] ),
+ sky130_fd_sc_hd__and2_4 _3851_ (.A(_1206_),
+    .B(_1210_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1211_));
+ sky130_fd_sc_hd__clkbuf_1 _3852_ (.A(_1211_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(wb_err_o1));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _3853_ (.A(\u_uart2wb.u_core.u_txfsm.divcnt[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1212_));
+ sky130_fd_sc_hd__inv_2 _3854_ (.A(_1212_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_0016_));
+ sky130_fd_sc_hd__clkbuf_2 _3855_ (.A(\u_uart2wb.u_core.u_rxfsm.offset[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1213_));
+ sky130_fd_sc_hd__clkinv_2 _3856_ (.A(_1213_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_0012_));
+ sky130_fd_sc_hd__clkbuf_1 _3857_ (.A(\u_wbclk.high_count[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1214_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _3858_ (.A(\u_wbclk.high_count[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1215_));
+ sky130_fd_sc_hd__or3_1 _3859_ (.A(\u_wbclk.low_count[0] ),
     .B(\u_wbclk.low_count[1] ),
     .C(\u_wbclk.clk_o ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1211_));
- sky130_fd_sc_hd__or3b_1 _3813_ (.A(_1209_),
-    .B(_1210_),
-    .C_N(_1211_),
+    .X(_1216_));
+ sky130_fd_sc_hd__or3b_1 _3860_ (.A(_1214_),
+    .B(_1215_),
+    .C_N(_1216_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1212_));
- sky130_fd_sc_hd__clkbuf_1 _3814_ (.A(_1212_),
+    .X(_1217_));
+ sky130_fd_sc_hd__clkbuf_1 _3861_ (.A(_1217_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0011_));
- sky130_fd_sc_hd__clkbuf_1 _3815_ (.A(\u_cpuclk.high_count[0] ),
+ sky130_fd_sc_hd__clkbuf_1 _3862_ (.A(\u_cpuclk.high_count[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1213_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _3816_ (.A(\u_cpuclk.high_count[1] ),
+    .X(_1218_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _3863_ (.A(\u_cpuclk.high_count[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1214_));
- sky130_fd_sc_hd__or3_1 _3817_ (.A(\u_cpuclk.low_count[0] ),
+    .X(_1219_));
+ sky130_fd_sc_hd__or3_1 _3864_ (.A(\u_cpuclk.low_count[0] ),
     .B(\u_cpuclk.low_count[1] ),
     .C(\u_cpu_clk_sel.A1 ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1215_));
- sky130_fd_sc_hd__or3b_1 _3818_ (.A(_1213_),
-    .B(_1214_),
-    .C_N(_1215_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1216_));
- sky130_fd_sc_hd__clkbuf_1 _3819_ (.A(_1216_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0007_));
- sky130_fd_sc_hd__or4_2 _3820_ (.A(\u_rtcclk.low_count[0] ),
-    .B(\u_rtcclk.low_count[1] ),
-    .C(\u_rtcclk.low_count[3] ),
-    .D(\u_rtcclk.low_count[2] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1217_));
- sky130_fd_sc_hd__or3_1 _3821_ (.A(\u_rtcclk.low_count[4] ),
-    .B(\u_rtcclk.low_count[5] ),
-    .C(_1217_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1218_));
- sky130_fd_sc_hd__or3_1 _3822_ (.A(\u_rtcclk.low_count[7] ),
-    .B(\u_rtcclk.low_count[6] ),
-    .C(_1218_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1219_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _3823_ (.A(_1219_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
     .X(_1220_));
- sky130_fd_sc_hd__clkbuf_2 _3824_ (.A(_1220_),
+ sky130_fd_sc_hd__or3b_1 _3865_ (.A(_1218_),
+    .B(_1219_),
+    .C_N(_1220_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1221_));
- sky130_fd_sc_hd__or4_1 _3825_ (.A(\u_rtcclk.high_count[0] ),
-    .B(\u_rtcclk.high_count[1] ),
+ sky130_fd_sc_hd__clkbuf_1 _3866_ (.A(_1221_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0007_));
+ sky130_fd_sc_hd__or4_1 _3867_ (.A(\u_rtcclk.high_count[1] ),
+    .B(\u_rtcclk.high_count[0] ),
     .C(\u_rtcclk.high_count[2] ),
     .D(\u_rtcclk.high_count[3] ),
     .VGND(vssd1),
@@ -68703,7 +69219,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1222_));
- sky130_fd_sc_hd__or4_1 _3826_ (.A(\u_rtcclk.high_count[4] ),
+ sky130_fd_sc_hd__or4_2 _3868_ (.A(\u_rtcclk.high_count[4] ),
     .B(\u_rtcclk.high_count[5] ),
     .C(\u_rtcclk.high_count[6] ),
     .D(_1222_),
@@ -68712,183 +69228,284 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1223_));
- sky130_fd_sc_hd__nor2_1 _3827_ (.A(\u_rtcclk.high_count[7] ),
+ sky130_fd_sc_hd__nor2_1 _3869_ (.A(\u_rtcclk.high_count[7] ),
     .B(_1223_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_1224_));
- sky130_fd_sc_hd__clkbuf_2 _3828_ (.A(_1224_),
+ sky130_fd_sc_hd__buf_2 _3870_ (.A(_1224_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1225_));
- sky130_fd_sc_hd__o21ai_1 _3829_ (.A1(\u_clkbuf_rtc.A ),
-    .A2(_1221_),
-    .B1(_1225_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_0008_));
- sky130_fd_sc_hd__or3_1 _3830_ (.A(\u_usbclk.low_count[1] ),
-    .B(\u_usbclk.low_count[0] ),
-    .C(\u_usbclk.low_count[2] ),
+ sky130_fd_sc_hd__clkbuf_2 _3871_ (.A(_1225_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1226_));
- sky130_fd_sc_hd__or2_1 _3831_ (.A(\u_usbclk.high_count[0] ),
-    .B(\u_usbclk.high_count[1] ),
+ sky130_fd_sc_hd__or2_1 _3872_ (.A(\u_rtcclk.high_count[7] ),
+    .B(_1223_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1227_));
- sky130_fd_sc_hd__nor2_1 _3832_ (.A(\u_usbclk.high_count[2] ),
-    .B(_1227_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _3873_ (.A(_1227_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_1228_));
- sky130_fd_sc_hd__o21ai_1 _3833_ (.A1(\u_usb_clk_sel.A1 ),
-    .A2(_1226_),
-    .B1(_1228_),
+    .X(_1228_));
+ sky130_fd_sc_hd__or4_2 _3874_ (.A(\u_rtcclk.low_count[0] ),
+    .B(\u_rtcclk.low_count[1] ),
+    .C(\u_rtcclk.low_count[2] ),
+    .D(\u_rtcclk.low_count[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_0010_));
- sky130_fd_sc_hd__xor2_1 _3834_ (.A(_0882_),
-    .B(_0886_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0017_));
- sky130_fd_sc_hd__a21oi_1 _3835_ (.A1(_0882_),
-    .A2(_0886_),
-    .B1(\u_uart2wb.u_core.u_txfsm.divcnt[2] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_1229_));
- sky130_fd_sc_hd__and3_1 _3836_ (.A(_0882_),
-    .B(_0886_),
-    .C(\u_uart2wb.u_core.u_txfsm.divcnt[2] ),
+    .X(_1229_));
+ sky130_fd_sc_hd__or3_1 _3875_ (.A(\u_rtcclk.low_count[4] ),
+    .B(\u_rtcclk.low_count[5] ),
+    .C(_1229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1230_));
- sky130_fd_sc_hd__nor2_1 _3837_ (.A(_1229_),
-    .B(_1230_),
+ sky130_fd_sc_hd__nor3_1 _3876_ (.A(\u_rtcclk.low_count[6] ),
+    .B(\u_rtcclk.low_count[7] ),
+    .C(_1230_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_0018_));
- sky130_fd_sc_hd__xor2_1 _3838_ (.A(\u_uart2wb.u_core.u_txfsm.divcnt[3] ),
-    .B(_1230_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0019_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _3839_ (.A(\u_uart2wb.u_core.u_rxfsm.offset[1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1231_));
- sky130_fd_sc_hd__xor2_1 _3840_ (.A(_0881_),
-    .B(_1231_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0013_));
- sky130_fd_sc_hd__and3_1 _3841_ (.A(\u_uart2wb.u_core.u_rxfsm.offset[0] ),
-    .B(_1231_),
-    .C(\u_uart2wb.u_core.u_rxfsm.offset[2] ),
+    .Y(_1231_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _3877_ (.A(_1231_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1232_));
- sky130_fd_sc_hd__a21oi_1 _3842_ (.A1(_0881_),
-    .A2(_1231_),
-    .B1(\u_uart2wb.u_core.u_rxfsm.offset[2] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_1233_));
- sky130_fd_sc_hd__nor2_1 _3843_ (.A(_1232_),
-    .B(_1233_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_0014_));
- sky130_fd_sc_hd__inv_2 _3844_ (.A(\u_uart2wb.u_core.u_rxfsm.offset[3] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_1234_));
- sky130_fd_sc_hd__xnor2_1 _3845_ (.A(_1234_),
+ sky130_fd_sc_hd__nor2_1 _3878_ (.A(_1228_),
     .B(_1232_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_0015_));
- sky130_fd_sc_hd__and2b_4 _3846_ (.A_N(wbm_rst_i),
-    .B(la_data_in[0]),
+    .Y(_1233_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _3879_ (.A(_1233_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1234_));
+ sky130_fd_sc_hd__a21oi_1 _3880_ (.A1(\u_clkbuf_rtc.A ),
+    .A2(_1226_),
+    .B1(_1234_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_0008_));
+ sky130_fd_sc_hd__or3_1 _3881_ (.A(\u_usbclk.low_count[0] ),
+    .B(\u_usbclk.low_count[1] ),
+    .C(\u_usbclk.low_count[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1235_));
- sky130_fd_sc_hd__clkbuf_2 _3847_ (.A(_1235_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(\u_wbm_rst.arst_n ));
- sky130_fd_sc_hd__and2_1 _3848_ (.A(wb_ack_o),
-    .B(_1157_),
+ sky130_fd_sc_hd__or2_1 _3882_ (.A(\u_usbclk.low_count[3] ),
+    .B(_1235_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1236_));
- sky130_fd_sc_hd__clkbuf_1 _3849_ (.A(_1236_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net71));
- sky130_fd_sc_hd__and2_1 _3850_ (.A(wb_err_o),
-    .B(_1157_),
+ sky130_fd_sc_hd__or4_1 _3883_ (.A(\u_usbclk.low_count[4] ),
+    .B(\u_usbclk.low_count[5] ),
+    .C(\u_usbclk.low_count[6] ),
+    .D(_1236_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1237_));
- sky130_fd_sc_hd__clkbuf_1 _3851_ (.A(_1237_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _3884_ (.A(_1237_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1238_));
+ sky130_fd_sc_hd__or4_2 _3885_ (.A(\u_usbclk.high_count[0] ),
+    .B(\u_usbclk.high_count[1] ),
+    .C(\u_usbclk.high_count[2] ),
+    .D(\u_usbclk.high_count[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1239_));
+ sky130_fd_sc_hd__or3_2 _3886_ (.A(\u_usbclk.high_count[5] ),
+    .B(\u_usbclk.high_count[4] ),
+    .C(_1239_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1240_));
+ sky130_fd_sc_hd__nor2_1 _3887_ (.A(\u_usbclk.high_count[6] ),
+    .B(_1240_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_1241_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _3888_ (.A(_1241_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1242_));
+ sky130_fd_sc_hd__o21ai_1 _3889_ (.A1(\u_usb_clk_sel.A1 ),
+    .A2(_1238_),
+    .B1(_1242_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_0010_));
+ sky130_fd_sc_hd__xor2_1 _3890_ (.A(_0892_),
+    .B(_1212_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0017_));
+ sky130_fd_sc_hd__a21oi_1 _3891_ (.A1(_0892_),
+    .A2(_1212_),
+    .B1(\u_uart2wb.u_core.u_txfsm.divcnt[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_1243_));
+ sky130_fd_sc_hd__and3_1 _3892_ (.A(_0892_),
+    .B(_1212_),
+    .C(\u_uart2wb.u_core.u_txfsm.divcnt[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1244_));
+ sky130_fd_sc_hd__nor2_1 _3893_ (.A(_1243_),
+    .B(_1244_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_0018_));
+ sky130_fd_sc_hd__xor2_1 _3894_ (.A(\u_uart2wb.u_core.u_txfsm.divcnt[3] ),
+    .B(_1244_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0019_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _3895_ (.A(\u_uart2wb.u_core.u_rxfsm.offset[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1245_));
+ sky130_fd_sc_hd__xor2_1 _3896_ (.A(_1213_),
+    .B(_1245_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0013_));
+ sky130_fd_sc_hd__and3_1 _3897_ (.A(\u_uart2wb.u_core.u_rxfsm.offset[0] ),
+    .B(_1245_),
+    .C(\u_uart2wb.u_core.u_rxfsm.offset[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1246_));
+ sky130_fd_sc_hd__a21oi_1 _3898_ (.A1(_1213_),
+    .A2(_1245_),
+    .B1(\u_uart2wb.u_core.u_rxfsm.offset[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_1247_));
+ sky130_fd_sc_hd__nor2_1 _3899_ (.A(_1246_),
+    .B(_1247_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_0014_));
+ sky130_fd_sc_hd__inv_2 _3900_ (.A(\u_uart2wb.u_core.u_rxfsm.offset[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_1248_));
+ sky130_fd_sc_hd__xnor2_1 _3901_ (.A(_1248_),
+    .B(_1246_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_0015_));
+ sky130_fd_sc_hd__and2b_4 _3902_ (.A_N(net209),
+    .B(la_data_in[0]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1249_));
+ sky130_fd_sc_hd__clkbuf_2 _3903_ (.A(net208),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\u_wbm_rst.arst_n ));
+ sky130_fd_sc_hd__and2_1 _3904_ (.A(wb_ack_o),
+    .B(_1159_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1250_));
+ sky130_fd_sc_hd__clkbuf_1 _3905_ (.A(_1250_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net71));
+ sky130_fd_sc_hd__and2_1 _3906_ (.A(wb_err_o),
+    .B(_1159_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1251_));
+ sky130_fd_sc_hd__clkbuf_1 _3907_ (.A(_1251_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net104));
- sky130_fd_sc_hd__or4_1 _3852_ (.A(\u_uart2wb.u_core.u_clk_ctl.low_count[0] ),
+ sky130_fd_sc_hd__or4_1 _3908_ (.A(\u_uart2wb.u_core.u_clk_ctl.low_count[0] ),
     .B(\u_uart2wb.u_core.u_clk_ctl.low_count[1] ),
     .C(\u_uart2wb.u_core.u_clk_ctl.low_count[2] ),
     .D(\u_uart2wb.u_core.u_clk_ctl.low_count[3] ),
@@ -68896,5474 +69513,5362 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1238_));
- sky130_fd_sc_hd__or4_1 _3853_ (.A(\u_uart2wb.u_core.u_clk_ctl.low_count[4] ),
+    .X(_1252_));
+ sky130_fd_sc_hd__or4_1 _3909_ (.A(\u_uart2wb.u_core.u_clk_ctl.low_count[4] ),
     .B(\u_uart2wb.u_core.u_clk_ctl.low_count[5] ),
     .C(\u_uart2wb.u_core.u_clk_ctl.low_count[6] ),
-    .D(_1238_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1239_));
- sky130_fd_sc_hd__or4_1 _3854_ (.A(\u_uart2wb.u_core.u_clk_ctl.low_count[7] ),
-    .B(\u_uart2wb.u_core.u_clk_ctl.low_count[8] ),
-    .C(\u_uart2wb.u_core.u_clk_ctl.low_count[9] ),
-    .D(_1239_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1240_));
- sky130_fd_sc_hd__or3_2 _3855_ (.A(\u_uart2wb.u_core.u_clk_ctl.low_count[10] ),
-    .B(\u_uart2wb.u_core.u_clk_ctl.low_count[11] ),
-    .C(_1240_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1241_));
- sky130_fd_sc_hd__clkbuf_2 _3856_ (.A(_1241_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1242_));
- sky130_fd_sc_hd__or4_1 _3857_ (.A(\u_uart2wb.u_core.u_clk_ctl.high_count[0] ),
-    .B(\u_uart2wb.u_core.u_clk_ctl.high_count[1] ),
-    .C(\u_uart2wb.u_core.u_clk_ctl.high_count[2] ),
-    .D(\u_uart2wb.u_core.u_clk_ctl.high_count[3] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1243_));
- sky130_fd_sc_hd__or4_1 _3858_ (.A(\u_uart2wb.u_core.u_clk_ctl.high_count[4] ),
-    .B(\u_uart2wb.u_core.u_clk_ctl.high_count[5] ),
-    .C(\u_uart2wb.u_core.u_clk_ctl.high_count[6] ),
-    .D(_1243_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1244_));
- sky130_fd_sc_hd__or4_2 _3859_ (.A(\u_uart2wb.u_core.u_clk_ctl.high_count[7] ),
-    .B(\u_uart2wb.u_core.u_clk_ctl.high_count[8] ),
-    .C(\u_uart2wb.u_core.u_clk_ctl.high_count[9] ),
-    .D(_1244_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1245_));
- sky130_fd_sc_hd__nor3_2 _3860_ (.A(\u_uart2wb.u_core.u_clk_ctl.high_count[10] ),
-    .B(\u_uart2wb.u_core.u_clk_ctl.high_count[11] ),
-    .C(_1245_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_1246_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _3861_ (.A(_1246_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1247_));
- sky130_fd_sc_hd__o21ai_1 _3862_ (.A1(\u_uart2wb.u_core.line_clk_16x ),
-    .A2(_1242_),
-    .B1(_1247_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_0009_));
- sky130_fd_sc_hd__clkbuf_1 _3863_ (.A(\u_arb.gnt[0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1248_));
- sky130_fd_sc_hd__clkbuf_1 _3864_ (.A(\u_arb.gnt[1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1249_));
- sky130_fd_sc_hd__or2_1 _3865_ (.A(_1248_),
-    .B(_1249_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1250_));
- sky130_fd_sc_hd__or2_4 _3866_ (.A(wbm_sel_i[0]),
-    .B(_1250_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1251_));
- sky130_fd_sc_hd__nor2_2 _3867_ (.A(_0933_),
-    .B(_0937_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_1252_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _3868_ (.A(_0930_),
+    .D(_1252_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1253_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _3869_ (.A(_0927_),
+ sky130_fd_sc_hd__or4_1 _3910_ (.A(\u_uart2wb.u_core.u_clk_ctl.low_count[7] ),
+    .B(\u_uart2wb.u_core.u_clk_ctl.low_count[8] ),
+    .C(\u_uart2wb.u_core.u_clk_ctl.low_count[9] ),
+    .D(_1253_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1254_));
- sky130_fd_sc_hd__nor2_2 _3870_ (.A(_1253_),
-    .B(_1254_),
+ sky130_fd_sc_hd__or3_1 _3911_ (.A(\u_uart2wb.u_core.u_clk_ctl.low_count[11] ),
+    .B(\u_uart2wb.u_core.u_clk_ctl.low_count[10] ),
+    .C(_1254_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_1255_));
- sky130_fd_sc_hd__nand2_4 _3871_ (.A(_1252_),
-    .B(_1255_),
+    .X(_1255_));
+ sky130_fd_sc_hd__clkbuf_2 _3912_ (.A(_1255_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_1256_));
- sky130_fd_sc_hd__clkbuf_2 _3872_ (.A(_1256_),
+    .X(_1256_));
+ sky130_fd_sc_hd__clkbuf_2 _3913_ (.A(_1256_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1257_));
- sky130_fd_sc_hd__clkbuf_2 _3873_ (.A(_1257_),
+ sky130_fd_sc_hd__or4_1 _3914_ (.A(\u_uart2wb.u_core.u_clk_ctl.high_count[0] ),
+    .B(\u_uart2wb.u_core.u_clk_ctl.high_count[1] ),
+    .C(\u_uart2wb.u_core.u_clk_ctl.high_count[3] ),
+    .D(\u_uart2wb.u_core.u_clk_ctl.high_count[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1258_));
- sky130_fd_sc_hd__mux2_1 _3874_ (.A0(_1251_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][0] ),
-    .S(_1258_),
+ sky130_fd_sc_hd__or4_1 _3915_ (.A(\u_uart2wb.u_core.u_clk_ctl.high_count[4] ),
+    .B(\u_uart2wb.u_core.u_clk_ctl.high_count[5] ),
+    .C(\u_uart2wb.u_core.u_clk_ctl.high_count[6] ),
+    .D(_1258_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1259_));
- sky130_fd_sc_hd__clkbuf_1 _3875_ (.A(_1259_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0020_));
- sky130_fd_sc_hd__or2_4 _3876_ (.A(wbm_sel_i[1]),
-    .B(_1250_),
+ sky130_fd_sc_hd__or4_1 _3916_ (.A(\u_uart2wb.u_core.u_clk_ctl.high_count[7] ),
+    .B(\u_uart2wb.u_core.u_clk_ctl.high_count[8] ),
+    .C(\u_uart2wb.u_core.u_clk_ctl.high_count[9] ),
+    .D(_1259_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1260_));
- sky130_fd_sc_hd__mux2_1 _3877_ (.A0(_1260_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][1] ),
-    .S(_1258_),
+ sky130_fd_sc_hd__clkbuf_1 _3917_ (.A(_1260_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1261_));
- sky130_fd_sc_hd__clkbuf_1 _3878_ (.A(_1261_),
+ sky130_fd_sc_hd__nor3_1 _3918_ (.A(\u_uart2wb.u_core.u_clk_ctl.high_count[11] ),
+    .B(\u_uart2wb.u_core.u_clk_ctl.high_count[10] ),
+    .C(_1261_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0021_));
- sky130_fd_sc_hd__or2_4 _3879_ (.A(wbm_sel_i[2]),
-    .B(_1250_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1262_));
- sky130_fd_sc_hd__mux2_1 _3880_ (.A0(_1262_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][2] ),
-    .S(_1258_),
+    .Y(_1262_));
+ sky130_fd_sc_hd__clkbuf_2 _3919_ (.A(_1262_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1263_));
- sky130_fd_sc_hd__clkbuf_1 _3881_ (.A(_1263_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0022_));
- sky130_fd_sc_hd__or2_4 _3882_ (.A(wbm_sel_i[3]),
-    .B(_1250_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _3920_ (.A(_1263_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1264_));
- sky130_fd_sc_hd__clkbuf_2 _3883_ (.A(_1257_),
+ sky130_fd_sc_hd__o21ai_1 _3921_ (.A1(\u_uart2wb.u_core.line_clk_16x ),
+    .A2(_1257_),
+    .B1(_1264_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_0009_));
+ sky130_fd_sc_hd__clkbuf_1 _3922_ (.A(\u_arb.gnt[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1265_));
- sky130_fd_sc_hd__mux2_1 _3884_ (.A0(_1264_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][3] ),
-    .S(_1265_),
+ sky130_fd_sc_hd__clkbuf_1 _3923_ (.A(\u_arb.gnt[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1266_));
- sky130_fd_sc_hd__clkbuf_1 _3885_ (.A(_1266_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0023_));
- sky130_fd_sc_hd__mux2_4 _3886_ (.A0(\u_uart2wb.reg_wdata[0] ),
-    .A1(wbm_dat_i[0]),
-    .S(_1156_),
+ sky130_fd_sc_hd__or2_1 _3924_ (.A(_1265_),
+    .B(_1266_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1267_));
- sky130_fd_sc_hd__clkbuf_4 _3887_ (.A(_1267_),
+ sky130_fd_sc_hd__or2_4 _3925_ (.A(wbm_sel_i[0]),
+    .B(_1267_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1268_));
- sky130_fd_sc_hd__mux2_1 _3888_ (.A0(_1268_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][4] ),
-    .S(_1265_),
+ sky130_fd_sc_hd__nor2_1 _3926_ (.A(_0933_),
+    .B(_0938_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1269_));
- sky130_fd_sc_hd__clkbuf_1 _3889_ (.A(_1269_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0024_));
- sky130_fd_sc_hd__mux2_4 _3890_ (.A0(\u_uart2wb.reg_wdata[1] ),
-    .A1(wbm_dat_i[1]),
-    .S(_1156_),
+    .Y(_1269_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _3927_ (.A(_0929_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1270_));
- sky130_fd_sc_hd__buf_2 _3891_ (.A(_1270_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _3928_ (.A(_0926_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1271_));
- sky130_fd_sc_hd__mux2_1 _3892_ (.A0(_1271_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][5] ),
-    .S(_1265_),
+ sky130_fd_sc_hd__nor2_1 _3929_ (.A(_1270_),
+    .B(_1271_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1272_));
- sky130_fd_sc_hd__clkbuf_1 _3893_ (.A(_1272_),
+    .Y(_1272_));
+ sky130_fd_sc_hd__nand2_2 _3930_ (.A(_1269_),
+    .B(_1272_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0025_));
- sky130_fd_sc_hd__buf_2 _3894_ (.A(_1155_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1273_));
- sky130_fd_sc_hd__mux2_4 _3895_ (.A0(\u_uart2wb.reg_wdata[2] ),
-    .A1(wbm_dat_i[2]),
-    .S(_1273_),
+    .Y(_1273_));
+ sky130_fd_sc_hd__clkbuf_2 _3931_ (.A(_1273_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1274_));
- sky130_fd_sc_hd__clkbuf_2 _3896_ (.A(_1274_),
+ sky130_fd_sc_hd__clkbuf_2 _3932_ (.A(_1274_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1275_));
- sky130_fd_sc_hd__mux2_1 _3897_ (.A0(_1275_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][6] ),
-    .S(_1265_),
+ sky130_fd_sc_hd__mux2_1 _3933_ (.A0(_1268_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][0] ),
+    .S(_1275_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1276_));
- sky130_fd_sc_hd__clkbuf_1 _3898_ (.A(_1276_),
+ sky130_fd_sc_hd__clkbuf_1 _3934_ (.A(_1276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0026_));
- sky130_fd_sc_hd__mux2_4 _3899_ (.A0(\u_uart2wb.reg_wdata[3] ),
-    .A1(wbm_dat_i[3]),
-    .S(_1273_),
+    .X(_0020_));
+ sky130_fd_sc_hd__or2_4 _3935_ (.A(wbm_sel_i[1]),
+    .B(_1267_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1277_));
- sky130_fd_sc_hd__buf_2 _3900_ (.A(_1277_),
+ sky130_fd_sc_hd__mux2_1 _3936_ (.A0(_1277_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][1] ),
+    .S(_1275_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1278_));
- sky130_fd_sc_hd__clkbuf_2 _3901_ (.A(_1257_),
+ sky130_fd_sc_hd__clkbuf_1 _3937_ (.A(_1278_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0021_));
+ sky130_fd_sc_hd__or2_4 _3938_ (.A(wbm_sel_i[2]),
+    .B(_1267_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1279_));
- sky130_fd_sc_hd__mux2_1 _3902_ (.A0(_1278_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][7] ),
-    .S(_1279_),
+ sky130_fd_sc_hd__mux2_1 _3939_ (.A0(_1279_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][2] ),
+    .S(_1275_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1280_));
- sky130_fd_sc_hd__clkbuf_1 _3903_ (.A(_1280_),
+ sky130_fd_sc_hd__clkbuf_1 _3940_ (.A(_1280_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0027_));
- sky130_fd_sc_hd__mux2_8 _3904_ (.A0(\u_uart2wb.reg_wdata[4] ),
-    .A1(wbm_dat_i[4]),
-    .S(_1273_),
+    .X(_0022_));
+ sky130_fd_sc_hd__or2_4 _3941_ (.A(wbm_sel_i[3]),
+    .B(_1267_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1281_));
- sky130_fd_sc_hd__clkbuf_2 _3905_ (.A(_1281_),
+ sky130_fd_sc_hd__clkbuf_2 _3942_ (.A(_1274_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1282_));
- sky130_fd_sc_hd__mux2_1 _3906_ (.A0(_1282_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][8] ),
-    .S(_1279_),
+ sky130_fd_sc_hd__mux2_1 _3943_ (.A0(_1281_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][3] ),
+    .S(_1282_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1283_));
- sky130_fd_sc_hd__clkbuf_1 _3907_ (.A(_1283_),
+ sky130_fd_sc_hd__clkbuf_1 _3944_ (.A(_1283_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0028_));
- sky130_fd_sc_hd__mux2_8 _3908_ (.A0(\u_uart2wb.reg_wdata[5] ),
-    .A1(wbm_dat_i[5]),
-    .S(_1273_),
+    .X(_0023_));
+ sky130_fd_sc_hd__mux2_4 _3945_ (.A0(\u_uart2wb.reg_wdata[0] ),
+    .A1(wbm_dat_i[0]),
+    .S(_1158_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1284_));
- sky130_fd_sc_hd__buf_2 _3909_ (.A(_1284_),
+ sky130_fd_sc_hd__buf_2 _3946_ (.A(_1284_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1285_));
- sky130_fd_sc_hd__mux2_1 _3910_ (.A0(_1285_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][9] ),
-    .S(_1279_),
+ sky130_fd_sc_hd__mux2_1 _3947_ (.A0(_1285_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][4] ),
+    .S(_1282_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1286_));
- sky130_fd_sc_hd__clkbuf_1 _3911_ (.A(_1286_),
+ sky130_fd_sc_hd__clkbuf_1 _3948_ (.A(_1286_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0029_));
- sky130_fd_sc_hd__clkbuf_4 _3912_ (.A(_1155_),
+    .X(_0024_));
+ sky130_fd_sc_hd__mux2_4 _3949_ (.A0(\u_uart2wb.reg_wdata[1] ),
+    .A1(wbm_dat_i[1]),
+    .S(_1158_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1287_));
- sky130_fd_sc_hd__mux2_8 _3913_ (.A0(\u_uart2wb.reg_wdata[6] ),
-    .A1(wbm_dat_i[6]),
-    .S(_1287_),
+ sky130_fd_sc_hd__clkbuf_2 _3950_ (.A(_1287_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1288_));
- sky130_fd_sc_hd__buf_2 _3914_ (.A(_1288_),
+ sky130_fd_sc_hd__mux2_1 _3951_ (.A0(_1288_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][5] ),
+    .S(_1282_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1289_));
- sky130_fd_sc_hd__mux2_1 _3915_ (.A0(_1289_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][10] ),
-    .S(_1279_),
+ sky130_fd_sc_hd__clkbuf_1 _3952_ (.A(_1289_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0025_));
+ sky130_fd_sc_hd__clkbuf_2 _3953_ (.A(_1157_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1290_));
- sky130_fd_sc_hd__clkbuf_1 _3916_ (.A(_1290_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0030_));
- sky130_fd_sc_hd__mux2_8 _3917_ (.A0(\u_uart2wb.reg_wdata[7] ),
-    .A1(wbm_dat_i[7]),
-    .S(_1287_),
+ sky130_fd_sc_hd__mux2_4 _3954_ (.A0(\u_uart2wb.reg_wdata[2] ),
+    .A1(wbm_dat_i[2]),
+    .S(_1290_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1291_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _3918_ (.A(_1291_),
+ sky130_fd_sc_hd__clkbuf_2 _3955_ (.A(_1291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1292_));
- sky130_fd_sc_hd__buf_2 _3919_ (.A(_1256_),
+ sky130_fd_sc_hd__mux2_1 _3956_ (.A0(_1292_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][6] ),
+    .S(_1282_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1293_));
- sky130_fd_sc_hd__buf_2 _3920_ (.A(_1293_),
+ sky130_fd_sc_hd__clkbuf_1 _3957_ (.A(_1293_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0026_));
+ sky130_fd_sc_hd__mux2_4 _3958_ (.A0(\u_uart2wb.reg_wdata[3] ),
+    .A1(wbm_dat_i[3]),
+    .S(_1290_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1294_));
- sky130_fd_sc_hd__clkbuf_2 _3921_ (.A(_1294_),
+ sky130_fd_sc_hd__buf_2 _3959_ (.A(_1294_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1295_));
- sky130_fd_sc_hd__mux2_1 _3922_ (.A0(_1292_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][11] ),
-    .S(_1295_),
+ sky130_fd_sc_hd__clkbuf_2 _3960_ (.A(_1274_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1296_));
- sky130_fd_sc_hd__clkbuf_1 _3923_ (.A(_1296_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0031_));
- sky130_fd_sc_hd__mux2_8 _3924_ (.A0(\u_uart2wb.reg_wdata[8] ),
-    .A1(wbm_dat_i[8]),
-    .S(_1287_),
+ sky130_fd_sc_hd__mux2_1 _3961_ (.A0(_1295_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][7] ),
+    .S(_1296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1297_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _3925_ (.A(_1297_),
+ sky130_fd_sc_hd__clkbuf_1 _3962_ (.A(_1297_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0027_));
+ sky130_fd_sc_hd__mux2_4 _3963_ (.A0(\u_uart2wb.reg_wdata[4] ),
+    .A1(wbm_dat_i[4]),
+    .S(_1290_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1298_));
- sky130_fd_sc_hd__mux2_1 _3926_ (.A0(_1298_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][12] ),
-    .S(_1295_),
+ sky130_fd_sc_hd__buf_2 _3964_ (.A(_1298_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1299_));
- sky130_fd_sc_hd__clkbuf_1 _3927_ (.A(_1299_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0032_));
- sky130_fd_sc_hd__mux2_8 _3928_ (.A0(\u_uart2wb.reg_wdata[9] ),
-    .A1(wbm_dat_i[9]),
-    .S(_1287_),
+ sky130_fd_sc_hd__mux2_1 _3965_ (.A0(_1299_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][8] ),
+    .S(_1296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1300_));
- sky130_fd_sc_hd__clkbuf_2 _3929_ (.A(_1300_),
+ sky130_fd_sc_hd__clkbuf_1 _3966_ (.A(_1300_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0028_));
+ sky130_fd_sc_hd__mux2_4 _3967_ (.A0(\u_uart2wb.reg_wdata[5] ),
+    .A1(wbm_dat_i[5]),
+    .S(_1290_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1301_));
- sky130_fd_sc_hd__mux2_1 _3930_ (.A0(_1301_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][13] ),
-    .S(_1295_),
+ sky130_fd_sc_hd__clkbuf_2 _3968_ (.A(_1301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1302_));
- sky130_fd_sc_hd__clkbuf_1 _3931_ (.A(_1302_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0033_));
- sky130_fd_sc_hd__clkbuf_4 _3932_ (.A(_1155_),
+ sky130_fd_sc_hd__mux2_1 _3969_ (.A0(_1302_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][9] ),
+    .S(_1296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1303_));
- sky130_fd_sc_hd__mux2_8 _3933_ (.A0(\u_uart2wb.reg_wdata[10] ),
-    .A1(wbm_dat_i[10]),
-    .S(_1303_),
+ sky130_fd_sc_hd__clkbuf_1 _3970_ (.A(_1303_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0029_));
+ sky130_fd_sc_hd__buf_2 _3971_ (.A(_1157_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1304_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _3934_ (.A(_1304_),
+ sky130_fd_sc_hd__mux2_4 _3972_ (.A0(\u_uart2wb.reg_wdata[6] ),
+    .A1(wbm_dat_i[6]),
+    .S(_1304_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1305_));
- sky130_fd_sc_hd__mux2_1 _3935_ (.A0(_1305_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][14] ),
-    .S(_1295_),
+ sky130_fd_sc_hd__clkbuf_2 _3973_ (.A(_1305_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1306_));
- sky130_fd_sc_hd__clkbuf_1 _3936_ (.A(_1306_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0034_));
- sky130_fd_sc_hd__mux2_8 _3937_ (.A0(\u_uart2wb.reg_wdata[11] ),
-    .A1(wbm_dat_i[11]),
-    .S(_1303_),
+ sky130_fd_sc_hd__mux2_1 _3974_ (.A0(_1306_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][10] ),
+    .S(_1296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1307_));
- sky130_fd_sc_hd__clkbuf_1 _3938_ (.A(_1307_),
+ sky130_fd_sc_hd__clkbuf_1 _3975_ (.A(_1307_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0030_));
+ sky130_fd_sc_hd__mux2_4 _3976_ (.A0(\u_uart2wb.reg_wdata[7] ),
+    .A1(wbm_dat_i[7]),
+    .S(_1304_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1308_));
- sky130_fd_sc_hd__clkbuf_2 _3939_ (.A(_1294_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _3977_ (.A(_1308_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1309_));
- sky130_fd_sc_hd__mux2_1 _3940_ (.A0(_1308_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][15] ),
-    .S(_1309_),
+ sky130_fd_sc_hd__clkbuf_4 _3978_ (.A(_1273_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1310_));
- sky130_fd_sc_hd__clkbuf_1 _3941_ (.A(_1310_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0035_));
- sky130_fd_sc_hd__mux2_8 _3942_ (.A0(\u_uart2wb.reg_wdata[12] ),
-    .A1(wbm_dat_i[12]),
-    .S(_1303_),
+ sky130_fd_sc_hd__clkbuf_2 _3979_ (.A(_1310_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1311_));
- sky130_fd_sc_hd__clkbuf_2 _3943_ (.A(_1311_),
+ sky130_fd_sc_hd__clkbuf_2 _3980_ (.A(_1311_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1312_));
- sky130_fd_sc_hd__mux2_1 _3944_ (.A0(_1312_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][16] ),
-    .S(_1309_),
+ sky130_fd_sc_hd__mux2_1 _3981_ (.A0(_1309_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][11] ),
+    .S(_1312_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1313_));
- sky130_fd_sc_hd__clkbuf_1 _3945_ (.A(_1313_),
+ sky130_fd_sc_hd__clkbuf_1 _3982_ (.A(_1313_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0036_));
- sky130_fd_sc_hd__mux2_8 _3946_ (.A0(\u_uart2wb.reg_wdata[13] ),
-    .A1(wbm_dat_i[13]),
-    .S(_1303_),
+    .X(_0031_));
+ sky130_fd_sc_hd__mux2_8 _3983_ (.A0(\u_uart2wb.reg_wdata[8] ),
+    .A1(wbm_dat_i[8]),
+    .S(_1304_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1314_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _3947_ (.A(_1314_),
+ sky130_fd_sc_hd__clkbuf_1 _3984_ (.A(_1314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1315_));
- sky130_fd_sc_hd__mux2_1 _3948_ (.A0(_1315_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][17] ),
-    .S(_1309_),
+ sky130_fd_sc_hd__mux2_1 _3985_ (.A0(_1315_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][12] ),
+    .S(_1312_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1316_));
- sky130_fd_sc_hd__clkbuf_1 _3949_ (.A(_1316_),
+ sky130_fd_sc_hd__clkbuf_1 _3986_ (.A(_1316_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0037_));
- sky130_fd_sc_hd__clkbuf_1 _3950_ (.A(_1154_),
+    .X(_0032_));
+ sky130_fd_sc_hd__mux2_8 _3987_ (.A0(\u_uart2wb.reg_wdata[9] ),
+    .A1(wbm_dat_i[9]),
+    .S(_1304_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1317_));
- sky130_fd_sc_hd__clkbuf_4 _3951_ (.A(_1317_),
+ sky130_fd_sc_hd__clkbuf_2 _3988_ (.A(_1317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1318_));
- sky130_fd_sc_hd__mux2_8 _3952_ (.A0(\u_uart2wb.reg_wdata[14] ),
-    .A1(wbm_dat_i[14]),
-    .S(_1318_),
+ sky130_fd_sc_hd__mux2_1 _3989_ (.A0(_1318_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][13] ),
+    .S(_1312_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1319_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _3953_ (.A(_1319_),
+ sky130_fd_sc_hd__clkbuf_1 _3990_ (.A(_1319_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0033_));
+ sky130_fd_sc_hd__clkbuf_4 _3991_ (.A(_1157_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1320_));
- sky130_fd_sc_hd__mux2_1 _3954_ (.A0(_1320_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][18] ),
-    .S(_1309_),
+ sky130_fd_sc_hd__mux2_8 _3992_ (.A0(\u_uart2wb.reg_wdata[10] ),
+    .A1(wbm_dat_i[10]),
+    .S(_1320_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1321_));
- sky130_fd_sc_hd__clkbuf_1 _3955_ (.A(_1321_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0038_));
- sky130_fd_sc_hd__mux2_8 _3956_ (.A0(\u_uart2wb.reg_wdata[15] ),
-    .A1(wbm_dat_i[15]),
-    .S(_1318_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _3993_ (.A(_1321_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1322_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _3957_ (.A(_1322_),
+ sky130_fd_sc_hd__mux2_1 _3994_ (.A0(_1322_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][14] ),
+    .S(_1312_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1323_));
- sky130_fd_sc_hd__clkbuf_2 _3958_ (.A(_1294_),
+ sky130_fd_sc_hd__clkbuf_1 _3995_ (.A(_1323_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0034_));
+ sky130_fd_sc_hd__mux2_8 _3996_ (.A0(\u_uart2wb.reg_wdata[11] ),
+    .A1(wbm_dat_i[11]),
+    .S(_1320_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1324_));
- sky130_fd_sc_hd__mux2_1 _3959_ (.A0(_1323_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][19] ),
-    .S(_1324_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _3997_ (.A(_1324_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1325_));
- sky130_fd_sc_hd__clkbuf_1 _3960_ (.A(_1325_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0039_));
- sky130_fd_sc_hd__mux2_8 _3961_ (.A0(\u_uart2wb.reg_wdata[16] ),
-    .A1(wbm_dat_i[16]),
-    .S(_1318_),
+ sky130_fd_sc_hd__buf_2 _3998_ (.A(_1311_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1326_));
- sky130_fd_sc_hd__clkbuf_1 _3962_ (.A(_1326_),
+ sky130_fd_sc_hd__mux2_1 _3999_ (.A0(_1325_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][15] ),
+    .S(_1326_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1327_));
- sky130_fd_sc_hd__mux2_1 _3963_ (.A0(_1327_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][20] ),
-    .S(_1324_),
+ sky130_fd_sc_hd__clkbuf_1 _4000_ (.A(_1327_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0035_));
+ sky130_fd_sc_hd__mux2_8 _4001_ (.A0(\u_uart2wb.reg_wdata[12] ),
+    .A1(wbm_dat_i[12]),
+    .S(_1320_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1328_));
- sky130_fd_sc_hd__clkbuf_1 _3964_ (.A(_1328_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0040_));
- sky130_fd_sc_hd__mux2_8 _3965_ (.A0(\u_uart2wb.reg_wdata[17] ),
-    .A1(wbm_dat_i[17]),
-    .S(_1318_),
+ sky130_fd_sc_hd__clkbuf_2 _4002_ (.A(_1328_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1329_));
- sky130_fd_sc_hd__clkbuf_1 _3966_ (.A(_1329_),
+ sky130_fd_sc_hd__mux2_1 _4003_ (.A0(_1329_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][16] ),
+    .S(_1326_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1330_));
- sky130_fd_sc_hd__mux2_1 _3967_ (.A0(_1330_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][21] ),
-    .S(_1324_),
+ sky130_fd_sc_hd__clkbuf_1 _4004_ (.A(_1330_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0036_));
+ sky130_fd_sc_hd__mux2_8 _4005_ (.A0(\u_uart2wb.reg_wdata[13] ),
+    .A1(wbm_dat_i[13]),
+    .S(_1320_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1331_));
- sky130_fd_sc_hd__clkbuf_1 _3968_ (.A(_1331_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0041_));
- sky130_fd_sc_hd__buf_2 _3969_ (.A(_1317_),
+ sky130_fd_sc_hd__clkbuf_2 _4006_ (.A(_1331_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1332_));
- sky130_fd_sc_hd__mux2_8 _3970_ (.A0(\u_uart2wb.reg_wdata[18] ),
-    .A1(wbm_dat_i[18]),
-    .S(_1332_),
+ sky130_fd_sc_hd__mux2_1 _4007_ (.A0(_1332_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][17] ),
+    .S(_1326_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1333_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _3971_ (.A(_1333_),
+ sky130_fd_sc_hd__clkbuf_1 _4008_ (.A(_1333_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0037_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4009_ (.A(_1156_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1334_));
- sky130_fd_sc_hd__mux2_1 _3972_ (.A0(_1334_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][22] ),
-    .S(_1324_),
+ sky130_fd_sc_hd__buf_2 _4010_ (.A(_1334_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1335_));
- sky130_fd_sc_hd__clkbuf_1 _3973_ (.A(_1335_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0042_));
- sky130_fd_sc_hd__mux2_4 _3974_ (.A0(\u_uart2wb.reg_wdata[19] ),
-    .A1(wbm_dat_i[19]),
-    .S(_1332_),
+ sky130_fd_sc_hd__mux2_8 _4011_ (.A0(\u_uart2wb.reg_wdata[14] ),
+    .A1(wbm_dat_i[14]),
+    .S(_1335_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1336_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _3975_ (.A(_1336_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4012_ (.A(_1336_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1337_));
- sky130_fd_sc_hd__clkbuf_2 _3976_ (.A(_1294_),
+ sky130_fd_sc_hd__mux2_1 _4013_ (.A0(_1337_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][18] ),
+    .S(_1326_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1338_));
- sky130_fd_sc_hd__mux2_1 _3977_ (.A0(_1337_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][23] ),
-    .S(_1338_),
+ sky130_fd_sc_hd__clkbuf_1 _4014_ (.A(_1338_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0038_));
+ sky130_fd_sc_hd__mux2_8 _4015_ (.A0(\u_uart2wb.reg_wdata[15] ),
+    .A1(wbm_dat_i[15]),
+    .S(_1335_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1339_));
- sky130_fd_sc_hd__clkbuf_1 _3978_ (.A(_1339_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0043_));
- sky130_fd_sc_hd__mux2_8 _3979_ (.A0(\u_uart2wb.reg_wdata[20] ),
-    .A1(wbm_dat_i[20]),
-    .S(_1332_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4016_ (.A(_1339_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1340_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _3980_ (.A(_1340_),
+ sky130_fd_sc_hd__clkbuf_2 _4017_ (.A(_1311_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1341_));
- sky130_fd_sc_hd__mux2_1 _3981_ (.A0(_1341_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][24] ),
-    .S(_1338_),
+ sky130_fd_sc_hd__mux2_1 _4018_ (.A0(_1340_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][19] ),
+    .S(_1341_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1342_));
- sky130_fd_sc_hd__clkbuf_1 _3982_ (.A(_1342_),
+ sky130_fd_sc_hd__clkbuf_1 _4019_ (.A(_1342_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0044_));
- sky130_fd_sc_hd__mux2_4 _3983_ (.A0(\u_uart2wb.reg_wdata[21] ),
-    .A1(wbm_dat_i[21]),
-    .S(_1332_),
+    .X(_0039_));
+ sky130_fd_sc_hd__mux2_8 _4020_ (.A0(\u_uart2wb.reg_wdata[16] ),
+    .A1(wbm_dat_i[16]),
+    .S(_1335_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1343_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _3984_ (.A(_1343_),
+ sky130_fd_sc_hd__clkbuf_1 _4021_ (.A(_1343_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1344_));
- sky130_fd_sc_hd__mux2_1 _3985_ (.A0(_1344_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][25] ),
-    .S(_1338_),
+ sky130_fd_sc_hd__mux2_1 _4022_ (.A0(_1344_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][20] ),
+    .S(_1341_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1345_));
- sky130_fd_sc_hd__clkbuf_1 _3986_ (.A(_1345_),
+ sky130_fd_sc_hd__clkbuf_1 _4023_ (.A(_1345_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0045_));
- sky130_fd_sc_hd__buf_2 _3987_ (.A(_1317_),
+    .X(_0040_));
+ sky130_fd_sc_hd__mux2_4 _4024_ (.A0(\u_uart2wb.reg_wdata[17] ),
+    .A1(wbm_dat_i[17]),
+    .S(_1335_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1346_));
- sky130_fd_sc_hd__mux2_4 _3988_ (.A0(\u_uart2wb.reg_wdata[22] ),
-    .A1(wbm_dat_i[22]),
-    .S(_1346_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4025_ (.A(_1346_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1347_));
- sky130_fd_sc_hd__clkbuf_2 _3989_ (.A(_1347_),
+ sky130_fd_sc_hd__mux2_1 _4026_ (.A0(_1347_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][21] ),
+    .S(_1341_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1348_));
- sky130_fd_sc_hd__mux2_1 _3990_ (.A0(_1348_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][26] ),
-    .S(_1338_),
+ sky130_fd_sc_hd__clkbuf_1 _4027_ (.A(_1348_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0041_));
+ sky130_fd_sc_hd__clkbuf_2 _4028_ (.A(_1334_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1349_));
- sky130_fd_sc_hd__clkbuf_1 _3991_ (.A(_1349_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0046_));
- sky130_fd_sc_hd__mux2_4 _3992_ (.A0(\u_uart2wb.reg_wdata[23] ),
-    .A1(wbm_dat_i[23]),
-    .S(_1346_),
+ sky130_fd_sc_hd__mux2_4 _4029_ (.A0(\u_uart2wb.reg_wdata[18] ),
+    .A1(wbm_dat_i[18]),
+    .S(_1349_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1350_));
- sky130_fd_sc_hd__clkbuf_2 _3993_ (.A(_1350_),
+ sky130_fd_sc_hd__clkbuf_1 _4030_ (.A(_1350_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1351_));
- sky130_fd_sc_hd__clkbuf_2 _3994_ (.A(_1293_),
+ sky130_fd_sc_hd__mux2_1 _4031_ (.A0(_1351_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][22] ),
+    .S(_1341_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1352_));
- sky130_fd_sc_hd__clkbuf_2 _3995_ (.A(_1352_),
+ sky130_fd_sc_hd__clkbuf_1 _4032_ (.A(_1352_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0042_));
+ sky130_fd_sc_hd__mux2_4 _4033_ (.A0(\u_uart2wb.reg_wdata[19] ),
+    .A1(wbm_dat_i[19]),
+    .S(_1349_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1353_));
- sky130_fd_sc_hd__mux2_1 _3996_ (.A0(_1351_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][27] ),
-    .S(_1353_),
+ sky130_fd_sc_hd__clkbuf_1 _4034_ (.A(_1353_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1354_));
- sky130_fd_sc_hd__clkbuf_1 _3997_ (.A(_1354_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0047_));
- sky130_fd_sc_hd__mux2_4 _3998_ (.A0(\u_uart2wb.reg_wdata[24] ),
-    .A1(wbm_dat_i[24]),
-    .S(_1346_),
+ sky130_fd_sc_hd__buf_2 _4035_ (.A(_1311_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1355_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _3999_ (.A(_1355_),
+ sky130_fd_sc_hd__mux2_1 _4036_ (.A0(_1354_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][23] ),
+    .S(_1355_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1356_));
- sky130_fd_sc_hd__mux2_1 _4000_ (.A0(_1356_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][28] ),
-    .S(_1353_),
+ sky130_fd_sc_hd__clkbuf_1 _4037_ (.A(_1356_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0043_));
+ sky130_fd_sc_hd__mux2_4 _4038_ (.A0(\u_uart2wb.reg_wdata[20] ),
+    .A1(wbm_dat_i[20]),
+    .S(_1349_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1357_));
- sky130_fd_sc_hd__clkbuf_1 _4001_ (.A(_1357_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0048_));
- sky130_fd_sc_hd__mux2_4 _4002_ (.A0(\u_uart2wb.reg_wdata[25] ),
-    .A1(wbm_dat_i[25]),
-    .S(_1346_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4039_ (.A(_1357_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1358_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4003_ (.A(_1358_),
+ sky130_fd_sc_hd__mux2_1 _4040_ (.A0(_1358_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][24] ),
+    .S(_1355_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1359_));
- sky130_fd_sc_hd__mux2_1 _4004_ (.A0(_1359_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][29] ),
-    .S(_1353_),
+ sky130_fd_sc_hd__clkbuf_1 _4041_ (.A(_1359_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0044_));
+ sky130_fd_sc_hd__mux2_4 _4042_ (.A0(\u_uart2wb.reg_wdata[21] ),
+    .A1(wbm_dat_i[21]),
+    .S(_1349_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1360_));
- sky130_fd_sc_hd__clkbuf_1 _4005_ (.A(_1360_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0049_));
- sky130_fd_sc_hd__clkbuf_2 _4006_ (.A(_1317_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4043_ (.A(_1360_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1361_));
- sky130_fd_sc_hd__mux2_4 _4007_ (.A0(\u_uart2wb.reg_wdata[26] ),
-    .A1(wbm_dat_i[26]),
-    .S(_1361_),
+ sky130_fd_sc_hd__mux2_1 _4044_ (.A0(_1361_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][25] ),
+    .S(_1355_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1362_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4008_ (.A(_1362_),
+ sky130_fd_sc_hd__clkbuf_1 _4045_ (.A(_1362_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0045_));
+ sky130_fd_sc_hd__clkbuf_2 _4046_ (.A(_1334_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1363_));
- sky130_fd_sc_hd__mux2_1 _4009_ (.A0(_1363_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][30] ),
-    .S(_1353_),
+ sky130_fd_sc_hd__mux2_4 _4047_ (.A0(\u_uart2wb.reg_wdata[22] ),
+    .A1(wbm_dat_i[22]),
+    .S(_1363_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1364_));
- sky130_fd_sc_hd__clkbuf_1 _4010_ (.A(_1364_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0050_));
- sky130_fd_sc_hd__mux2_2 _4011_ (.A0(\u_uart2wb.reg_wdata[27] ),
-    .A1(wbm_dat_i[27]),
-    .S(_1361_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4048_ (.A(_1364_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1365_));
- sky130_fd_sc_hd__clkbuf_2 _4012_ (.A(_1365_),
+ sky130_fd_sc_hd__mux2_1 _4049_ (.A0(_1365_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][26] ),
+    .S(_1355_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1366_));
- sky130_fd_sc_hd__buf_2 _4013_ (.A(_1352_),
+ sky130_fd_sc_hd__clkbuf_1 _4050_ (.A(_1366_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0046_));
+ sky130_fd_sc_hd__mux2_4 _4051_ (.A0(\u_uart2wb.reg_wdata[23] ),
+    .A1(wbm_dat_i[23]),
+    .S(_1363_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1367_));
- sky130_fd_sc_hd__mux2_1 _4014_ (.A0(_1366_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][31] ),
-    .S(_1367_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4052_ (.A(_1367_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1368_));
- sky130_fd_sc_hd__clkbuf_1 _4015_ (.A(_1368_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0051_));
- sky130_fd_sc_hd__mux2_4 _4016_ (.A0(\u_uart2wb.reg_wdata[28] ),
-    .A1(wbm_dat_i[28]),
-    .S(_1361_),
+ sky130_fd_sc_hd__clkbuf_4 _4053_ (.A(_1310_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1369_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4017_ (.A(_1369_),
+ sky130_fd_sc_hd__clkbuf_2 _4054_ (.A(_1369_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1370_));
- sky130_fd_sc_hd__mux2_1 _4018_ (.A0(_1370_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][32] ),
-    .S(_1367_),
+ sky130_fd_sc_hd__mux2_1 _4055_ (.A0(_1368_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][27] ),
+    .S(_1370_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1371_));
- sky130_fd_sc_hd__clkbuf_1 _4019_ (.A(_1371_),
+ sky130_fd_sc_hd__clkbuf_1 _4056_ (.A(_1371_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0052_));
- sky130_fd_sc_hd__mux2_4 _4020_ (.A0(\u_uart2wb.reg_wdata[29] ),
-    .A1(wbm_dat_i[29]),
-    .S(_1361_),
+    .X(_0047_));
+ sky130_fd_sc_hd__mux2_4 _4057_ (.A0(\u_uart2wb.reg_wdata[24] ),
+    .A1(wbm_dat_i[24]),
+    .S(_1363_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1372_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4021_ (.A(_1372_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4058_ (.A(_1372_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1373_));
- sky130_fd_sc_hd__mux2_1 _4022_ (.A0(_1373_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][33] ),
-    .S(_1367_),
+ sky130_fd_sc_hd__mux2_1 _4059_ (.A0(_1373_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][28] ),
+    .S(_1370_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1374_));
- sky130_fd_sc_hd__clkbuf_1 _4023_ (.A(_1374_),
+ sky130_fd_sc_hd__clkbuf_1 _4060_ (.A(_1374_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0053_));
- sky130_fd_sc_hd__mux2_4 _4024_ (.A0(\u_uart2wb.reg_wdata[30] ),
-    .A1(wbm_dat_i[30]),
-    .S(_1185_),
+    .X(_0048_));
+ sky130_fd_sc_hd__mux2_4 _4061_ (.A0(\u_uart2wb.reg_wdata[25] ),
+    .A1(wbm_dat_i[25]),
+    .S(_1363_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1375_));
- sky130_fd_sc_hd__clkbuf_2 _4025_ (.A(_1375_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4062_ (.A(_1375_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1376_));
- sky130_fd_sc_hd__mux2_1 _4026_ (.A0(_1376_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][34] ),
-    .S(_1367_),
+ sky130_fd_sc_hd__mux2_1 _4063_ (.A0(_1376_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][29] ),
+    .S(_1370_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1377_));
- sky130_fd_sc_hd__clkbuf_1 _4027_ (.A(_1377_),
+ sky130_fd_sc_hd__clkbuf_1 _4064_ (.A(_1377_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0054_));
- sky130_fd_sc_hd__mux2_4 _4028_ (.A0(\u_uart2wb.reg_wdata[31] ),
-    .A1(wbm_dat_i[31]),
-    .S(_1185_),
+    .X(_0049_));
+ sky130_fd_sc_hd__clkbuf_2 _4065_ (.A(_1334_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1378_));
- sky130_fd_sc_hd__clkbuf_2 _4029_ (.A(_1378_),
+ sky130_fd_sc_hd__mux2_4 _4066_ (.A0(\u_uart2wb.reg_wdata[26] ),
+    .A1(wbm_dat_i[26]),
+    .S(_1378_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1379_));
- sky130_fd_sc_hd__buf_2 _4030_ (.A(_1352_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4067_ (.A(_1379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1380_));
- sky130_fd_sc_hd__mux2_1 _4031_ (.A0(_1379_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][35] ),
-    .S(_1380_),
+ sky130_fd_sc_hd__mux2_1 _4068_ (.A0(_1380_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][30] ),
+    .S(_1370_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1381_));
- sky130_fd_sc_hd__clkbuf_1 _4032_ (.A(_1381_),
+ sky130_fd_sc_hd__clkbuf_1 _4069_ (.A(_1381_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0055_));
- sky130_fd_sc_hd__clkbuf_1 _4033_ (.A(_0941_),
+    .X(_0050_));
+ sky130_fd_sc_hd__mux2_4 _4070_ (.A0(\u_uart2wb.reg_wdata[27] ),
+    .A1(wbm_dat_i[27]),
+    .S(_1378_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1382_));
- sky130_fd_sc_hd__mux2_1 _4034_ (.A0(_1382_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][36] ),
-    .S(_1380_),
+ sky130_fd_sc_hd__clkbuf_1 _4071_ (.A(_1382_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1383_));
- sky130_fd_sc_hd__clkbuf_1 _4035_ (.A(_1383_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0056_));
- sky130_fd_sc_hd__mux2_4 _4036_ (.A0(\u_uart2wb.reg_addr[0] ),
-    .A1(wbm_adr_i[0]),
-    .S(_1186_),
+ sky130_fd_sc_hd__clkbuf_2 _4072_ (.A(_1369_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1384_));
- sky130_fd_sc_hd__mux2_1 _4037_ (.A0(_1384_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][37] ),
-    .S(_1380_),
+ sky130_fd_sc_hd__mux2_1 _4073_ (.A0(_1383_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][31] ),
+    .S(_1384_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1385_));
- sky130_fd_sc_hd__clkbuf_1 _4038_ (.A(_1385_),
+ sky130_fd_sc_hd__clkbuf_1 _4074_ (.A(_1385_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0057_));
- sky130_fd_sc_hd__clkbuf_2 _4039_ (.A(_1185_),
+    .X(_0051_));
+ sky130_fd_sc_hd__mux2_4 _4075_ (.A0(\u_uart2wb.reg_wdata[28] ),
+    .A1(wbm_dat_i[28]),
+    .S(_1378_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1386_));
- sky130_fd_sc_hd__mux2_2 _4040_ (.A0(\u_uart2wb.reg_addr[1] ),
-    .A1(wbm_adr_i[1]),
-    .S(_1386_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4076_ (.A(_1386_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1387_));
- sky130_fd_sc_hd__mux2_1 _4041_ (.A0(_1387_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][38] ),
-    .S(_1380_),
+ sky130_fd_sc_hd__mux2_1 _4077_ (.A0(_1387_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][32] ),
+    .S(_1384_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1388_));
- sky130_fd_sc_hd__clkbuf_1 _4042_ (.A(_1388_),
+ sky130_fd_sc_hd__clkbuf_1 _4078_ (.A(_1388_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0058_));
- sky130_fd_sc_hd__or3_2 _4043_ (.A(_1248_),
-    .B(_1249_),
-    .C(wbm_adr_i[2]),
+    .X(_0052_));
+ sky130_fd_sc_hd__mux2_4 _4079_ (.A0(\u_uart2wb.reg_wdata[29] ),
+    .A1(wbm_dat_i[29]),
+    .S(_1378_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1389_));
- sky130_fd_sc_hd__o21a_4 _4044_ (.A1(\u_uart2wb.reg_addr[2] ),
-    .A2(_1153_),
-    .B1(_1389_),
+ sky130_fd_sc_hd__clkbuf_1 _4080_ (.A(_1389_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1390_));
- sky130_fd_sc_hd__clkbuf_1 _4045_ (.A(_1390_),
+ sky130_fd_sc_hd__mux2_1 _4081_ (.A0(_1390_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][33] ),
+    .S(_1384_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1391_));
- sky130_fd_sc_hd__clkbuf_2 _4046_ (.A(_1352_),
+ sky130_fd_sc_hd__clkbuf_1 _4082_ (.A(_1391_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0053_));
+ sky130_fd_sc_hd__mux2_4 _4083_ (.A0(\u_uart2wb.reg_wdata[30] ),
+    .A1(wbm_dat_i[30]),
+    .S(_1187_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1392_));
- sky130_fd_sc_hd__mux2_1 _4047_ (.A0(_1391_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][39] ),
-    .S(_1392_),
+ sky130_fd_sc_hd__clkbuf_2 _4084_ (.A(_1392_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1393_));
- sky130_fd_sc_hd__clkbuf_1 _4048_ (.A(_1393_),
+ sky130_fd_sc_hd__mux2_1 _4085_ (.A0(_1393_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][34] ),
+    .S(_1384_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1394_));
+ sky130_fd_sc_hd__clkbuf_1 _4086_ (.A(_1394_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0054_));
+ sky130_fd_sc_hd__mux2_4 _4087_ (.A0(\u_uart2wb.reg_wdata[31] ),
+    .A1(wbm_dat_i[31]),
+    .S(_1187_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1395_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4088_ (.A(_1395_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1396_));
+ sky130_fd_sc_hd__buf_2 _4089_ (.A(_1369_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1397_));
+ sky130_fd_sc_hd__mux2_1 _4090_ (.A0(_1396_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][35] ),
+    .S(_1397_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1398_));
+ sky130_fd_sc_hd__clkbuf_1 _4091_ (.A(_1398_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0055_));
+ sky130_fd_sc_hd__clkbuf_1 _4092_ (.A(_0924_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1399_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4093_ (.A(_1399_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1400_));
+ sky130_fd_sc_hd__mux2_1 _4094_ (.A0(_1400_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][36] ),
+    .S(_1397_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1401_));
+ sky130_fd_sc_hd__clkbuf_1 _4095_ (.A(_1401_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0056_));
+ sky130_fd_sc_hd__mux2_4 _4096_ (.A0(\u_uart2wb.reg_addr[0] ),
+    .A1(wbm_adr_i[0]),
+    .S(_1188_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1402_));
+ sky130_fd_sc_hd__mux2_1 _4097_ (.A0(_1402_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][37] ),
+    .S(_1397_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1403_));
+ sky130_fd_sc_hd__clkbuf_1 _4098_ (.A(_1403_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0057_));
+ sky130_fd_sc_hd__clkbuf_2 _4099_ (.A(_1187_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1404_));
+ sky130_fd_sc_hd__mux2_2 _4100_ (.A0(\u_uart2wb.reg_addr[1] ),
+    .A1(wbm_adr_i[1]),
+    .S(_1404_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1405_));
+ sky130_fd_sc_hd__mux2_1 _4101_ (.A0(_1405_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][38] ),
+    .S(_1397_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1406_));
+ sky130_fd_sc_hd__clkbuf_1 _4102_ (.A(_1406_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0058_));
+ sky130_fd_sc_hd__or3_2 _4103_ (.A(_1265_),
+    .B(_1266_),
+    .C(wbm_adr_i[2]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1407_));
+ sky130_fd_sc_hd__o21a_2 _4104_ (.A1(\u_uart2wb.reg_addr[2] ),
+    .A2(_1155_),
+    .B1(_1407_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1408_));
+ sky130_fd_sc_hd__clkbuf_1 _4105_ (.A(_1408_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1409_));
+ sky130_fd_sc_hd__clkbuf_2 _4106_ (.A(_1369_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1410_));
+ sky130_fd_sc_hd__mux2_1 _4107_ (.A0(_1409_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][39] ),
+    .S(_1410_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1411_));
+ sky130_fd_sc_hd__clkbuf_1 _4108_ (.A(_1411_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0059_));
- sky130_fd_sc_hd__or3_2 _4049_ (.A(\u_arb.gnt[0] ),
+ sky130_fd_sc_hd__or3_2 _4109_ (.A(\u_arb.gnt[0] ),
     .B(\u_arb.gnt[1] ),
     .C(wbm_adr_i[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1394_));
- sky130_fd_sc_hd__o21a_4 _4050_ (.A1(\u_uart2wb.reg_addr[3] ),
-    .A2(_1153_),
-    .B1(_1394_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1395_));
- sky130_fd_sc_hd__clkbuf_2 _4051_ (.A(_1395_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1396_));
- sky130_fd_sc_hd__clkbuf_4 _4052_ (.A(_1396_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1397_));
- sky130_fd_sc_hd__clkbuf_1 _4053_ (.A(_1397_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1398_));
- sky130_fd_sc_hd__mux2_1 _4054_ (.A0(_1398_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][40] ),
-    .S(_1392_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1399_));
- sky130_fd_sc_hd__clkbuf_1 _4055_ (.A(_1399_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0060_));
- sky130_fd_sc_hd__mux2_2 _4056_ (.A0(\u_uart2wb.reg_addr[4] ),
-    .A1(wbm_adr_i[4]),
-    .S(_1386_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1400_));
- sky130_fd_sc_hd__mux2_1 _4057_ (.A0(_1400_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][41] ),
-    .S(_1392_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1401_));
- sky130_fd_sc_hd__clkbuf_1 _4058_ (.A(_1401_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0061_));
- sky130_fd_sc_hd__mux2_2 _4059_ (.A0(\u_uart2wb.reg_addr[5] ),
-    .A1(wbm_adr_i[5]),
-    .S(_1386_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1402_));
- sky130_fd_sc_hd__mux2_1 _4060_ (.A0(_1402_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][42] ),
-    .S(_1392_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1403_));
- sky130_fd_sc_hd__clkbuf_1 _4061_ (.A(_1403_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0062_));
- sky130_fd_sc_hd__mux2_2 _4062_ (.A0(\u_uart2wb.reg_addr[6] ),
-    .A1(wbm_adr_i[6]),
-    .S(_1386_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1404_));
- sky130_fd_sc_hd__buf_4 _4063_ (.A(_1256_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1405_));
- sky130_fd_sc_hd__clkbuf_2 _4064_ (.A(_1405_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1406_));
- sky130_fd_sc_hd__mux2_1 _4065_ (.A0(_1404_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][43] ),
-    .S(_1406_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1407_));
- sky130_fd_sc_hd__clkbuf_1 _4066_ (.A(_1407_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0063_));
- sky130_fd_sc_hd__clkbuf_2 _4067_ (.A(_1154_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1408_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4068_ (.A(_1408_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1409_));
- sky130_fd_sc_hd__mux2_2 _4069_ (.A0(\u_uart2wb.reg_addr[7] ),
-    .A1(wbm_adr_i[7]),
-    .S(_1409_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1410_));
- sky130_fd_sc_hd__mux2_1 _4070_ (.A0(_1410_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][44] ),
-    .S(_1406_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1411_));
- sky130_fd_sc_hd__clkbuf_1 _4071_ (.A(_1411_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0064_));
- sky130_fd_sc_hd__mux2_2 _4072_ (.A0(\u_uart2wb.reg_addr[8] ),
-    .A1(wbm_adr_i[8]),
-    .S(_1409_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
     .X(_1412_));
- sky130_fd_sc_hd__mux2_1 _4073_ (.A0(_1412_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][45] ),
-    .S(_1406_),
+ sky130_fd_sc_hd__o21a_4 _4110_ (.A1(\u_uart2wb.reg_addr[3] ),
+    .A2(_0923_),
+    .B1(_1412_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1413_));
- sky130_fd_sc_hd__clkbuf_1 _4074_ (.A(_1413_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0065_));
- sky130_fd_sc_hd__mux2_2 _4075_ (.A0(\u_uart2wb.reg_addr[9] ),
-    .A1(wbm_adr_i[9]),
-    .S(_1409_),
+ sky130_fd_sc_hd__clkbuf_1 _4111_ (.A(_1413_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1414_));
- sky130_fd_sc_hd__mux2_1 _4076_ (.A0(_1414_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][46] ),
-    .S(_1406_),
+ sky130_fd_sc_hd__buf_4 _4112_ (.A(_1414_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1415_));
- sky130_fd_sc_hd__clkbuf_1 _4077_ (.A(_1415_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0066_));
- sky130_fd_sc_hd__mux2_1 _4078_ (.A0(\u_uart2wb.reg_addr[10] ),
-    .A1(wbm_adr_i[10]),
-    .S(_1409_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4113_ (.A(_1415_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1416_));
- sky130_fd_sc_hd__clkbuf_2 _4079_ (.A(_1405_),
+ sky130_fd_sc_hd__mux2_1 _4114_ (.A0(_1416_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][40] ),
+    .S(_1410_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1417_));
- sky130_fd_sc_hd__mux2_1 _4080_ (.A0(_1416_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][47] ),
-    .S(_1417_),
+ sky130_fd_sc_hd__clkbuf_1 _4115_ (.A(_1417_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0060_));
+ sky130_fd_sc_hd__mux2_2 _4116_ (.A0(\u_uart2wb.reg_addr[4] ),
+    .A1(wbm_adr_i[4]),
+    .S(_1404_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1418_));
- sky130_fd_sc_hd__clkbuf_1 _4081_ (.A(_1418_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0067_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4082_ (.A(_1408_),
+ sky130_fd_sc_hd__mux2_1 _4117_ (.A0(_1418_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][41] ),
+    .S(_1410_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1419_));
- sky130_fd_sc_hd__mux2_1 _4083_ (.A0(\u_uart2wb.reg_addr[11] ),
-    .A1(wbm_adr_i[11]),
-    .S(_1419_),
+ sky130_fd_sc_hd__clkbuf_1 _4118_ (.A(_1419_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0061_));
+ sky130_fd_sc_hd__mux2_2 _4119_ (.A0(\u_uart2wb.reg_addr[5] ),
+    .A1(wbm_adr_i[5]),
+    .S(_1404_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1420_));
- sky130_fd_sc_hd__mux2_1 _4084_ (.A0(_1420_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][48] ),
-    .S(_1417_),
+ sky130_fd_sc_hd__mux2_1 _4120_ (.A0(_1420_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][42] ),
+    .S(_1410_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1421_));
- sky130_fd_sc_hd__clkbuf_1 _4085_ (.A(_1421_),
+ sky130_fd_sc_hd__clkbuf_1 _4121_ (.A(_1421_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0068_));
- sky130_fd_sc_hd__mux2_2 _4086_ (.A0(\u_uart2wb.reg_addr[12] ),
-    .A1(wbm_adr_i[12]),
-    .S(_1419_),
+    .X(_0062_));
+ sky130_fd_sc_hd__mux2_2 _4122_ (.A0(\u_uart2wb.reg_addr[6] ),
+    .A1(wbm_adr_i[6]),
+    .S(_1404_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1422_));
- sky130_fd_sc_hd__mux2_1 _4087_ (.A0(_1422_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][49] ),
-    .S(_1417_),
+ sky130_fd_sc_hd__clkbuf_4 _4123_ (.A(_1273_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1423_));
- sky130_fd_sc_hd__clkbuf_1 _4088_ (.A(_1423_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0069_));
- sky130_fd_sc_hd__mux2_2 _4089_ (.A0(\u_uart2wb.reg_addr[13] ),
-    .A1(wbm_adr_i[13]),
-    .S(_1419_),
+ sky130_fd_sc_hd__clkbuf_2 _4124_ (.A(_1423_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1424_));
- sky130_fd_sc_hd__mux2_1 _4090_ (.A0(_1424_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][50] ),
-    .S(_1417_),
+ sky130_fd_sc_hd__mux2_1 _4125_ (.A0(_1422_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][43] ),
+    .S(_1424_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1425_));
- sky130_fd_sc_hd__clkbuf_1 _4091_ (.A(_1425_),
+ sky130_fd_sc_hd__clkbuf_1 _4126_ (.A(_1425_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0070_));
- sky130_fd_sc_hd__mux2_2 _4092_ (.A0(\u_uart2wb.reg_addr[14] ),
-    .A1(wbm_adr_i[14]),
-    .S(_1419_),
+    .X(_0063_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4127_ (.A(_1156_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1426_));
- sky130_fd_sc_hd__clkbuf_2 _4093_ (.A(_1405_),
+ sky130_fd_sc_hd__clkbuf_2 _4128_ (.A(_1426_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1427_));
- sky130_fd_sc_hd__mux2_1 _4094_ (.A0(_1426_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][51] ),
+ sky130_fd_sc_hd__mux2_2 _4129_ (.A0(\u_uart2wb.reg_addr[7] ),
+    .A1(wbm_adr_i[7]),
     .S(_1427_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1428_));
- sky130_fd_sc_hd__clkbuf_1 _4095_ (.A(_1428_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0071_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4096_ (.A(_1408_),
+ sky130_fd_sc_hd__mux2_1 _4130_ (.A0(_1428_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][44] ),
+    .S(_1424_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1429_));
- sky130_fd_sc_hd__mux2_2 _4097_ (.A0(\u_uart2wb.reg_addr[15] ),
-    .A1(wbm_adr_i[15]),
-    .S(_1429_),
+ sky130_fd_sc_hd__clkbuf_1 _4131_ (.A(_1429_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0064_));
+ sky130_fd_sc_hd__mux2_2 _4132_ (.A0(\u_uart2wb.reg_addr[8] ),
+    .A1(wbm_adr_i[8]),
+    .S(_1427_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1430_));
- sky130_fd_sc_hd__mux2_1 _4098_ (.A0(_1430_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][52] ),
-    .S(_1427_),
+ sky130_fd_sc_hd__mux2_1 _4133_ (.A0(_1430_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][45] ),
+    .S(_1424_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1431_));
- sky130_fd_sc_hd__clkbuf_1 _4099_ (.A(_1431_),
+ sky130_fd_sc_hd__clkbuf_1 _4134_ (.A(_1431_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0072_));
- sky130_fd_sc_hd__mux2_1 _4100_ (.A0(\u_uart2wb.reg_addr[16] ),
-    .A1(wbm_adr_i[16]),
-    .S(_1429_),
+    .X(_0065_));
+ sky130_fd_sc_hd__mux2_2 _4135_ (.A0(\u_uart2wb.reg_addr[9] ),
+    .A1(wbm_adr_i[9]),
+    .S(_1427_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1432_));
- sky130_fd_sc_hd__mux2_1 _4101_ (.A0(_1432_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][53] ),
-    .S(_1427_),
+ sky130_fd_sc_hd__mux2_1 _4136_ (.A0(_1432_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][46] ),
+    .S(_1424_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1433_));
- sky130_fd_sc_hd__clkbuf_1 _4102_ (.A(_1433_),
+ sky130_fd_sc_hd__clkbuf_1 _4137_ (.A(_1433_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0073_));
- sky130_fd_sc_hd__mux2_2 _4103_ (.A0(\u_uart2wb.reg_addr[17] ),
-    .A1(wbm_adr_i[17]),
-    .S(_1429_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1434_));
- sky130_fd_sc_hd__mux2_1 _4104_ (.A0(_1434_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][54] ),
+    .X(_0066_));
+ sky130_fd_sc_hd__mux2_2 _4138_ (.A0(\u_uart2wb.reg_addr[10] ),
+    .A1(wbm_adr_i[10]),
     .S(_1427_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1435_));
- sky130_fd_sc_hd__clkbuf_1 _4105_ (.A(_1435_),
+    .X(_1434_));
+ sky130_fd_sc_hd__clkbuf_2 _4139_ (.A(_1423_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0074_));
- sky130_fd_sc_hd__mux2_2 _4106_ (.A0(\u_uart2wb.reg_addr[18] ),
-    .A1(wbm_adr_i[18]),
-    .S(_1429_),
+    .X(_1435_));
+ sky130_fd_sc_hd__mux2_1 _4140_ (.A0(_1434_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][47] ),
+    .S(_1435_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1436_));
- sky130_fd_sc_hd__clkbuf_2 _4107_ (.A(_1405_),
+ sky130_fd_sc_hd__clkbuf_1 _4141_ (.A(_1436_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0067_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4142_ (.A(_1426_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1437_));
- sky130_fd_sc_hd__mux2_1 _4108_ (.A0(_1436_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][55] ),
+ sky130_fd_sc_hd__mux2_2 _4143_ (.A0(\u_uart2wb.reg_addr[11] ),
+    .A1(wbm_adr_i[11]),
     .S(_1437_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1438_));
- sky130_fd_sc_hd__clkbuf_1 _4109_ (.A(_1438_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0075_));
- sky130_fd_sc_hd__clkbuf_2 _4110_ (.A(_1408_),
+ sky130_fd_sc_hd__mux2_1 _4144_ (.A0(_1438_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][48] ),
+    .S(_1435_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1439_));
- sky130_fd_sc_hd__mux2_1 _4111_ (.A0(\u_uart2wb.reg_addr[19] ),
-    .A1(wbm_adr_i[19]),
-    .S(_1439_),
+ sky130_fd_sc_hd__clkbuf_1 _4145_ (.A(_1439_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0068_));
+ sky130_fd_sc_hd__mux2_2 _4146_ (.A0(\u_uart2wb.reg_addr[12] ),
+    .A1(wbm_adr_i[12]),
+    .S(_1437_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1440_));
- sky130_fd_sc_hd__mux2_1 _4112_ (.A0(_1440_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][56] ),
-    .S(_1437_),
+ sky130_fd_sc_hd__mux2_1 _4147_ (.A0(_1440_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][49] ),
+    .S(_1435_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1441_));
- sky130_fd_sc_hd__clkbuf_1 _4113_ (.A(_1441_),
+ sky130_fd_sc_hd__clkbuf_1 _4148_ (.A(_1441_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0076_));
- sky130_fd_sc_hd__mux2_1 _4114_ (.A0(\u_uart2wb.reg_addr[20] ),
-    .A1(wbm_adr_i[20]),
-    .S(_1439_),
+    .X(_0069_));
+ sky130_fd_sc_hd__mux2_2 _4149_ (.A0(\u_uart2wb.reg_addr[13] ),
+    .A1(wbm_adr_i[13]),
+    .S(_1437_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1442_));
- sky130_fd_sc_hd__mux2_1 _4115_ (.A0(_1442_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][57] ),
-    .S(_1437_),
+ sky130_fd_sc_hd__mux2_1 _4150_ (.A0(_1442_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][50] ),
+    .S(_1435_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1443_));
- sky130_fd_sc_hd__clkbuf_1 _4116_ (.A(_1443_),
+ sky130_fd_sc_hd__clkbuf_1 _4151_ (.A(_1443_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0077_));
- sky130_fd_sc_hd__mux2_1 _4117_ (.A0(\u_uart2wb.reg_addr[21] ),
-    .A1(wbm_adr_i[21]),
-    .S(_1439_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1444_));
- sky130_fd_sc_hd__mux2_1 _4118_ (.A0(_1444_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][58] ),
+    .X(_0070_));
+ sky130_fd_sc_hd__mux2_2 _4152_ (.A0(\u_uart2wb.reg_addr[14] ),
+    .A1(wbm_adr_i[14]),
     .S(_1437_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1445_));
- sky130_fd_sc_hd__clkbuf_1 _4119_ (.A(_1445_),
+    .X(_1444_));
+ sky130_fd_sc_hd__clkbuf_2 _4153_ (.A(_1423_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0078_));
- sky130_fd_sc_hd__mux2_4 _4120_ (.A0(\u_uart2wb.reg_addr[22] ),
-    .A1(wbm_adr_i[22]),
-    .S(_1439_),
+    .X(_1445_));
+ sky130_fd_sc_hd__mux2_1 _4154_ (.A0(_1444_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][51] ),
+    .S(_1445_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1446_));
- sky130_fd_sc_hd__clkbuf_2 _4121_ (.A(_1293_),
+ sky130_fd_sc_hd__clkbuf_1 _4155_ (.A(_1446_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0071_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4156_ (.A(_1426_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1447_));
- sky130_fd_sc_hd__mux2_1 _4122_ (.A0(_1446_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][59] ),
+ sky130_fd_sc_hd__mux2_2 _4157_ (.A0(\u_uart2wb.reg_addr[15] ),
+    .A1(wbm_adr_i[15]),
     .S(_1447_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1448_));
- sky130_fd_sc_hd__clkbuf_1 _4123_ (.A(_1448_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0079_));
- sky130_fd_sc_hd__and2_1 _4124_ (.A(\u_async_wb.u_cmd_if.mem[0][60] ),
-    .B(_1258_),
+ sky130_fd_sc_hd__mux2_1 _4158_ (.A0(_1448_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][52] ),
+    .S(_1445_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1449_));
- sky130_fd_sc_hd__clkbuf_1 _4125_ (.A(_1449_),
+ sky130_fd_sc_hd__clkbuf_1 _4159_ (.A(_1449_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0080_));
- sky130_fd_sc_hd__clkbuf_1 _4126_ (.A(\u_async_wb.m_cmd_wr_data[61] ),
+    .X(_0072_));
+ sky130_fd_sc_hd__mux2_2 _4160_ (.A0(\u_uart2wb.reg_addr[16] ),
+    .A1(wbm_adr_i[16]),
+    .S(_1447_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1450_));
- sky130_fd_sc_hd__mux2_1 _4127_ (.A0(_1450_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][61] ),
-    .S(_1447_),
+ sky130_fd_sc_hd__mux2_1 _4161_ (.A0(_1450_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][53] ),
+    .S(_1445_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1451_));
- sky130_fd_sc_hd__clkbuf_1 _4128_ (.A(_1451_),
+ sky130_fd_sc_hd__clkbuf_1 _4162_ (.A(_1451_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0081_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4129_ (.A(\u_async_wb.m_cmd_wr_data[62] ),
+    .X(_0073_));
+ sky130_fd_sc_hd__mux2_2 _4163_ (.A0(\u_uart2wb.reg_addr[17] ),
+    .A1(wbm_adr_i[17]),
+    .S(_1447_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1452_));
- sky130_fd_sc_hd__mux2_1 _4130_ (.A0(_1452_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][62] ),
-    .S(_1447_),
+ sky130_fd_sc_hd__mux2_1 _4164_ (.A0(_1452_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][54] ),
+    .S(_1445_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1453_));
- sky130_fd_sc_hd__clkbuf_1 _4131_ (.A(_1453_),
+ sky130_fd_sc_hd__clkbuf_1 _4165_ (.A(_1453_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0082_));
- sky130_fd_sc_hd__clkbuf_1 _4132_ (.A(\u_async_wb.m_cmd_wr_data[63] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1454_));
- sky130_fd_sc_hd__mux2_1 _4133_ (.A0(_1454_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][63] ),
+    .X(_0074_));
+ sky130_fd_sc_hd__mux2_2 _4166_ (.A0(\u_uart2wb.reg_addr[18] ),
+    .A1(wbm_adr_i[18]),
     .S(_1447_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1455_));
- sky130_fd_sc_hd__clkbuf_1 _4134_ (.A(_1455_),
+    .X(_1454_));
+ sky130_fd_sc_hd__clkbuf_2 _4167_ (.A(_1423_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0083_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4135_ (.A(\u_async_wb.m_cmd_wr_data[64] ),
+    .X(_1455_));
+ sky130_fd_sc_hd__mux2_1 _4168_ (.A0(_1454_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][55] ),
+    .S(_1455_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1456_));
- sky130_fd_sc_hd__clkbuf_2 _4136_ (.A(_1293_),
+ sky130_fd_sc_hd__clkbuf_1 _4169_ (.A(_1456_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0075_));
+ sky130_fd_sc_hd__clkbuf_2 _4170_ (.A(_1426_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1457_));
- sky130_fd_sc_hd__mux2_1 _4137_ (.A0(_1456_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][64] ),
+ sky130_fd_sc_hd__mux2_4 _4171_ (.A0(\u_uart2wb.reg_addr[19] ),
+    .A1(wbm_adr_i[19]),
     .S(_1457_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1458_));
- sky130_fd_sc_hd__clkbuf_1 _4138_ (.A(_1458_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0084_));
- sky130_fd_sc_hd__clkbuf_1 _4139_ (.A(\u_async_wb.m_cmd_wr_data[65] ),
+ sky130_fd_sc_hd__mux2_1 _4172_ (.A0(_1458_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][56] ),
+    .S(_1455_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1459_));
- sky130_fd_sc_hd__mux2_1 _4140_ (.A0(_1459_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][65] ),
+ sky130_fd_sc_hd__clkbuf_1 _4173_ (.A(_1459_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0076_));
+ sky130_fd_sc_hd__mux2_4 _4174_ (.A0(\u_uart2wb.reg_addr[20] ),
+    .A1(wbm_adr_i[20]),
     .S(_1457_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1460_));
- sky130_fd_sc_hd__clkbuf_1 _4141_ (.A(_1460_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0085_));
- sky130_fd_sc_hd__clkbuf_1 _4142_ (.A(\u_async_wb.m_cmd_wr_data[66] ),
+ sky130_fd_sc_hd__mux2_1 _4175_ (.A0(_1460_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][57] ),
+    .S(_1455_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1461_));
- sky130_fd_sc_hd__mux2_1 _4143_ (.A0(_1461_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][66] ),
+ sky130_fd_sc_hd__clkbuf_1 _4176_ (.A(_1461_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0077_));
+ sky130_fd_sc_hd__mux2_4 _4177_ (.A0(\u_uart2wb.reg_addr[21] ),
+    .A1(wbm_adr_i[21]),
     .S(_1457_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1462_));
- sky130_fd_sc_hd__clkbuf_1 _4144_ (.A(_1462_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0086_));
- sky130_fd_sc_hd__clkbuf_1 _4145_ (.A(\u_async_wb.m_cmd_wr_data[67] ),
+ sky130_fd_sc_hd__mux2_1 _4178_ (.A0(_1462_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][58] ),
+    .S(_1455_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1463_));
- sky130_fd_sc_hd__mux2_1 _4146_ (.A0(_1463_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][67] ),
+ sky130_fd_sc_hd__clkbuf_1 _4179_ (.A(_1463_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0078_));
+ sky130_fd_sc_hd__mux2_4 _4180_ (.A0(\u_uart2wb.reg_addr[22] ),
+    .A1(wbm_adr_i[22]),
     .S(_1457_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1464_));
- sky130_fd_sc_hd__clkbuf_1 _4147_ (.A(_1464_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0087_));
- sky130_fd_sc_hd__clkbuf_2 _4148_ (.A(\u_async_wb.m_cmd_wr_data[68] ),
+ sky130_fd_sc_hd__clkbuf_2 _4181_ (.A(_1310_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1465_));
- sky130_fd_sc_hd__mux2_1 _4149_ (.A0(_1465_),
-    .A1(\u_async_wb.u_cmd_if.mem[0][68] ),
-    .S(_1257_),
+ sky130_fd_sc_hd__mux2_1 _4182_ (.A0(_1464_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][59] ),
+    .S(_1465_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1466_));
- sky130_fd_sc_hd__clkbuf_1 _4150_ (.A(_1466_),
+ sky130_fd_sc_hd__clkbuf_1 _4183_ (.A(_1466_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0088_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4151_ (.A(_0947_),
+    .X(_0079_));
+ sky130_fd_sc_hd__and2_1 _4184_ (.A(\u_async_wb.u_cmd_if.mem[0][60] ),
+    .B(_1275_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1467_));
- sky130_fd_sc_hd__xnor2_1 _4152_ (.A(_1206_),
-    .B(_1467_),
+ sky130_fd_sc_hd__clkbuf_1 _4185_ (.A(_1467_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_0089_));
- sky130_fd_sc_hd__or2b_1 _4153_ (.A(_1467_),
-    .B_N(_1206_),
+    .X(_0080_));
+ sky130_fd_sc_hd__clkbuf_1 _4186_ (.A(\u_async_wb.m_cmd_wr_data[61] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1468_));
- sky130_fd_sc_hd__xnor2_1 _4154_ (.A(_0942_),
-    .B(_1468_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_0090_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4155_ (.A(\u_async_wb.u_resp_if.wr_ptr[0] ),
+ sky130_fd_sc_hd__mux2_1 _4187_ (.A0(_1468_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][61] ),
+    .S(_1465_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1469_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4156_ (.A(\u_async_wb.u_resp_if.wr_ptr[1] ),
+ sky130_fd_sc_hd__clkbuf_1 _4188_ (.A(_1469_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0081_));
+ sky130_fd_sc_hd__clkbuf_1 _4189_ (.A(\u_async_wb.m_cmd_wr_data[62] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1470_));
- sky130_fd_sc_hd__inv_2 _4157_ (.A(\u_async_wb.u_resp_if.sync_rd_ptr_1[1] ),
+ sky130_fd_sc_hd__mux2_1 _4190_ (.A0(_1470_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][62] ),
+    .S(_1465_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_1471_));
- sky130_fd_sc_hd__xnor2_1 _4158_ (.A(\u_async_wb.u_resp_if.wr_ptr[0] ),
-    .B(\u_async_wb.u_resp_if.sync_rd_ptr_1[0] ),
+    .X(_1471_));
+ sky130_fd_sc_hd__clkbuf_1 _4191_ (.A(_1471_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_1472_));
- sky130_fd_sc_hd__and3_1 _4159_ (.A(_1470_),
-    .B(_1471_),
-    .C(_1472_),
+    .X(_0082_));
+ sky130_fd_sc_hd__clkbuf_1 _4192_ (.A(\u_async_wb.m_cmd_wr_data[63] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1472_));
+ sky130_fd_sc_hd__mux2_1 _4193_ (.A0(_1472_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][63] ),
+    .S(_1465_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1473_));
- sky130_fd_sc_hd__o31a_1 _4160_ (.A1(\u_async_wb.u_resp_if.wr_ptr[1] ),
-    .A2(_1471_),
-    .A3(_1472_),
-    .B1(wbs_ack_i),
+ sky130_fd_sc_hd__clkbuf_1 _4194_ (.A(_1473_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0083_));
+ sky130_fd_sc_hd__clkbuf_1 _4195_ (.A(\u_async_wb.m_cmd_wr_data[64] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1474_));
- sky130_fd_sc_hd__or3b_1 _4161_ (.A(_0919_),
-    .B(_1473_),
-    .C_N(_1474_),
+ sky130_fd_sc_hd__clkbuf_2 _4196_ (.A(_1310_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1475_));
- sky130_fd_sc_hd__nor3b_1 _4162_ (.A(\u_async_wb.wbs_ack_f ),
-    .B(_1475_),
-    .C_N(_0914_),
+ sky130_fd_sc_hd__mux2_1 _4197_ (.A0(_1474_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][64] ),
+    .S(_1475_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_1476_));
- sky130_fd_sc_hd__nand2_2 _4163_ (.A(_1469_),
-    .B(_1476_),
+    .X(_1476_));
+ sky130_fd_sc_hd__clkbuf_1 _4198_ (.A(_1476_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_1477_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4164_ (.A(_1477_),
+    .X(_0084_));
+ sky130_fd_sc_hd__clkbuf_1 _4199_ (.A(\u_async_wb.m_cmd_wr_data[65] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1477_));
+ sky130_fd_sc_hd__mux2_1 _4200_ (.A0(_1477_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][65] ),
+    .S(_1475_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1478_));
- sky130_fd_sc_hd__clkbuf_2 _4165_ (.A(_1478_),
+ sky130_fd_sc_hd__clkbuf_1 _4201_ (.A(_1478_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0085_));
+ sky130_fd_sc_hd__clkbuf_1 _4202_ (.A(\u_async_wb.m_cmd_wr_data[66] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1479_));
- sky130_fd_sc_hd__clkbuf_2 _4166_ (.A(_1476_),
+ sky130_fd_sc_hd__mux2_1 _4203_ (.A0(_1479_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][66] ),
+    .S(_1475_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1480_));
- sky130_fd_sc_hd__or2_1 _4167_ (.A(_1469_),
-    .B(_1480_),
+ sky130_fd_sc_hd__clkbuf_1 _4204_ (.A(_1480_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0086_));
+ sky130_fd_sc_hd__clkbuf_1 _4205_ (.A(\u_async_wb.m_cmd_wr_data[67] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1481_));
- sky130_fd_sc_hd__and2_1 _4168_ (.A(_1479_),
-    .B(_1481_),
+ sky130_fd_sc_hd__mux2_1 _4206_ (.A0(_1481_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][67] ),
+    .S(_1475_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1482_));
- sky130_fd_sc_hd__clkbuf_1 _4169_ (.A(_1482_),
+ sky130_fd_sc_hd__clkbuf_1 _4207_ (.A(_1482_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0091_));
- sky130_fd_sc_hd__xor2_1 _4170_ (.A(_1470_),
-    .B(_1469_),
+    .X(_0087_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4208_ (.A(\u_async_wb.m_cmd_wr_data[68] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1483_));
- sky130_fd_sc_hd__mux2_1 _4171_ (.A0(_1470_),
-    .A1(_1483_),
-    .S(_1480_),
+ sky130_fd_sc_hd__mux2_1 _4209_ (.A0(_1483_),
+    .A1(\u_async_wb.u_cmd_if.mem[0][68] ),
+    .S(_1274_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1484_));
- sky130_fd_sc_hd__clkbuf_1 _4172_ (.A(_1484_),
+ sky130_fd_sc_hd__clkbuf_1 _4210_ (.A(_1484_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0092_));
- sky130_fd_sc_hd__inv_2 _4173_ (.A(_0927_),
+    .X(_0088_));
+ sky130_fd_sc_hd__and2_1 _4211_ (.A(_0941_),
+    .B(_0942_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_1485_));
- sky130_fd_sc_hd__clkbuf_4 _4174_ (.A(_1252_),
+    .X(_1485_));
+ sky130_fd_sc_hd__xnor2_1 _4212_ (.A(_1209_),
+    .B(_1485_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_0089_));
+ sky130_fd_sc_hd__or2b_1 _4213_ (.A(_1485_),
+    .B_N(_1209_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1486_));
- sky130_fd_sc_hd__mux2_1 _4175_ (.A0(\u_async_wb.u_cmd_if.grey_wr_ptr[0] ),
-    .A1(_1485_),
-    .S(_1486_),
+ sky130_fd_sc_hd__xnor2_1 _4214_ (.A(\u_async_wb.u_resp_if.rd_ptr[1] ),
+    .B(_1486_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1487_));
- sky130_fd_sc_hd__clkbuf_1 _4176_ (.A(_1487_),
+    .Y(_0090_));
+ sky130_fd_sc_hd__inv_2 _4215_ (.A(\u_async_wb.u_resp_if.wr_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0093_));
- sky130_fd_sc_hd__xnor2_1 _4177_ (.A(\u_async_wb.u_cmd_if.grey_wr_ptr[2] ),
-    .B(_1255_),
+    .Y(_1487_));
+ sky130_fd_sc_hd__inv_2 _4216_ (.A(\u_async_wb.u_resp_if.wr_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_1488_));
- sky130_fd_sc_hd__mux2_1 _4178_ (.A0(\u_async_wb.u_cmd_if.grey_wr_ptr[1] ),
-    .A1(_1488_),
-    .S(_1252_),
+ sky130_fd_sc_hd__xor2_1 _4217_ (.A(\u_async_wb.u_resp_if.wr_ptr[0] ),
+    .B(\u_async_wb.u_resp_if.sync_rd_ptr_1[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1489_));
- sky130_fd_sc_hd__clkbuf_1 _4179_ (.A(_1489_),
+ sky130_fd_sc_hd__inv_2 _4218_ (.A(wbs_ack_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0094_));
- sky130_fd_sc_hd__and3_4 _4180_ (.A(_1253_),
-    .B(_1254_),
-    .C(_1252_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1490_));
- sky130_fd_sc_hd__clkbuf_2 _4181_ (.A(_1490_),
+    .Y(_1490_));
+ sky130_fd_sc_hd__a31o_1 _4219_ (.A1(_1488_),
+    .A2(\u_async_wb.u_resp_if.sync_rd_ptr_1[1] ),
+    .A3(_1489_),
+    .B1(_1490_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1491_));
- sky130_fd_sc_hd__clkbuf_2 _4182_ (.A(_1491_),
+ sky130_fd_sc_hd__or3_1 _4220_ (.A(_1488_),
+    .B(\u_async_wb.u_resp_if.sync_rd_ptr_1[1] ),
+    .C(_1489_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1492_));
- sky130_fd_sc_hd__xor2_1 _4183_ (.A(\u_async_wb.u_cmd_if.grey_wr_ptr[2] ),
-    .B(_1492_),
+ sky130_fd_sc_hd__or4b_1 _4221_ (.A(net176),
+    .B(_0949_),
+    .C(_1491_),
+    .D_N(_1492_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0095_));
- sky130_fd_sc_hd__clkinv_2 _4184_ (.A(_0942_),
+    .X(_1493_));
+ sky130_fd_sc_hd__nor2_2 _4222_ (.A(_1487_),
+    .B(_1493_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_1493_));
- sky130_fd_sc_hd__mux2_1 _4185_ (.A0(_1493_),
-    .A1(\u_async_wb.u_resp_if.grey_rd_ptr[0] ),
-    .S(_1467_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1494_));
- sky130_fd_sc_hd__clkbuf_1 _4186_ (.A(_1494_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0096_));
- sky130_fd_sc_hd__mux2_1 _4187_ (.A0(_0945_),
-    .A1(\u_async_wb.u_resp_if.grey_rd_ptr[1] ),
-    .S(_1467_),
+    .Y(_1494_));
+ sky130_fd_sc_hd__clkbuf_2 _4223_ (.A(_1494_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1495_));
- sky130_fd_sc_hd__clkbuf_1 _4188_ (.A(_1495_),
+ sky130_fd_sc_hd__clkbuf_2 _4224_ (.A(_1493_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0097_));
- sky130_fd_sc_hd__xor2_1 _4189_ (.A(_1253_),
-    .B(_1486_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0098_));
- sky130_fd_sc_hd__nand2_1 _4190_ (.A(_1253_),
-    .B(_1486_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_1496_));
- sky130_fd_sc_hd__xnor2_1 _4191_ (.A(_1254_),
+    .X(_1496_));
+ sky130_fd_sc_hd__and2_1 _4225_ (.A(_1487_),
     .B(_1496_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_0099_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4192_ (.A(_0954_),
+    .X(_1497_));
+ sky130_fd_sc_hd__nor2_1 _4226_ (.A(_1495_),
+    .B(_1497_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1497_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4193_ (.A(wbs_ack_i),
+    .Y(_0091_));
+ sky130_fd_sc_hd__xor2_1 _4227_ (.A(\u_async_wb.u_resp_if.wr_ptr[0] ),
+    .B(\u_async_wb.u_resp_if.wr_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1498_));
- sky130_fd_sc_hd__xor2_1 _4194_ (.A(_1497_),
-    .B(_1498_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0100_));
- sky130_fd_sc_hd__clkbuf_1 _4195_ (.A(_0956_),
+ sky130_fd_sc_hd__mux2_1 _4228_ (.A0(_1498_),
+    .A1(\u_async_wb.u_resp_if.wr_ptr[1] ),
+    .S(_1496_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1499_));
- sky130_fd_sc_hd__a21oi_1 _4196_ (.A1(_1497_),
-    .A2(_1498_),
-    .B1(_1499_),
+ sky130_fd_sc_hd__clkbuf_1 _4229_ (.A(_1499_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0092_));
+ sky130_fd_sc_hd__inv_2 _4230_ (.A(_0926_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_1500_));
- sky130_fd_sc_hd__and3_1 _4197_ (.A(_1499_),
-    .B(_0954_),
-    .C(_1498_),
+ sky130_fd_sc_hd__clkbuf_4 _4231_ (.A(_1269_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1501_));
- sky130_fd_sc_hd__nor2_1 _4198_ (.A(_1500_),
-    .B(_1501_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_0101_));
- sky130_fd_sc_hd__xor2_1 _4199_ (.A(_0909_),
-    .B(_1501_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0102_));
- sky130_fd_sc_hd__or2b_1 _4200_ (.A(_1469_),
-    .B_N(_1476_),
+ sky130_fd_sc_hd__mux2_1 _4232_ (.A0(\u_async_wb.u_cmd_if.grey_wr_ptr[0] ),
+    .A1(_1500_),
+    .S(_1501_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1502_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4201_ (.A(_1502_),
+ sky130_fd_sc_hd__clkbuf_1 _4233_ (.A(_1502_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1503_));
- sky130_fd_sc_hd__clkbuf_2 _4202_ (.A(_1503_),
+    .X(_0093_));
+ sky130_fd_sc_hd__xnor2_1 _4234_ (.A(\u_async_wb.u_cmd_if.grey_wr_ptr[2] ),
+    .B(_1272_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_1503_));
+ sky130_fd_sc_hd__mux2_1 _4235_ (.A0(\u_async_wb.u_cmd_if.grey_wr_ptr[1] ),
+    .A1(_1503_),
+    .S(_1269_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1504_));
- sky130_fd_sc_hd__mux2_1 _4203_ (.A0(wbs_dat_i[0]),
-    .A1(\u_async_wb.u_resp_if.mem[0][0] ),
-    .S(_1504_),
+ sky130_fd_sc_hd__clkbuf_1 _4236_ (.A(_1504_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0094_));
+ sky130_fd_sc_hd__and3_4 _4237_ (.A(_1270_),
+    .B(_1271_),
+    .C(_1269_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1505_));
- sky130_fd_sc_hd__clkbuf_1 _4204_ (.A(_1505_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0103_));
- sky130_fd_sc_hd__mux2_1 _4205_ (.A0(wbs_dat_i[1]),
-    .A1(\u_async_wb.u_resp_if.mem[0][1] ),
-    .S(_1504_),
+ sky130_fd_sc_hd__clkbuf_2 _4238_ (.A(_1505_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1506_));
- sky130_fd_sc_hd__clkbuf_1 _4206_ (.A(_1506_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0104_));
- sky130_fd_sc_hd__mux2_1 _4207_ (.A0(wbs_dat_i[2]),
-    .A1(\u_async_wb.u_resp_if.mem[0][2] ),
-    .S(_1504_),
+ sky130_fd_sc_hd__buf_2 _4239_ (.A(_1506_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1507_));
- sky130_fd_sc_hd__clkbuf_1 _4208_ (.A(_1507_),
+ sky130_fd_sc_hd__xor2_1 _4240_ (.A(\u_async_wb.u_cmd_if.grey_wr_ptr[2] ),
+    .B(_1507_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0105_));
- sky130_fd_sc_hd__mux2_1 _4209_ (.A0(wbs_dat_i[3]),
-    .A1(\u_async_wb.u_resp_if.mem[0][3] ),
-    .S(_1504_),
+    .X(_0095_));
+ sky130_fd_sc_hd__clkinv_2 _4241_ (.A(\u_async_wb.u_resp_if.rd_ptr[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1508_));
- sky130_fd_sc_hd__clkbuf_1 _4210_ (.A(_1508_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0106_));
- sky130_fd_sc_hd__clkbuf_2 _4211_ (.A(_1503_),
+    .Y(_1508_));
+ sky130_fd_sc_hd__mux2_1 _4242_ (.A0(_1508_),
+    .A1(\u_async_wb.u_resp_if.grey_rd_ptr[0] ),
+    .S(_1485_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1509_));
- sky130_fd_sc_hd__mux2_1 _4212_ (.A0(wbs_dat_i[4]),
-    .A1(\u_async_wb.u_resp_if.mem[0][4] ),
-    .S(_1509_),
+ sky130_fd_sc_hd__clkbuf_1 _4243_ (.A(_1509_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0096_));
+ sky130_fd_sc_hd__mux2_1 _4244_ (.A0(_0940_),
+    .A1(\u_async_wb.u_resp_if.grey_rd_ptr[1] ),
+    .S(_1485_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1510_));
- sky130_fd_sc_hd__clkbuf_1 _4213_ (.A(_1510_),
+ sky130_fd_sc_hd__clkbuf_1 _4245_ (.A(_1510_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0107_));
- sky130_fd_sc_hd__mux2_1 _4214_ (.A0(wbs_dat_i[5]),
-    .A1(\u_async_wb.u_resp_if.mem[0][5] ),
-    .S(_1509_),
+    .X(_0097_));
+ sky130_fd_sc_hd__xor2_1 _4246_ (.A(_1270_),
+    .B(_1501_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1511_));
- sky130_fd_sc_hd__clkbuf_1 _4215_ (.A(_1511_),
+    .X(_0098_));
+ sky130_fd_sc_hd__nand2_1 _4247_ (.A(_1270_),
+    .B(_1501_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0108_));
- sky130_fd_sc_hd__mux2_1 _4216_ (.A0(wbs_dat_i[6]),
-    .A1(\u_async_wb.u_resp_if.mem[0][6] ),
-    .S(_1509_),
+    .Y(_1511_));
+ sky130_fd_sc_hd__xnor2_1 _4248_ (.A(_1271_),
+    .B(_1511_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_0099_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4249_ (.A(_0956_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1512_));
- sky130_fd_sc_hd__clkbuf_1 _4217_ (.A(_1512_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0109_));
- sky130_fd_sc_hd__mux2_1 _4218_ (.A0(wbs_dat_i[7]),
-    .A1(\u_async_wb.u_resp_if.mem[0][7] ),
-    .S(_1509_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4250_ (.A(wbs_ack_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1513_));
- sky130_fd_sc_hd__clkbuf_1 _4219_ (.A(_1513_),
+ sky130_fd_sc_hd__xor2_1 _4251_ (.A(_1512_),
+    .B(_1513_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0110_));
- sky130_fd_sc_hd__clkbuf_2 _4220_ (.A(_1503_),
+    .X(_0100_));
+ sky130_fd_sc_hd__clkbuf_1 _4252_ (.A(_0958_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1514_));
- sky130_fd_sc_hd__mux2_1 _4221_ (.A0(wbs_dat_i[8]),
-    .A1(\u_async_wb.u_resp_if.mem[0][8] ),
-    .S(_1514_),
+ sky130_fd_sc_hd__a21oi_1 _4253_ (.A1(_1512_),
+    .A2(_1513_),
+    .B1(_1514_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1515_));
- sky130_fd_sc_hd__clkbuf_1 _4222_ (.A(_1515_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0111_));
- sky130_fd_sc_hd__mux2_1 _4223_ (.A0(wbs_dat_i[9]),
-    .A1(\u_async_wb.u_resp_if.mem[0][9] ),
-    .S(_1514_),
+    .Y(_1515_));
+ sky130_fd_sc_hd__and3_1 _4254_ (.A(_1514_),
+    .B(_0956_),
+    .C(_1513_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1516_));
- sky130_fd_sc_hd__clkbuf_1 _4224_ (.A(_1516_),
+ sky130_fd_sc_hd__nor2_1 _4255_ (.A(_1515_),
+    .B(_1516_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0112_));
- sky130_fd_sc_hd__mux2_1 _4225_ (.A0(wbs_dat_i[10]),
-    .A1(\u_async_wb.u_resp_if.mem[0][10] ),
-    .S(_1514_),
+    .Y(_0101_));
+ sky130_fd_sc_hd__xor2_1 _4256_ (.A(_0916_),
+    .B(_1516_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0102_));
+ sky130_fd_sc_hd__or2_1 _4257_ (.A(\u_async_wb.u_resp_if.wr_ptr[0] ),
+    .B(_1493_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1517_));
- sky130_fd_sc_hd__clkbuf_1 _4226_ (.A(_1517_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0113_));
- sky130_fd_sc_hd__mux2_1 _4227_ (.A0(wbs_dat_i[11]),
-    .A1(\u_async_wb.u_resp_if.mem[0][11] ),
-    .S(_1514_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4258_ (.A(_1517_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1518_));
- sky130_fd_sc_hd__clkbuf_1 _4228_ (.A(_1518_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0114_));
- sky130_fd_sc_hd__clkbuf_2 _4229_ (.A(_1502_),
+ sky130_fd_sc_hd__clkbuf_2 _4259_ (.A(_1518_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1519_));
- sky130_fd_sc_hd__clkbuf_2 _4230_ (.A(_1519_),
+ sky130_fd_sc_hd__mux2_1 _4260_ (.A0(wbs_dat_i[0]),
+    .A1(\u_async_wb.u_resp_if.mem[0][0] ),
+    .S(_1519_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1520_));
- sky130_fd_sc_hd__mux2_1 _4231_ (.A0(wbs_dat_i[12]),
-    .A1(\u_async_wb.u_resp_if.mem[0][12] ),
-    .S(_1520_),
+ sky130_fd_sc_hd__clkbuf_1 _4261_ (.A(_1520_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0103_));
+ sky130_fd_sc_hd__mux2_1 _4262_ (.A0(wbs_dat_i[1]),
+    .A1(\u_async_wb.u_resp_if.mem[0][1] ),
+    .S(_1519_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1521_));
- sky130_fd_sc_hd__clkbuf_1 _4232_ (.A(_1521_),
+ sky130_fd_sc_hd__clkbuf_1 _4263_ (.A(_1521_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0115_));
- sky130_fd_sc_hd__mux2_1 _4233_ (.A0(wbs_dat_i[13]),
-    .A1(\u_async_wb.u_resp_if.mem[0][13] ),
-    .S(_1520_),
+    .X(_0104_));
+ sky130_fd_sc_hd__mux2_1 _4264_ (.A0(wbs_dat_i[2]),
+    .A1(\u_async_wb.u_resp_if.mem[0][2] ),
+    .S(_1519_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1522_));
- sky130_fd_sc_hd__clkbuf_1 _4234_ (.A(_1522_),
+ sky130_fd_sc_hd__clkbuf_1 _4265_ (.A(_1522_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0116_));
- sky130_fd_sc_hd__mux2_1 _4235_ (.A0(wbs_dat_i[14]),
-    .A1(\u_async_wb.u_resp_if.mem[0][14] ),
-    .S(_1520_),
+    .X(_0105_));
+ sky130_fd_sc_hd__mux2_1 _4266_ (.A0(wbs_dat_i[3]),
+    .A1(\u_async_wb.u_resp_if.mem[0][3] ),
+    .S(_1519_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1523_));
- sky130_fd_sc_hd__clkbuf_1 _4236_ (.A(_1523_),
+ sky130_fd_sc_hd__clkbuf_1 _4267_ (.A(_1523_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0117_));
- sky130_fd_sc_hd__mux2_1 _4237_ (.A0(wbs_dat_i[15]),
-    .A1(\u_async_wb.u_resp_if.mem[0][15] ),
-    .S(_1520_),
+    .X(_0106_));
+ sky130_fd_sc_hd__clkbuf_2 _4268_ (.A(_1518_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1524_));
- sky130_fd_sc_hd__clkbuf_1 _4238_ (.A(_1524_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0118_));
- sky130_fd_sc_hd__clkbuf_2 _4239_ (.A(_1519_),
+ sky130_fd_sc_hd__mux2_1 _4269_ (.A0(wbs_dat_i[4]),
+    .A1(\u_async_wb.u_resp_if.mem[0][4] ),
+    .S(_1524_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1525_));
- sky130_fd_sc_hd__mux2_1 _4240_ (.A0(wbs_dat_i[16]),
-    .A1(\u_async_wb.u_resp_if.mem[0][16] ),
-    .S(_1525_),
+ sky130_fd_sc_hd__clkbuf_1 _4270_ (.A(_1525_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0107_));
+ sky130_fd_sc_hd__mux2_1 _4271_ (.A0(wbs_dat_i[5]),
+    .A1(\u_async_wb.u_resp_if.mem[0][5] ),
+    .S(_1524_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1526_));
- sky130_fd_sc_hd__clkbuf_1 _4241_ (.A(_1526_),
+ sky130_fd_sc_hd__clkbuf_1 _4272_ (.A(_1526_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0119_));
- sky130_fd_sc_hd__mux2_1 _4242_ (.A0(wbs_dat_i[17]),
-    .A1(\u_async_wb.u_resp_if.mem[0][17] ),
-    .S(_1525_),
+    .X(_0108_));
+ sky130_fd_sc_hd__mux2_1 _4273_ (.A0(wbs_dat_i[6]),
+    .A1(\u_async_wb.u_resp_if.mem[0][6] ),
+    .S(_1524_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1527_));
- sky130_fd_sc_hd__clkbuf_1 _4243_ (.A(_1527_),
+ sky130_fd_sc_hd__clkbuf_1 _4274_ (.A(_1527_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0120_));
- sky130_fd_sc_hd__mux2_1 _4244_ (.A0(wbs_dat_i[18]),
-    .A1(\u_async_wb.u_resp_if.mem[0][18] ),
-    .S(_1525_),
+    .X(_0109_));
+ sky130_fd_sc_hd__mux2_1 _4275_ (.A0(wbs_dat_i[7]),
+    .A1(\u_async_wb.u_resp_if.mem[0][7] ),
+    .S(_1524_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1528_));
- sky130_fd_sc_hd__clkbuf_1 _4245_ (.A(_1528_),
+ sky130_fd_sc_hd__clkbuf_1 _4276_ (.A(_1528_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0121_));
- sky130_fd_sc_hd__mux2_1 _4246_ (.A0(wbs_dat_i[19]),
-    .A1(\u_async_wb.u_resp_if.mem[0][19] ),
-    .S(_1525_),
+    .X(_0110_));
+ sky130_fd_sc_hd__clkbuf_2 _4277_ (.A(_1518_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1529_));
- sky130_fd_sc_hd__clkbuf_1 _4247_ (.A(_1529_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0122_));
- sky130_fd_sc_hd__clkbuf_2 _4248_ (.A(_1519_),
+ sky130_fd_sc_hd__mux2_1 _4278_ (.A0(wbs_dat_i[8]),
+    .A1(\u_async_wb.u_resp_if.mem[0][8] ),
+    .S(_1529_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1530_));
- sky130_fd_sc_hd__mux2_1 _4249_ (.A0(wbs_dat_i[20]),
-    .A1(\u_async_wb.u_resp_if.mem[0][20] ),
-    .S(_1530_),
+ sky130_fd_sc_hd__clkbuf_1 _4279_ (.A(_1530_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0111_));
+ sky130_fd_sc_hd__mux2_1 _4280_ (.A0(wbs_dat_i[9]),
+    .A1(\u_async_wb.u_resp_if.mem[0][9] ),
+    .S(_1529_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1531_));
- sky130_fd_sc_hd__clkbuf_1 _4250_ (.A(_1531_),
+ sky130_fd_sc_hd__clkbuf_1 _4281_ (.A(_1531_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0123_));
- sky130_fd_sc_hd__mux2_1 _4251_ (.A0(wbs_dat_i[21]),
-    .A1(\u_async_wb.u_resp_if.mem[0][21] ),
-    .S(_1530_),
+    .X(_0112_));
+ sky130_fd_sc_hd__mux2_1 _4282_ (.A0(wbs_dat_i[10]),
+    .A1(\u_async_wb.u_resp_if.mem[0][10] ),
+    .S(_1529_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1532_));
- sky130_fd_sc_hd__clkbuf_1 _4252_ (.A(_1532_),
+ sky130_fd_sc_hd__clkbuf_1 _4283_ (.A(_1532_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0124_));
- sky130_fd_sc_hd__mux2_1 _4253_ (.A0(wbs_dat_i[22]),
-    .A1(\u_async_wb.u_resp_if.mem[0][22] ),
-    .S(_1530_),
+    .X(_0113_));
+ sky130_fd_sc_hd__mux2_1 _4284_ (.A0(wbs_dat_i[11]),
+    .A1(\u_async_wb.u_resp_if.mem[0][11] ),
+    .S(_1529_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1533_));
- sky130_fd_sc_hd__clkbuf_1 _4254_ (.A(_1533_),
+ sky130_fd_sc_hd__clkbuf_1 _4285_ (.A(_1533_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0125_));
- sky130_fd_sc_hd__mux2_1 _4255_ (.A0(wbs_dat_i[23]),
-    .A1(\u_async_wb.u_resp_if.mem[0][23] ),
-    .S(_1530_),
+    .X(_0114_));
+ sky130_fd_sc_hd__clkbuf_2 _4286_ (.A(_1517_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1534_));
- sky130_fd_sc_hd__clkbuf_1 _4256_ (.A(_1534_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0126_));
- sky130_fd_sc_hd__clkbuf_2 _4257_ (.A(_1519_),
+ sky130_fd_sc_hd__clkbuf_2 _4287_ (.A(_1534_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1535_));
- sky130_fd_sc_hd__mux2_1 _4258_ (.A0(wbs_dat_i[24]),
-    .A1(\u_async_wb.u_resp_if.mem[0][24] ),
+ sky130_fd_sc_hd__mux2_1 _4288_ (.A0(wbs_dat_i[12]),
+    .A1(\u_async_wb.u_resp_if.mem[0][12] ),
     .S(_1535_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1536_));
- sky130_fd_sc_hd__clkbuf_1 _4259_ (.A(_1536_),
+ sky130_fd_sc_hd__clkbuf_1 _4289_ (.A(_1536_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0127_));
- sky130_fd_sc_hd__mux2_1 _4260_ (.A0(wbs_dat_i[25]),
-    .A1(\u_async_wb.u_resp_if.mem[0][25] ),
+    .X(_0115_));
+ sky130_fd_sc_hd__mux2_1 _4290_ (.A0(wbs_dat_i[13]),
+    .A1(\u_async_wb.u_resp_if.mem[0][13] ),
     .S(_1535_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1537_));
- sky130_fd_sc_hd__clkbuf_1 _4261_ (.A(_1537_),
+ sky130_fd_sc_hd__clkbuf_1 _4291_ (.A(_1537_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0128_));
- sky130_fd_sc_hd__mux2_1 _4262_ (.A0(wbs_dat_i[26]),
-    .A1(\u_async_wb.u_resp_if.mem[0][26] ),
+    .X(_0116_));
+ sky130_fd_sc_hd__mux2_1 _4292_ (.A0(wbs_dat_i[14]),
+    .A1(\u_async_wb.u_resp_if.mem[0][14] ),
     .S(_1535_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1538_));
- sky130_fd_sc_hd__clkbuf_1 _4263_ (.A(_1538_),
+ sky130_fd_sc_hd__clkbuf_1 _4293_ (.A(_1538_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0129_));
- sky130_fd_sc_hd__mux2_1 _4264_ (.A0(wbs_dat_i[27]),
-    .A1(\u_async_wb.u_resp_if.mem[0][27] ),
+    .X(_0117_));
+ sky130_fd_sc_hd__mux2_1 _4294_ (.A0(wbs_dat_i[15]),
+    .A1(\u_async_wb.u_resp_if.mem[0][15] ),
     .S(_1535_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1539_));
- sky130_fd_sc_hd__clkbuf_1 _4265_ (.A(_1539_),
+ sky130_fd_sc_hd__clkbuf_1 _4295_ (.A(_1539_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0130_));
- sky130_fd_sc_hd__clkbuf_2 _4266_ (.A(_1502_),
+    .X(_0118_));
+ sky130_fd_sc_hd__clkbuf_2 _4296_ (.A(_1534_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1540_));
- sky130_fd_sc_hd__mux2_1 _4267_ (.A0(wbs_dat_i[28]),
-    .A1(\u_async_wb.u_resp_if.mem[0][28] ),
+ sky130_fd_sc_hd__mux2_1 _4297_ (.A0(wbs_dat_i[16]),
+    .A1(\u_async_wb.u_resp_if.mem[0][16] ),
     .S(_1540_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1541_));
- sky130_fd_sc_hd__clkbuf_1 _4268_ (.A(_1541_),
+ sky130_fd_sc_hd__clkbuf_1 _4298_ (.A(_1541_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0131_));
- sky130_fd_sc_hd__mux2_1 _4269_ (.A0(wbs_dat_i[29]),
-    .A1(\u_async_wb.u_resp_if.mem[0][29] ),
+    .X(_0119_));
+ sky130_fd_sc_hd__mux2_1 _4299_ (.A0(wbs_dat_i[17]),
+    .A1(\u_async_wb.u_resp_if.mem[0][17] ),
     .S(_1540_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1542_));
- sky130_fd_sc_hd__clkbuf_1 _4270_ (.A(_1542_),
+ sky130_fd_sc_hd__clkbuf_1 _4300_ (.A(_1542_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0132_));
- sky130_fd_sc_hd__mux2_1 _4271_ (.A0(wbs_dat_i[30]),
-    .A1(\u_async_wb.u_resp_if.mem[0][30] ),
+    .X(_0120_));
+ sky130_fd_sc_hd__mux2_1 _4301_ (.A0(wbs_dat_i[18]),
+    .A1(\u_async_wb.u_resp_if.mem[0][18] ),
     .S(_1540_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1543_));
- sky130_fd_sc_hd__clkbuf_1 _4272_ (.A(_1543_),
+ sky130_fd_sc_hd__clkbuf_1 _4302_ (.A(_1543_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0133_));
- sky130_fd_sc_hd__mux2_1 _4273_ (.A0(wbs_dat_i[31]),
-    .A1(\u_async_wb.u_resp_if.mem[0][31] ),
+    .X(_0121_));
+ sky130_fd_sc_hd__mux2_1 _4303_ (.A0(wbs_dat_i[19]),
+    .A1(\u_async_wb.u_resp_if.mem[0][19] ),
     .S(_1540_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1544_));
- sky130_fd_sc_hd__clkbuf_1 _4274_ (.A(_1544_),
+ sky130_fd_sc_hd__clkbuf_1 _4304_ (.A(_1544_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0134_));
- sky130_fd_sc_hd__mux2_1 _4275_ (.A0(wbs_err_i),
-    .A1(\u_async_wb.u_resp_if.mem[0][32] ),
-    .S(_1503_),
+    .X(_0122_));
+ sky130_fd_sc_hd__clkbuf_2 _4305_ (.A(_1534_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1545_));
- sky130_fd_sc_hd__clkbuf_1 _4276_ (.A(_1545_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0135_));
- sky130_fd_sc_hd__clkbuf_2 _4277_ (.A(_0939_),
+ sky130_fd_sc_hd__mux2_1 _4306_ (.A0(wbs_dat_i[20]),
+    .A1(\u_async_wb.u_resp_if.mem[0][20] ),
+    .S(_1545_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1546_));
- sky130_fd_sc_hd__a22o_1 _4278_ (.A1(_1486_),
-    .A2(_1546_),
-    .B1(_0948_),
-    .B2(\u_async_wb.PendingRd ),
+ sky130_fd_sc_hd__clkbuf_1 _4307_ (.A(_1546_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0136_));
- sky130_fd_sc_hd__inv_2 _4279_ (.A(_0957_),
+    .X(_0123_));
+ sky130_fd_sc_hd__mux2_1 _4308_ (.A0(wbs_dat_i[21]),
+    .A1(\u_async_wb.u_resp_if.mem[0][21] ),
+    .S(_1545_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_1547_));
- sky130_fd_sc_hd__inv_2 _4280_ (.A(wbs_ack_i),
+    .X(_1547_));
+ sky130_fd_sc_hd__clkbuf_1 _4309_ (.A(_1547_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_1548_));
- sky130_fd_sc_hd__mux2_1 _4281_ (.A0(_1547_),
-    .A1(\u_async_wb.u_cmd_if.grey_rd_ptr[0] ),
-    .S(_1548_),
+    .X(_0124_));
+ sky130_fd_sc_hd__mux2_1 _4310_ (.A0(wbs_dat_i[22]),
+    .A1(\u_async_wb.u_resp_if.mem[0][22] ),
+    .S(_1545_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1548_));
+ sky130_fd_sc_hd__clkbuf_1 _4311_ (.A(_1548_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0125_));
+ sky130_fd_sc_hd__mux2_1 _4312_ (.A0(wbs_dat_i[23]),
+    .A1(\u_async_wb.u_resp_if.mem[0][23] ),
+    .S(_1545_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1549_));
- sky130_fd_sc_hd__clkbuf_1 _4282_ (.A(_1549_),
+ sky130_fd_sc_hd__clkbuf_1 _4313_ (.A(_1549_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0137_));
- sky130_fd_sc_hd__o21ai_1 _4283_ (.A1(_1499_),
-    .A2(_1497_),
-    .B1(_0909_),
+    .X(_0126_));
+ sky130_fd_sc_hd__clkbuf_2 _4314_ (.A(_1534_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_1550_));
- sky130_fd_sc_hd__o31a_1 _4284_ (.A1(_1499_),
-    .A2(_1497_),
-    .A3(_0909_),
-    .B1(_1498_),
+    .X(_1550_));
+ sky130_fd_sc_hd__mux2_1 _4315_ (.A0(wbs_dat_i[24]),
+    .A1(\u_async_wb.u_resp_if.mem[0][24] ),
+    .S(_1550_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1551_));
- sky130_fd_sc_hd__a22o_1 _4285_ (.A1(_1548_),
-    .A2(\u_async_wb.u_cmd_if.grey_rd_ptr[1] ),
-    .B1(_1550_),
-    .B2(_1551_),
+ sky130_fd_sc_hd__clkbuf_1 _4316_ (.A(_1551_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0138_));
- sky130_fd_sc_hd__clkinv_2 _4286_ (.A(net196),
+    .X(_0127_));
+ sky130_fd_sc_hd__mux2_1 _4317_ (.A0(wbs_dat_i[25]),
+    .A1(\u_async_wb.u_resp_if.mem[0][25] ),
+    .S(_1550_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_1552_));
- sky130_fd_sc_hd__and2b_2 _4287_ (.A_N(_1249_),
-    .B(_1248_),
+    .X(_1552_));
+ sky130_fd_sc_hd__clkbuf_1 _4318_ (.A(_1552_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0128_));
+ sky130_fd_sc_hd__mux2_1 _4319_ (.A0(wbs_dat_i[26]),
+    .A1(\u_async_wb.u_resp_if.mem[0][26] ),
+    .S(_1550_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1553_));
- sky130_fd_sc_hd__mux2_1 _4288_ (.A0(_1552_),
-    .A1(_1553_),
-    .S(\u_uart2wb.u_async_reg_bus.out_reg_cs ),
+ sky130_fd_sc_hd__clkbuf_1 _4320_ (.A(_1553_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0129_));
+ sky130_fd_sc_hd__mux2_1 _4321_ (.A0(wbs_dat_i[27]),
+    .A1(\u_async_wb.u_resp_if.mem[0][27] ),
+    .S(_1550_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1554_));
- sky130_fd_sc_hd__nor2_1 _4289_ (.A(wbm_stb_i),
-    .B(_1553_),
+ sky130_fd_sc_hd__clkbuf_1 _4322_ (.A(_1554_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_1555_));
- sky130_fd_sc_hd__a22o_1 _4290_ (.A1(_1248_),
-    .A2(_1554_),
-    .B1(net194),
+    .X(_0130_));
+ sky130_fd_sc_hd__clkbuf_2 _4323_ (.A(_1517_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1555_));
+ sky130_fd_sc_hd__mux2_1 _4324_ (.A0(wbs_dat_i[28]),
+    .A1(\u_async_wb.u_resp_if.mem[0][28] ),
+    .S(_1555_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1556_));
+ sky130_fd_sc_hd__clkbuf_1 _4325_ (.A(_1556_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0131_));
+ sky130_fd_sc_hd__mux2_1 _4326_ (.A0(wbs_dat_i[29]),
+    .A1(\u_async_wb.u_resp_if.mem[0][29] ),
+    .S(_1555_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1557_));
+ sky130_fd_sc_hd__clkbuf_1 _4327_ (.A(_1557_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0132_));
+ sky130_fd_sc_hd__mux2_1 _4328_ (.A0(wbs_dat_i[30]),
+    .A1(\u_async_wb.u_resp_if.mem[0][30] ),
+    .S(_1555_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1558_));
+ sky130_fd_sc_hd__clkbuf_1 _4329_ (.A(_1558_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0133_));
+ sky130_fd_sc_hd__mux2_1 _4330_ (.A0(wbs_dat_i[31]),
+    .A1(\u_async_wb.u_resp_if.mem[0][31] ),
+    .S(_1555_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1559_));
+ sky130_fd_sc_hd__clkbuf_1 _4331_ (.A(_1559_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0134_));
+ sky130_fd_sc_hd__mux2_1 _4332_ (.A0(wbs_err_i),
+    .A1(\u_async_wb.u_resp_if.mem[0][32] ),
+    .S(_1518_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1560_));
+ sky130_fd_sc_hd__clkbuf_1 _4333_ (.A(_1560_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0135_));
+ sky130_fd_sc_hd__clkbuf_1 _4334_ (.A(_0925_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1561_));
+ sky130_fd_sc_hd__o21a_1 _4335_ (.A1(_1400_),
+    .A2(_0944_),
+    .B1(\u_async_wb.PendingRd ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1562_));
+ sky130_fd_sc_hd__a21o_1 _4336_ (.A1(_1561_),
+    .A2(_1501_),
+    .B1(_1562_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0136_));
+ sky130_fd_sc_hd__inv_2 _4337_ (.A(_0959_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_1563_));
+ sky130_fd_sc_hd__mux2_1 _4338_ (.A0(_1563_),
+    .A1(\u_async_wb.u_cmd_if.grey_rd_ptr[0] ),
+    .S(_1490_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1564_));
+ sky130_fd_sc_hd__clkbuf_1 _4339_ (.A(_1564_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0137_));
+ sky130_fd_sc_hd__o21ai_1 _4340_ (.A1(_1514_),
+    .A2(_1512_),
+    .B1(_0916_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_1565_));
+ sky130_fd_sc_hd__o31a_1 _4341_ (.A1(_1514_),
+    .A2(_1512_),
+    .A3(_0916_),
+    .B1(_1513_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1566_));
+ sky130_fd_sc_hd__a22o_1 _4342_ (.A1(_1490_),
+    .A2(\u_async_wb.u_cmd_if.grey_rd_ptr[1] ),
+    .B1(_1565_),
+    .B2(_1566_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0138_));
+ sky130_fd_sc_hd__clkinv_2 _4343_ (.A(net212),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_1567_));
+ sky130_fd_sc_hd__and2b_2 _4344_ (.A_N(_1266_),
+    .B(_1265_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1568_));
+ sky130_fd_sc_hd__mux2_1 _4345_ (.A0(_1567_),
+    .A1(_1568_),
+    .S(\u_uart2wb.u_async_reg_bus.out_reg_cs ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1569_));
+ sky130_fd_sc_hd__nor2_1 _4346_ (.A(wbm_stb_i),
+    .B(_1568_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_1570_));
+ sky130_fd_sc_hd__a22o_1 _4347_ (.A1(_1265_),
+    .A2(_1569_),
+    .B1(net210),
     .B2(\u_uart2wb.u_async_reg_bus.out_reg_cs ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0139_));
- sky130_fd_sc_hd__and2_1 _4291_ (.A(_1249_),
-    .B(_1554_),
+ sky130_fd_sc_hd__and2_1 _4348_ (.A(_1266_),
+    .B(_1569_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1556_));
- sky130_fd_sc_hd__clkbuf_1 _4292_ (.A(_1556_),
+    .X(_1571_));
+ sky130_fd_sc_hd__clkbuf_1 _4349_ (.A(_1571_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0140_));
- sky130_fd_sc_hd__clkbuf_2 _4293_ (.A(\u_glb_ctrl.gen_bit_reg[8].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_2 _4350_ (.A(\u_glb_ctrl.gen_bit_reg[8].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1557_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4294_ (.A(\u_glb_ctrl.gen_bit_reg[9].u_bit_reg.data_out ),
+    .X(_1572_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4351_ (.A(\u_glb_ctrl.gen_bit_reg[9].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1558_));
- sky130_fd_sc_hd__clkbuf_1 _4295_ (.A(\u_wbclk.low_count[1] ),
+    .X(_1573_));
+ sky130_fd_sc_hd__clkbuf_1 _4352_ (.A(\u_wbclk.low_count[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1559_));
- sky130_fd_sc_hd__nor2_1 _4296_ (.A(_1557_),
+    .X(_1574_));
+ sky130_fd_sc_hd__nor2_1 _4353_ (.A(_1572_),
     .B(\u_glb_ctrl.gen_bit_reg[9].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_1560_));
- sky130_fd_sc_hd__or3_1 _4297_ (.A(\u_wbclk.low_count[0] ),
-    .B(_1559_),
-    .C(_1560_),
+    .Y(_1575_));
+ sky130_fd_sc_hd__or3_1 _4354_ (.A(\u_wbclk.low_count[0] ),
+    .B(_1574_),
+    .C(_1575_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1561_));
- sky130_fd_sc_hd__a21oi_1 _4298_ (.A1(_1557_),
-    .A2(_1558_),
-    .B1(_1561_),
+    .X(_1576_));
+ sky130_fd_sc_hd__a21oi_1 _4355_ (.A1(_1572_),
+    .A2(_1573_),
+    .B1(_1576_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_1562_));
- sky130_fd_sc_hd__o21ba_1 _4299_ (.A1(_1210_),
-    .A2(_1562_),
-    .B1_N(_1209_),
+    .Y(_1577_));
+ sky130_fd_sc_hd__o21ba_1 _4356_ (.A1(_1215_),
+    .A2(_1577_),
+    .B1_N(_1214_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0141_));
- sky130_fd_sc_hd__or3_1 _4300_ (.A(\u_wbclk.high_count[0] ),
+ sky130_fd_sc_hd__or3_1 _4357_ (.A(\u_wbclk.high_count[0] ),
     .B(\u_wbclk.high_count[1] ),
     .C(\u_wbclk.low_count[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1563_));
- sky130_fd_sc_hd__nor2_1 _4301_ (.A(_1559_),
-    .B(_1563_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_1564_));
- sky130_fd_sc_hd__a32o_1 _4302_ (.A1(_1557_),
-    .A2(_1558_),
-    .A3(_1564_),
-    .B1(_1210_),
-    .B2(_1209_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0142_));
- sky130_fd_sc_hd__or2_1 _4303_ (.A(\u_usbclk.high_count[2] ),
-    .B(_1227_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1565_));
- sky130_fd_sc_hd__or2_1 _4304_ (.A(\u_usbclk.low_count[0] ),
-    .B(_1565_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1566_));
- sky130_fd_sc_hd__nand2_1 _4305_ (.A(\u_usbclk.low_count[0] ),
-    .B(_1565_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_1567_));
- sky130_fd_sc_hd__nor2_1 _4306_ (.A(_1565_),
-    .B(_1226_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_1568_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4307_ (.A(\u_glb_ctrl.gen_bit_reg[29].u_bit_reg.data_out ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1569_));
- sky130_fd_sc_hd__a22oi_1 _4308_ (.A1(_1566_),
-    .A2(_1567_),
-    .B1(_1568_),
-    .B2(_1569_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_0143_));
- sky130_fd_sc_hd__inv_2 _4309_ (.A(\u_glb_ctrl.gen_bit_reg[29].u_bit_reg.data_out ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_1570_));
- sky130_fd_sc_hd__or2_1 _4310_ (.A(_1570_),
-    .B(\u_glb_ctrl.gen_bit_reg[30].u_bit_reg.data_out ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1571_));
- sky130_fd_sc_hd__a21oi_1 _4311_ (.A1(_1570_),
-    .A2(\u_glb_ctrl.gen_bit_reg[30].u_bit_reg.data_out ),
-    .B1(\u_usbclk.low_count[2] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_1572_));
- sky130_fd_sc_hd__a211o_1 _4312_ (.A1(_1571_),
-    .A2(_1572_),
-    .B1(\u_usbclk.low_count[1] ),
-    .C1(_1566_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1573_));
- sky130_fd_sc_hd__a21bo_1 _4313_ (.A1(\u_usbclk.low_count[1] ),
-    .A2(_1566_),
-    .B1_N(_1573_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0144_));
- sky130_fd_sc_hd__clkbuf_2 _4314_ (.A(\u_glb_ctrl.gen_bit_reg[30].u_bit_reg.data_out ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1574_));
- sky130_fd_sc_hd__o21a_1 _4315_ (.A1(\u_usbclk.low_count[1] ),
-    .A2(_1566_),
-    .B1(\u_usbclk.low_count[2] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1575_));
- sky130_fd_sc_hd__a31o_1 _4316_ (.A1(_1569_),
-    .A2(_1574_),
-    .A3(_1568_),
-    .B1(_1575_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0145_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4317_ (.A(\u_glb_ctrl.gen_bit_reg[20].u_bit_reg.data_out ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1576_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4318_ (.A(\u_glb_ctrl.gen_bit_reg[21].u_bit_reg.data_out ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1577_));
- sky130_fd_sc_hd__clkbuf_1 _4319_ (.A(\u_cpuclk.low_count[1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
     .X(_1578_));
- sky130_fd_sc_hd__nor2_1 _4320_ (.A(_1576_),
-    .B(\u_glb_ctrl.gen_bit_reg[21].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__nor2_1 _4358_ (.A(_1574_),
+    .B(_1578_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_1579_));
- sky130_fd_sc_hd__or3_1 _4321_ (.A(\u_cpuclk.low_count[0] ),
-    .B(_1578_),
-    .C(_1579_),
+ sky130_fd_sc_hd__a32o_1 _4359_ (.A1(_1572_),
+    .A2(_1573_),
+    .A3(_1579_),
+    .B1(_1215_),
+    .B2(_1214_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0142_));
+ sky130_fd_sc_hd__clkbuf_2 _4360_ (.A(\u_glb_ctrl.gen_bit_reg[25].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1580_));
- sky130_fd_sc_hd__a21oi_1 _4322_ (.A1(_1576_),
-    .A2(_1577_),
-    .B1(_1580_),
+ sky130_fd_sc_hd__inv_2 _4361_ (.A(_1580_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_1581_));
- sky130_fd_sc_hd__o21ba_1 _4323_ (.A1(_1214_),
-    .A2(_1581_),
-    .B1_N(_1213_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0146_));
- sky130_fd_sc_hd__or3_1 _4324_ (.A(\u_cpuclk.high_count[0] ),
-    .B(\u_cpuclk.high_count[1] ),
-    .C(\u_cpuclk.low_count[0] ),
+ sky130_fd_sc_hd__clkbuf_1 _4362_ (.A(_1237_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1582_));
- sky130_fd_sc_hd__nor2_1 _4325_ (.A(_1578_),
-    .B(_1582_),
+ sky130_fd_sc_hd__o21a_1 _4363_ (.A1(_1581_),
+    .A2(_1582_),
+    .B1(_1241_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_1583_));
- sky130_fd_sc_hd__a32o_1 _4326_ (.A1(_1576_),
-    .A2(_1577_),
-    .A3(_1583_),
-    .B1(_1214_),
-    .B2(_1213_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0147_));
- sky130_fd_sc_hd__and2_1 _4327_ (.A(wb_req),
-    .B(_0924_),
+    .X(_1583_));
+ sky130_fd_sc_hd__or2_1 _4364_ (.A(\u_usbclk.high_count[6] ),
+    .B(_1240_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1584_));
- sky130_fd_sc_hd__o21ai_4 _4328_ (.A1(\u_uart2wb.reg_addr[2] ),
-    .A2(_1153_),
-    .B1(_1389_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4365_ (.A(_1584_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_1585_));
- sky130_fd_sc_hd__o21ai_4 _4329_ (.A1(\u_uart2wb.reg_addr[3] ),
-    .A2(_0922_),
-    .B1(_1394_),
+    .X(_1585_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4366_ (.A(\u_usbclk.low_count[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_1586_));
- sky130_fd_sc_hd__nor2_1 _4330_ (.A(_1585_),
-    .B(_1586_),
+    .X(_1586_));
+ sky130_fd_sc_hd__mux2_1 _4367_ (.A0(_1583_),
+    .A1(_1585_),
+    .S(_1586_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_1587_));
- sky130_fd_sc_hd__and3_1 _4331_ (.A(_1584_),
-    .B(_0941_),
-    .C(_1587_),
+    .X(_1587_));
+ sky130_fd_sc_hd__clkbuf_1 _4368_ (.A(_1587_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1588_));
- sky130_fd_sc_hd__clkbuf_4 _4332_ (.A(_1588_),
+    .X(_0143_));
+ sky130_fd_sc_hd__nor2_1 _4369_ (.A(_1586_),
+    .B(_1585_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1589_));
- sky130_fd_sc_hd__clkbuf_4 _4333_ (.A(_1589_),
+    .Y(_1588_));
+ sky130_fd_sc_hd__inv_2 _4370_ (.A(\u_usbclk.low_count[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_1589_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4371_ (.A(_1580_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1590_));
- sky130_fd_sc_hd__mux2_1 _4334_ (.A0(\u_clk_ctrl2.gen_bit_reg[9].u_bit_reg.data_out ),
-    .A1(_1300_),
-    .S(_1590_),
+ sky130_fd_sc_hd__clkbuf_2 _4372_ (.A(\u_glb_ctrl.gen_bit_reg[26].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1591_));
- sky130_fd_sc_hd__clkbuf_1 _4335_ (.A(_1591_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0148_));
- sky130_fd_sc_hd__or2_2 _4336_ (.A(\u_rtcclk.high_count[7] ),
-    .B(_1223_),
+ sky130_fd_sc_hd__xor2_1 _4373_ (.A(_1590_),
+    .B(_1591_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1592_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4337_ (.A(_1592_),
+ sky130_fd_sc_hd__o22a_1 _4374_ (.A1(_1586_),
+    .A2(_1589_),
+    .B1(_1238_),
+    .B2(_1592_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1593_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4338_ (.A(_1593_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4375_ (.A(_1584_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1594_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4339_ (.A(\u_glb_ctrl.gen_bit_reg[12].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4376_ (.A(_1594_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1595_));
- sky130_fd_sc_hd__clkbuf_2 _4340_ (.A(_1595_),
+ sky130_fd_sc_hd__o22a_1 _4377_ (.A1(\u_usbclk.low_count[1] ),
+    .A2(_1588_),
+    .B1(_1593_),
+    .B2(_1595_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0144_));
+ sky130_fd_sc_hd__and3_1 _4378_ (.A(\u_glb_ctrl.gen_bit_reg[25].u_bit_reg.data_out ),
+    .B(\u_glb_ctrl.gen_bit_reg[26].u_bit_reg.data_out ),
+    .C(\u_glb_ctrl.gen_bit_reg[27].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1596_));
- sky130_fd_sc_hd__clkbuf_1 _4341_ (.A(\u_glb_ctrl.gen_bit_reg[13].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4379_ (.A(\u_glb_ctrl.gen_bit_reg[27].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1597_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4342_ (.A(_1597_),
+ sky130_fd_sc_hd__a21oi_1 _4380_ (.A1(_1590_),
+    .A2(_1591_),
+    .B1(_1597_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1598_));
- sky130_fd_sc_hd__xor2_1 _4343_ (.A(_1596_),
+    .Y(_1598_));
+ sky130_fd_sc_hd__or2_1 _4381_ (.A(_1596_),
     .B(_1598_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1599_));
- sky130_fd_sc_hd__clkbuf_2 _4344_ (.A(_1224_),
+ sky130_fd_sc_hd__nor2_1 _4382_ (.A(_1594_),
+    .B(_1582_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1600_));
- sky130_fd_sc_hd__nand2_2 _4345_ (.A(_1600_),
-    .B(_1219_),
+    .Y(_1600_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4383_ (.A(_1600_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_1601_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4346_ (.A(\u_rtcclk.high_count[0] ),
+    .X(_1601_));
+ sky130_fd_sc_hd__o31a_1 _4384_ (.A1(_1586_),
+    .A2(\u_usbclk.low_count[1] ),
+    .A3(_1594_),
+    .B1(\u_usbclk.low_count[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1602_));
- sky130_fd_sc_hd__inv_2 _4347_ (.A(_1602_),
+ sky130_fd_sc_hd__nor2_1 _4385_ (.A(_1595_),
+    .B(_1235_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_1603_));
- sky130_fd_sc_hd__o211a_1 _4348_ (.A1(_1594_),
-    .A2(_1599_),
-    .B1(_1601_),
-    .C1(_1603_),
+ sky130_fd_sc_hd__o2bb2a_1 _4386_ (.A1_N(_1599_),
+    .A2_N(_1601_),
+    .B1(_1602_),
+    .B2(_1603_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0149_));
- sky130_fd_sc_hd__or2_1 _4349_ (.A(_1602_),
-    .B(\u_rtcclk.high_count[1] ),
+    .X(_0145_));
+ sky130_fd_sc_hd__clkbuf_2 _4387_ (.A(\u_glb_ctrl.gen_bit_reg[28].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1604_));
- sky130_fd_sc_hd__nand2_1 _4350_ (.A(_1602_),
-    .B(\u_rtcclk.high_count[1] ),
+ sky130_fd_sc_hd__xnor2_1 _4388_ (.A(_1604_),
+    .B(_1596_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_1605_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4351_ (.A(\u_glb_ctrl.gen_bit_reg[14].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__o21a_1 _4389_ (.A1(_1594_),
+    .A2(_1235_),
+    .B1(\u_usbclk.low_count[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1606_));
- sky130_fd_sc_hd__and3_1 _4352_ (.A(\u_glb_ctrl.gen_bit_reg[12].u_bit_reg.data_out ),
-    .B(\u_glb_ctrl.gen_bit_reg[13].u_bit_reg.data_out ),
-    .C(_1606_),
+ sky130_fd_sc_hd__nor2_1 _4390_ (.A(_1585_),
+    .B(_1236_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1607_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4353_ (.A(_1606_),
+    .Y(_1607_));
+ sky130_fd_sc_hd__o2bb2a_1 _4391_ (.A1_N(_1601_),
+    .A2_N(_1605_),
+    .B1(_1606_),
+    .B2(_1607_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0146_));
+ sky130_fd_sc_hd__or3_1 _4392_ (.A(\u_usbclk.low_count[4] ),
+    .B(_1584_),
+    .C(_1236_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1608_));
- sky130_fd_sc_hd__a21oi_1 _4354_ (.A1(_1595_),
-    .A2(_1597_),
-    .B1(_1608_),
+ sky130_fd_sc_hd__o21ai_1 _4393_ (.A1(_1585_),
+    .A2(_1236_),
+    .B1(\u_usbclk.low_count[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_1609_));
- sky130_fd_sc_hd__o31a_1 _4355_ (.A1(_1220_),
-    .A2(_1607_),
-    .A3(_1609_),
-    .B1(_1600_),
+ sky130_fd_sc_hd__and3_1 _4394_ (.A(\u_glb_ctrl.gen_bit_reg[28].u_bit_reg.data_out ),
+    .B(\u_glb_ctrl.gen_bit_reg[29].u_bit_reg.data_out ),
+    .C(_1596_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1610_));
- sky130_fd_sc_hd__a21oi_1 _4356_ (.A1(_1604_),
-    .A2(_1605_),
-    .B1(_1610_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_0150_));
- sky130_fd_sc_hd__or2_1 _4357_ (.A(\u_rtcclk.high_count[2] ),
-    .B(_1604_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4395_ (.A(_1610_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1611_));
- sky130_fd_sc_hd__o21ai_1 _4358_ (.A1(_1602_),
-    .A2(\u_rtcclk.high_count[1] ),
-    .B1(\u_rtcclk.high_count[2] ),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4396_ (.A(\u_glb_ctrl.gen_bit_reg[29].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_1612_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4359_ (.A(\u_glb_ctrl.gen_bit_reg[15].u_bit_reg.data_out ),
+    .X(_1612_));
+ sky130_fd_sc_hd__a21oi_1 _4397_ (.A1(_1604_),
+    .A2(_1596_),
+    .B1(_1612_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1613_));
- sky130_fd_sc_hd__xnor2_1 _4360_ (.A(_1613_),
-    .B(_1607_),
+    .Y(_1613_));
+ sky130_fd_sc_hd__o21a_1 _4398_ (.A1(_1611_),
+    .A2(_1613_),
+    .B1(_1600_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_1614_));
- sky130_fd_sc_hd__nor2_1 _4361_ (.A(_1221_),
-    .B(_1614_),
+    .X(_1614_));
+ sky130_fd_sc_hd__a21oi_1 _4399_ (.A1(_1608_),
+    .A2(_1609_),
+    .B1(_1614_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_0147_));
+ sky130_fd_sc_hd__inv_2 _4400_ (.A(\u_usbclk.low_count[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_1615_));
- sky130_fd_sc_hd__o2bb2a_1 _4362_ (.A1_N(_1611_),
-    .A2_N(_1612_),
-    .B1(_1615_),
-    .B2(_1594_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0151_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4363_ (.A(_1222_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1616_));
- sky130_fd_sc_hd__nand2_1 _4364_ (.A(\u_rtcclk.high_count[3] ),
+ sky130_fd_sc_hd__xnor2_1 _4401_ (.A(\u_glb_ctrl.gen_bit_reg[30].u_bit_reg.data_out ),
     .B(_1611_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_1617_));
- sky130_fd_sc_hd__and3_1 _4365_ (.A(\u_glb_ctrl.gen_bit_reg[13].u_bit_reg.data_out ),
-    .B(\u_glb_ctrl.gen_bit_reg[14].u_bit_reg.data_out ),
-    .C(\u_glb_ctrl.gen_bit_reg[15].u_bit_reg.data_out ),
+    .Y(_1616_));
+ sky130_fd_sc_hd__a211o_1 _4402_ (.A1(_1615_),
+    .A2(_1616_),
+    .B1(_1608_),
+    .C1(\u_usbclk.low_count[5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1617_));
+ sky130_fd_sc_hd__a21bo_1 _4403_ (.A1(\u_usbclk.low_count[5] ),
+    .A2(_1608_),
+    .B1_N(_1617_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0148_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4404_ (.A(\u_glb_ctrl.gen_bit_reg[30].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1618_));
- sky130_fd_sc_hd__and2_1 _4366_ (.A(\u_glb_ctrl.gen_bit_reg[16].u_bit_reg.data_out ),
-    .B(_1618_),
+ sky130_fd_sc_hd__o21a_1 _4405_ (.A1(\u_usbclk.low_count[5] ),
+    .A2(_1608_),
+    .B1(\u_usbclk.low_count[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1619_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4367_ (.A(_1619_),
+ sky130_fd_sc_hd__a31o_1 _4406_ (.A1(_1618_),
+    .A2(_1601_),
+    .A3(_1611_),
+    .B1(_1619_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0149_));
+ sky130_fd_sc_hd__clkbuf_1 _4407_ (.A(\u_glb_ctrl.gen_bit_reg[20].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1620_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4368_ (.A(\u_glb_ctrl.gen_bit_reg[16].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_1 _4408_ (.A(\u_glb_ctrl.gen_bit_reg[21].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1621_));
- sky130_fd_sc_hd__a21oi_1 _4369_ (.A1(_1613_),
-    .A2(_1607_),
-    .B1(_1621_),
+ sky130_fd_sc_hd__clkbuf_1 _4409_ (.A(\u_cpuclk.low_count[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_1622_));
- sky130_fd_sc_hd__a211o_1 _4370_ (.A1(_1596_),
-    .A2(_1620_),
-    .B1(_1622_),
-    .C1(_1220_),
+    .X(_1622_));
+ sky130_fd_sc_hd__nor2_1 _4410_ (.A(_1620_),
+    .B(\u_glb_ctrl.gen_bit_reg[21].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1623_));
- sky130_fd_sc_hd__a22oi_1 _4371_ (.A1(_1616_),
-    .A2(_1617_),
-    .B1(_1623_),
-    .B2(_1225_),
+    .Y(_1623_));
+ sky130_fd_sc_hd__or3_1 _4411_ (.A(\u_cpuclk.low_count[0] ),
+    .B(_1622_),
+    .C(_1623_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_0152_));
- sky130_fd_sc_hd__nor2_1 _4372_ (.A(\u_rtcclk.high_count[4] ),
-    .B(_1616_),
+    .X(_1624_));
+ sky130_fd_sc_hd__a21oi_1 _4412_ (.A1(_1620_),
+    .A2(_1621_),
+    .B1(_1624_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_1624_));
- sky130_fd_sc_hd__and2_1 _4373_ (.A(\u_rtcclk.high_count[4] ),
-    .B(_1616_),
+    .Y(_1625_));
+ sky130_fd_sc_hd__o21ba_1 _4413_ (.A1(_1219_),
+    .A2(_1625_),
+    .B1_N(_1218_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1625_));
- sky130_fd_sc_hd__and3_1 _4374_ (.A(_1595_),
-    .B(\u_glb_ctrl.gen_bit_reg[17].u_bit_reg.data_out ),
-    .C(_1619_),
+    .X(_0150_));
+ sky130_fd_sc_hd__or3_1 _4414_ (.A(\u_cpuclk.high_count[0] ),
+    .B(\u_cpuclk.high_count[1] ),
+    .C(\u_cpuclk.low_count[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1626_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4375_ (.A(\u_glb_ctrl.gen_bit_reg[17].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__nor2_1 _4415_ (.A(_1622_),
+    .B(_1626_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1627_));
- sky130_fd_sc_hd__a21oi_1 _4376_ (.A1(_1596_),
-    .A2(_1620_),
-    .B1(_1627_),
+    .Y(_1627_));
+ sky130_fd_sc_hd__a32o_1 _4416_ (.A1(_1620_),
+    .A2(_1621_),
+    .A3(_1627_),
+    .B1(_1219_),
+    .B2(_1218_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_1628_));
- sky130_fd_sc_hd__nor2_1 _4377_ (.A(_1626_),
-    .B(_1628_),
+    .X(_0151_));
+ sky130_fd_sc_hd__and2_1 _4417_ (.A(wb_req),
+    .B(_0943_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1628_));
+ sky130_fd_sc_hd__o21ai_4 _4418_ (.A1(\u_uart2wb.reg_addr[2] ),
+    .A2(_1155_),
+    .B1(_1407_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_1629_));
- sky130_fd_sc_hd__clkbuf_2 _4378_ (.A(_1593_),
+ sky130_fd_sc_hd__o21ai_4 _4419_ (.A1(\u_uart2wb.reg_addr[3] ),
+    .A2(_1155_),
+    .B1(_1412_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1630_));
- sky130_fd_sc_hd__o221a_1 _4379_ (.A1(_1624_),
-    .A2(_1625_),
-    .B1(_1629_),
-    .B2(_1630_),
-    .C1(_1601_),
+    .Y(_1630_));
+ sky130_fd_sc_hd__nor2_1 _4420_ (.A(_1629_),
+    .B(_1630_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0153_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4380_ (.A(\u_glb_ctrl.gen_bit_reg[18].u_bit_reg.data_out ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1631_));
- sky130_fd_sc_hd__and3_1 _4381_ (.A(\u_glb_ctrl.gen_bit_reg[17].u_bit_reg.data_out ),
-    .B(\u_glb_ctrl.gen_bit_reg[18].u_bit_reg.data_out ),
-    .C(_1619_),
+    .Y(_1631_));
+ sky130_fd_sc_hd__and3_1 _4421_ (.A(_1628_),
+    .B(_1399_),
+    .C(_1631_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1632_));
- sky130_fd_sc_hd__nand2_1 _4382_ (.A(\u_glb_ctrl.gen_bit_reg[12].u_bit_reg.data_out ),
-    .B(_1632_),
+ sky130_fd_sc_hd__clkbuf_4 _4422_ (.A(_1632_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_1633_));
- sky130_fd_sc_hd__nor3_1 _4383_ (.A(\u_rtcclk.low_count[7] ),
-    .B(\u_rtcclk.low_count[6] ),
-    .C(_1218_),
+    .X(_1633_));
+ sky130_fd_sc_hd__buf_2 _4423_ (.A(_1633_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_1634_));
- sky130_fd_sc_hd__clkbuf_2 _4384_ (.A(_1634_),
+    .X(_1634_));
+ sky130_fd_sc_hd__mux2_1 _4424_ (.A0(\u_clk_ctrl2.gen_bit_reg[9].u_bit_reg.data_out ),
+    .A1(_1317_),
+    .S(_1634_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1635_));
- sky130_fd_sc_hd__o211a_1 _4385_ (.A1(_1631_),
-    .A2(_1626_),
-    .B1(_1633_),
-    .C1(_1635_),
+ sky130_fd_sc_hd__clkbuf_1 _4425_ (.A(_1635_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0152_));
+ sky130_fd_sc_hd__clkbuf_2 _4426_ (.A(\u_glb_ctrl.gen_bit_reg[13].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1636_));
- sky130_fd_sc_hd__xor2_1 _4386_ (.A(\u_rtcclk.high_count[5] ),
-    .B(_1624_),
+ sky130_fd_sc_hd__clkbuf_2 _4427_ (.A(_1636_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1637_));
- sky130_fd_sc_hd__o21a_1 _4387_ (.A1(_1594_),
-    .A2(_1636_),
-    .B1(_1637_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0154_));
- sky130_fd_sc_hd__clkbuf_1 _4388_ (.A(\u_glb_ctrl.gen_bit_reg[19].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_2 _4428_ (.A(\u_glb_ctrl.gen_bit_reg[12].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1638_));
- sky130_fd_sc_hd__and2_1 _4389_ (.A(_1638_),
-    .B(_1632_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4429_ (.A(_1638_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1639_));
- sky130_fd_sc_hd__nand3_1 _4390_ (.A(_1595_),
-    .B(_1600_),
-    .C(_1639_),
+ sky130_fd_sc_hd__xnor2_1 _4430_ (.A(_1637_),
+    .B(_1639_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_1640_));
- sky130_fd_sc_hd__or3b_1 _4391_ (.A(_1638_),
-    .B(_1592_),
-    .C_N(_1633_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4431_ (.A(\u_rtcclk.high_count[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1641_));
- sky130_fd_sc_hd__o31ai_1 _4392_ (.A1(\u_rtcclk.high_count[4] ),
-    .A2(\u_rtcclk.high_count[5] ),
-    .A3(_1616_),
-    .B1(\u_rtcclk.high_count[6] ),
+ sky130_fd_sc_hd__a211oi_1 _4432_ (.A1(_1226_),
+    .A2(_1640_),
+    .B1(_1234_),
+    .C1(_1641_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_0153_));
+ sky130_fd_sc_hd__nor2_1 _4433_ (.A(\u_rtcclk.high_count[1] ),
+    .B(_1641_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_1642_));
- sky130_fd_sc_hd__nand2_1 _4393_ (.A(_1223_),
-    .B(_1642_),
+ sky130_fd_sc_hd__and2_1 _4434_ (.A(\u_rtcclk.high_count[1] ),
+    .B(_1641_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_1643_));
- sky130_fd_sc_hd__and4_1 _4394_ (.A(_1601_),
-    .B(_1640_),
-    .C(_1641_),
-    .D(_1643_),
+    .X(_1643_));
+ sky130_fd_sc_hd__clkbuf_1 _4435_ (.A(_1636_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1644_));
- sky130_fd_sc_hd__clkbuf_1 _4395_ (.A(_1644_),
+ sky130_fd_sc_hd__clkbuf_2 _4436_ (.A(\u_glb_ctrl.gen_bit_reg[14].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0155_));
- sky130_fd_sc_hd__nand2_1 _4396_ (.A(\u_rtcclk.high_count[7] ),
-    .B(_1223_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_1645_));
- sky130_fd_sc_hd__a21boi_1 _4397_ (.A1(_1640_),
-    .A2(_1645_),
-    .B1_N(_1601_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_0156_));
- sky130_fd_sc_hd__or4_1 _4398_ (.A(_0925_),
-    .B(_1546_),
-    .C(_1390_),
-    .D(_1395_),
+    .X(_1645_));
+ sky130_fd_sc_hd__and3_1 _4437_ (.A(_1644_),
+    .B(_1638_),
+    .C(_1645_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1646_));
- sky130_fd_sc_hd__clkbuf_2 _4399_ (.A(_1646_),
+ sky130_fd_sc_hd__clkbuf_2 _4438_ (.A(_1638_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1647_));
- sky130_fd_sc_hd__buf_4 _4400_ (.A(_1647_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4439_ (.A(\u_glb_ctrl.gen_bit_reg[14].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1648_));
- sky130_fd_sc_hd__mux2_1 _4401_ (.A0(_1301_),
-    .A1(_1558_),
-    .S(_1648_),
+ sky130_fd_sc_hd__a21oi_1 _4440_ (.A1(_1637_),
+    .A2(_1647_),
+    .B1(_1648_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1649_));
- sky130_fd_sc_hd__clkbuf_1 _4402_ (.A(_1649_),
+    .Y(_1649_));
+ sky130_fd_sc_hd__nor2_1 _4441_ (.A(_1646_),
+    .B(_1649_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0157_));
- sky130_fd_sc_hd__and2_1 _4403_ (.A(\u_glb_ctrl.gen_bit_reg[29].u_bit_reg.data_out ),
-    .B(\u_glb_ctrl.gen_bit_reg[28].u_bit_reg.data_out ),
+    .Y(_1650_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4442_ (.A(_1227_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1650_));
- sky130_fd_sc_hd__nor2_1 _4404_ (.A(_1569_),
-    .B(\u_glb_ctrl.gen_bit_reg[28].u_bit_reg.data_out ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_1651_));
- sky130_fd_sc_hd__or3_1 _4405_ (.A(_1226_),
-    .B(_1650_),
-    .C(_1651_),
+    .X(_1651_));
+ sky130_fd_sc_hd__clkbuf_2 _4443_ (.A(_1651_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1652_));
- sky130_fd_sc_hd__a21oi_1 _4406_ (.A1(_1228_),
-    .A2(_1652_),
-    .B1(\u_usbclk.high_count[0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_0158_));
- sky130_fd_sc_hd__xor2_1 _4407_ (.A(_1574_),
-    .B(_1650_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4444_ (.A(_1232_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1653_));
- sky130_fd_sc_hd__inv_2 _4408_ (.A(\u_usbclk.high_count[2] ),
+ sky130_fd_sc_hd__or2_1 _4445_ (.A(_1651_),
+    .B(_1653_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_1654_));
- sky130_fd_sc_hd__nor2_1 _4409_ (.A(_1654_),
-    .B(_1227_),
+    .X(_1654_));
+ sky130_fd_sc_hd__o221a_1 _4446_ (.A1(_1642_),
+    .A2(_1643_),
+    .B1(_1650_),
+    .B2(_1652_),
+    .C1(_1654_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_1655_));
- sky130_fd_sc_hd__a221o_1 _4410_ (.A1(\u_usbclk.high_count[0] ),
-    .A2(\u_usbclk.high_count[1] ),
-    .B1(_1568_),
-    .B2(_1653_),
-    .C1(_1655_),
+    .X(_0154_));
+ sky130_fd_sc_hd__clkbuf_2 _4447_ (.A(\u_glb_ctrl.gen_bit_reg[15].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0159_));
- sky130_fd_sc_hd__a32o_1 _4411_ (.A1(_1574_),
-    .A2(_1568_),
-    .A3(_1650_),
-    .B1(_1227_),
-    .B2(\u_usbclk.high_count[2] ),
+    .X(_1655_));
+ sky130_fd_sc_hd__xnor2_2 _4448_ (.A(_1655_),
+    .B(_1646_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0160_));
- sky130_fd_sc_hd__clkbuf_1 _4412_ (.A(\u_uart2wb.rx_data[0] ),
+    .Y(_1656_));
+ sky130_fd_sc_hd__xnor2_1 _4449_ (.A(\u_rtcclk.high_count[2] ),
+    .B(_1642_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1656_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4413_ (.A(_1656_),
+    .Y(_1657_));
+ sky130_fd_sc_hd__a211oi_1 _4450_ (.A1(_1225_),
+    .A2(_1656_),
+    .B1(_1657_),
+    .C1(_1234_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1657_));
- sky130_fd_sc_hd__clkbuf_4 _4414_ (.A(\u_arb.rstn ),
+    .Y(_0155_));
+ sky130_fd_sc_hd__and4_1 _4451_ (.A(\u_glb_ctrl.gen_bit_reg[13].u_bit_reg.data_out ),
+    .B(\u_glb_ctrl.gen_bit_reg[14].u_bit_reg.data_out ),
+    .C(\u_glb_ctrl.gen_bit_reg[15].u_bit_reg.data_out ),
+    .D(\u_glb_ctrl.gen_bit_reg[16].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1658_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4415_ (.A(\u_uart2wb.rx_data[2] ),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4452_ (.A(_1658_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1659_));
- sky130_fd_sc_hd__or3b_1 _4416_ (.A(\u_uart2wb.rx_data[0] ),
-    .B(\u_uart2wb.rx_data[1] ),
-    .C_N(\u_uart2wb.rx_data[5] ),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4453_ (.A(\u_glb_ctrl.gen_bit_reg[16].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1660_));
- sky130_fd_sc_hd__or3_1 _4417_ (.A(\u_uart2wb.rx_data[4] ),
+ sky130_fd_sc_hd__a21oi_1 _4454_ (.A1(_1655_),
+    .A2(_1646_),
+    .B1(_1660_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_1661_));
+ sky130_fd_sc_hd__a21oi_1 _4455_ (.A1(_1647_),
+    .A2(_1659_),
+    .B1(_1661_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_1662_));
+ sky130_fd_sc_hd__clkbuf_1 _4456_ (.A(_1222_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1663_));
+ sky130_fd_sc_hd__o31ai_1 _4457_ (.A1(\u_rtcclk.high_count[1] ),
+    .A2(_1641_),
+    .A3(\u_rtcclk.high_count[2] ),
+    .B1(\u_rtcclk.high_count[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_1664_));
+ sky130_fd_sc_hd__nand2_1 _4458_ (.A(_1663_),
+    .B(_1664_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_1665_));
+ sky130_fd_sc_hd__o211a_1 _4459_ (.A1(_1652_),
+    .A2(_1662_),
+    .B1(_1665_),
+    .C1(_1654_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0156_));
+ sky130_fd_sc_hd__nor2_1 _4460_ (.A(\u_rtcclk.high_count[4] ),
+    .B(_1663_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_1666_));
+ sky130_fd_sc_hd__and2_1 _4461_ (.A(\u_rtcclk.high_count[4] ),
+    .B(_1663_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1667_));
+ sky130_fd_sc_hd__and2_1 _4462_ (.A(\u_glb_ctrl.gen_bit_reg[17].u_bit_reg.data_out ),
+    .B(_1658_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1668_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4463_ (.A(_1668_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1669_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4464_ (.A(\u_glb_ctrl.gen_bit_reg[17].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1670_));
+ sky130_fd_sc_hd__a21oi_1 _4465_ (.A1(_1639_),
+    .A2(_1659_),
+    .B1(_1670_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_1671_));
+ sky130_fd_sc_hd__a21oi_1 _4466_ (.A1(_1647_),
+    .A2(_1669_),
+    .B1(_1671_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_1672_));
+ sky130_fd_sc_hd__clkbuf_2 _4467_ (.A(_1228_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1673_));
+ sky130_fd_sc_hd__o221a_1 _4468_ (.A1(_1666_),
+    .A2(_1667_),
+    .B1(_1672_),
+    .B2(_1673_),
+    .C1(_1654_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0157_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4469_ (.A(\u_glb_ctrl.gen_bit_reg[18].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1674_));
+ sky130_fd_sc_hd__a21oi_1 _4470_ (.A1(_1639_),
+    .A2(_1669_),
+    .B1(_1674_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_1675_));
+ sky130_fd_sc_hd__and3_1 _4471_ (.A(_1638_),
+    .B(\u_glb_ctrl.gen_bit_reg[18].u_bit_reg.data_out ),
+    .C(_1668_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1676_));
+ sky130_fd_sc_hd__clkbuf_2 _4472_ (.A(_1231_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1677_));
+ sky130_fd_sc_hd__or3b_1 _4473_ (.A(_1675_),
+    .B(_1676_),
+    .C_N(_1677_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1678_));
+ sky130_fd_sc_hd__xnor2_1 _4474_ (.A(\u_rtcclk.high_count[5] ),
+    .B(_1666_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_1679_));
+ sky130_fd_sc_hd__a21oi_1 _4475_ (.A1(_1226_),
+    .A2(_1678_),
+    .B1(_1679_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_0158_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4476_ (.A(\u_glb_ctrl.gen_bit_reg[19].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1680_));
+ sky130_fd_sc_hd__o31ai_1 _4477_ (.A1(\u_rtcclk.high_count[4] ),
+    .A2(\u_rtcclk.high_count[5] ),
+    .A3(_1663_),
+    .B1(\u_rtcclk.high_count[6] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_1681_));
+ sky130_fd_sc_hd__and3_1 _4478_ (.A(\u_glb_ctrl.gen_bit_reg[18].u_bit_reg.data_out ),
+    .B(_1680_),
+    .C(_1668_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1682_));
+ sky130_fd_sc_hd__and3_1 _4479_ (.A(_1639_),
+    .B(_1224_),
+    .C(_1682_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1683_));
+ sky130_fd_sc_hd__a211oi_1 _4480_ (.A1(_1223_),
+    .A2(_1681_),
+    .B1(_1683_),
+    .C1(_1233_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_1684_));
+ sky130_fd_sc_hd__o31a_1 _4481_ (.A1(_1680_),
+    .A2(_1673_),
+    .A3(_1676_),
+    .B1(_1684_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0159_));
+ sky130_fd_sc_hd__a21oi_1 _4482_ (.A1(\u_rtcclk.high_count[7] ),
+    .A2(_1223_),
+    .B1(_1683_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_1685_));
+ sky130_fd_sc_hd__nor2_1 _4483_ (.A(_1234_),
+    .B(_1685_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_0160_));
+ sky130_fd_sc_hd__or4_2 _4484_ (.A(_1204_),
+    .B(_1561_),
+    .C(_1408_),
+    .D(_1413_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1686_));
+ sky130_fd_sc_hd__buf_2 _4485_ (.A(_1686_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1687_));
+ sky130_fd_sc_hd__buf_6 _4486_ (.A(_1687_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1688_));
+ sky130_fd_sc_hd__mux2_1 _4487_ (.A0(_1318_),
+    .A1(_1573_),
+    .S(_1688_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1689_));
+ sky130_fd_sc_hd__clkbuf_1 _4488_ (.A(_1689_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0161_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4489_ (.A(\u_glb_ctrl.gen_bit_reg[24].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1690_));
+ sky130_fd_sc_hd__and2_1 _4490_ (.A(_1580_),
+    .B(_1690_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1691_));
+ sky130_fd_sc_hd__nor2_1 _4491_ (.A(_1590_),
+    .B(_1690_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_1692_));
+ sky130_fd_sc_hd__o31a_1 _4492_ (.A1(_1238_),
+    .A2(_1691_),
+    .A3(_1692_),
+    .B1(_1242_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1693_));
+ sky130_fd_sc_hd__nor2_1 _4493_ (.A(\u_usbclk.high_count[0] ),
+    .B(_1693_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_0162_));
+ sky130_fd_sc_hd__or2_1 _4494_ (.A(\u_usbclk.high_count[0] ),
+    .B(\u_usbclk.high_count[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1694_));
+ sky130_fd_sc_hd__nand2_1 _4495_ (.A(\u_usbclk.high_count[0] ),
+    .B(\u_usbclk.high_count[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_1695_));
+ sky130_fd_sc_hd__nor2_1 _4496_ (.A(_1591_),
+    .B(_1691_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_1696_));
+ sky130_fd_sc_hd__and3_1 _4497_ (.A(\u_glb_ctrl.gen_bit_reg[25].u_bit_reg.data_out ),
+    .B(\u_glb_ctrl.gen_bit_reg[24].u_bit_reg.data_out ),
+    .C(\u_glb_ctrl.gen_bit_reg[26].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1697_));
+ sky130_fd_sc_hd__o31a_1 _4498_ (.A1(_1238_),
+    .A2(_1696_),
+    .A3(_1697_),
+    .B1(_1242_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1698_));
+ sky130_fd_sc_hd__a21oi_1 _4499_ (.A1(_1694_),
+    .A2(_1695_),
+    .B1(_1698_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_0163_));
+ sky130_fd_sc_hd__nand2_1 _4500_ (.A(_1597_),
+    .B(_1697_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_1699_));
+ sky130_fd_sc_hd__or2_1 _4501_ (.A(_1597_),
+    .B(_1697_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1700_));
+ sky130_fd_sc_hd__xnor2_1 _4502_ (.A(\u_usbclk.high_count[2] ),
+    .B(_1694_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_1701_));
+ sky130_fd_sc_hd__a32o_1 _4503_ (.A1(_1600_),
+    .A2(_1699_),
+    .A3(_1700_),
+    .B1(_1701_),
+    .B2(_1595_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0164_));
+ sky130_fd_sc_hd__o21ai_1 _4504_ (.A1(\u_usbclk.high_count[2] ),
+    .A2(_1694_),
+    .B1(\u_usbclk.high_count[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_1702_));
+ sky130_fd_sc_hd__nand2_1 _4505_ (.A(_1239_),
+    .B(_1702_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_1703_));
+ sky130_fd_sc_hd__xnor2_1 _4506_ (.A(_1604_),
+    .B(_1699_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_1704_));
+ sky130_fd_sc_hd__a22o_1 _4507_ (.A1(_1595_),
+    .A2(_1703_),
+    .B1(_1704_),
+    .B2(_1601_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0165_));
+ sky130_fd_sc_hd__and2_1 _4508_ (.A(\u_glb_ctrl.gen_bit_reg[24].u_bit_reg.data_out ),
+    .B(_1610_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1705_));
+ sky130_fd_sc_hd__a31o_1 _4509_ (.A1(\u_glb_ctrl.gen_bit_reg[27].u_bit_reg.data_out ),
+    .A2(\u_glb_ctrl.gen_bit_reg[28].u_bit_reg.data_out ),
+    .A3(_1697_),
+    .B1(_1612_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1706_));
+ sky130_fd_sc_hd__or3b_1 _4510_ (.A(_1705_),
+    .B(_1582_),
+    .C_N(_1706_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1707_));
+ sky130_fd_sc_hd__xor2_1 _4511_ (.A(\u_usbclk.high_count[4] ),
+    .B(_1239_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1708_));
+ sky130_fd_sc_hd__a21oi_1 _4512_ (.A1(_1242_),
+    .A2(_1707_),
+    .B1(_1708_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_0166_));
+ sky130_fd_sc_hd__o21ai_1 _4513_ (.A1(\u_usbclk.high_count[4] ),
+    .A2(_1239_),
+    .B1(\u_usbclk.high_count[5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_1709_));
+ sky130_fd_sc_hd__nor2_1 _4514_ (.A(_1618_),
+    .B(_1705_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_1710_));
+ sky130_fd_sc_hd__and3_1 _4515_ (.A(_1690_),
+    .B(\u_glb_ctrl.gen_bit_reg[30].u_bit_reg.data_out ),
+    .C(_1611_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1711_));
+ sky130_fd_sc_hd__o31a_1 _4516_ (.A1(_1582_),
+    .A2(_1710_),
+    .A3(_1711_),
+    .B1(_1241_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1712_));
+ sky130_fd_sc_hd__a21oi_1 _4517_ (.A1(_1240_),
+    .A2(_1709_),
+    .B1(_1712_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_0167_));
+ sky130_fd_sc_hd__a32o_1 _4518_ (.A1(_1618_),
+    .A2(_1600_),
+    .A3(_1705_),
+    .B1(_1240_),
+    .B2(\u_usbclk.high_count[6] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0168_));
+ sky130_fd_sc_hd__clkbuf_1 _4519_ (.A(\u_uart2wb.rx_data[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1713_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4520_ (.A(_1713_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1714_));
+ sky130_fd_sc_hd__clkbuf_4 _4521_ (.A(net185),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1715_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4522_ (.A(\u_uart2wb.rx_data[5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1716_));
+ sky130_fd_sc_hd__or3_2 _4523_ (.A(\u_uart2wb.rx_data[4] ),
     .B(\u_uart2wb.rx_data[7] ),
     .C(\u_uart2wb.rx_data[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1661_));
- sky130_fd_sc_hd__or4_1 _4418_ (.A(\u_uart2wb.rx_data[3] ),
-    .B(_1659_),
-    .C(_1660_),
-    .D(_1661_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1662_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4419_ (.A(_1662_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1663_));
- sky130_fd_sc_hd__clkbuf_1 _4420_ (.A(\u_uart2wb.u_msg.State[2] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1664_));
- sky130_fd_sc_hd__or4bb_4 _4421_ (.A(_1664_),
-    .B(\u_uart2wb.u_msg.State[3] ),
-    .C_N(\u_uart2wb.u_msg.State[1] ),
-    .D_N(\u_uart2wb.u_msg.State[0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1665_));
- sky130_fd_sc_hd__clkinv_2 _4422_ (.A(_1665_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_1666_));
- sky130_fd_sc_hd__and3_1 _4423_ (.A(\u_uart2wb.rx_wr ),
-    .B(_1663_),
-    .C(_1666_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1667_));
- sky130_fd_sc_hd__and2b_1 _4424_ (.A_N(\u_uart2wb.rx_data[0] ),
-    .B(\u_uart2wb.rx_data[1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1668_));
- sky130_fd_sc_hd__and2b_1 _4425_ (.A_N(\u_uart2wb.rx_data[2] ),
-    .B(\u_uart2wb.rx_data[3] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1669_));
- sky130_fd_sc_hd__or4bb_2 _4426_ (.A(\u_uart2wb.rx_data[5] ),
-    .B(_1661_),
-    .C_N(_1668_),
-    .D_N(_1669_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1670_));
- sky130_fd_sc_hd__clkbuf_2 _4427_ (.A(_1670_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1671_));
- sky130_fd_sc_hd__and3_1 _4428_ (.A(_1658_),
-    .B(_1667_),
-    .C(_1671_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1672_));
- sky130_fd_sc_hd__clkbuf_2 _4429_ (.A(_1672_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1673_));
- sky130_fd_sc_hd__clkbuf_2 _4430_ (.A(_1673_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1674_));
- sky130_fd_sc_hd__mux2_1 _4431_ (.A0(\u_uart2wb.u_msg.cmd[0] ),
-    .A1(_1657_),
-    .S(_1674_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1675_));
- sky130_fd_sc_hd__clkbuf_1 _4432_ (.A(_1675_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0161_));
- sky130_fd_sc_hd__clkbuf_1 _4433_ (.A(\u_uart2wb.rx_data[1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1676_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4434_ (.A(_1676_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1677_));
- sky130_fd_sc_hd__mux2_1 _4435_ (.A0(\u_uart2wb.u_msg.cmd[1] ),
-    .A1(_1677_),
-    .S(_1674_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1678_));
- sky130_fd_sc_hd__clkbuf_1 _4436_ (.A(_1678_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0162_));
- sky130_fd_sc_hd__clkbuf_2 _4437_ (.A(_1659_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1679_));
- sky130_fd_sc_hd__mux2_1 _4438_ (.A0(\u_uart2wb.u_msg.cmd[2] ),
-    .A1(_1679_),
-    .S(_1674_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1680_));
- sky130_fd_sc_hd__clkbuf_1 _4439_ (.A(_1680_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0163_));
- sky130_fd_sc_hd__clkbuf_2 _4440_ (.A(\u_uart2wb.rx_data[3] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1681_));
- sky130_fd_sc_hd__mux2_1 _4441_ (.A0(\u_uart2wb.u_msg.cmd[3] ),
-    .A1(_1681_),
-    .S(_1674_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1682_));
- sky130_fd_sc_hd__clkbuf_1 _4442_ (.A(_1682_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0164_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4443_ (.A(\u_uart2wb.rx_data[4] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1683_));
- sky130_fd_sc_hd__clkbuf_2 _4444_ (.A(_1672_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1684_));
- sky130_fd_sc_hd__mux2_1 _4445_ (.A0(\u_uart2wb.u_msg.cmd[4] ),
-    .A1(_1683_),
-    .S(_1684_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1685_));
- sky130_fd_sc_hd__clkbuf_1 _4446_ (.A(_1685_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0165_));
- sky130_fd_sc_hd__clkbuf_1 _4447_ (.A(\u_uart2wb.rx_data[5] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1686_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4448_ (.A(_1686_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1687_));
- sky130_fd_sc_hd__inv_2 _4449_ (.A(_1673_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_1688_));
- sky130_fd_sc_hd__a32o_1 _4450_ (.A1(_1658_),
-    .A2(_1687_),
-    .A3(_1667_),
-    .B1(_1688_),
-    .B2(\u_uart2wb.u_msg.cmd[5] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0166_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4451_ (.A(\u_uart2wb.rx_data[6] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1689_));
- sky130_fd_sc_hd__a32o_1 _4452_ (.A1(_1658_),
-    .A2(_1689_),
-    .A3(_1667_),
-    .B1(_1688_),
-    .B2(\u_uart2wb.u_msg.cmd[6] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0167_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4453_ (.A(\u_uart2wb.rx_data[7] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1690_));
- sky130_fd_sc_hd__mux2_1 _4454_ (.A0(\u_uart2wb.u_msg.cmd[7] ),
-    .A1(_1690_),
-    .S(_1684_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1691_));
- sky130_fd_sc_hd__clkbuf_1 _4455_ (.A(_1691_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0168_));
- sky130_fd_sc_hd__mux2_1 _4456_ (.A0(\u_uart2wb.u_msg.cmd[8] ),
-    .A1(\u_uart2wb.u_msg.cmd[0] ),
-    .S(_1684_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1692_));
- sky130_fd_sc_hd__clkbuf_1 _4457_ (.A(_1692_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0169_));
- sky130_fd_sc_hd__mux2_1 _4458_ (.A0(\u_uart2wb.u_msg.cmd[9] ),
-    .A1(\u_uart2wb.u_msg.cmd[1] ),
-    .S(_1684_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1693_));
- sky130_fd_sc_hd__clkbuf_1 _4459_ (.A(_1693_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0170_));
- sky130_fd_sc_hd__clkbuf_2 _4460_ (.A(_1672_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1694_));
- sky130_fd_sc_hd__mux2_1 _4461_ (.A0(\u_uart2wb.u_msg.cmd[10] ),
-    .A1(\u_uart2wb.u_msg.cmd[2] ),
-    .S(_1694_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1695_));
- sky130_fd_sc_hd__clkbuf_1 _4462_ (.A(_1695_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0171_));
- sky130_fd_sc_hd__mux2_1 _4463_ (.A0(\u_uart2wb.u_msg.cmd[11] ),
-    .A1(\u_uart2wb.u_msg.cmd[3] ),
-    .S(_1694_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1696_));
- sky130_fd_sc_hd__clkbuf_1 _4464_ (.A(_1696_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0172_));
- sky130_fd_sc_hd__mux2_1 _4465_ (.A0(\u_uart2wb.u_msg.cmd[12] ),
-    .A1(\u_uart2wb.u_msg.cmd[4] ),
-    .S(_1694_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1697_));
- sky130_fd_sc_hd__clkbuf_1 _4466_ (.A(_1697_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0173_));
- sky130_fd_sc_hd__mux2_1 _4467_ (.A0(\u_uart2wb.u_msg.cmd[13] ),
-    .A1(\u_uart2wb.u_msg.cmd[5] ),
-    .S(_1694_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1698_));
- sky130_fd_sc_hd__clkbuf_1 _4468_ (.A(_1698_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0174_));
- sky130_fd_sc_hd__mux2_1 _4469_ (.A0(\u_uart2wb.u_msg.cmd[14] ),
-    .A1(\u_uart2wb.u_msg.cmd[6] ),
-    .S(_1673_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1699_));
- sky130_fd_sc_hd__clkbuf_1 _4470_ (.A(_1699_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0175_));
- sky130_fd_sc_hd__mux2_1 _4471_ (.A0(\u_uart2wb.u_msg.cmd[15] ),
-    .A1(\u_uart2wb.u_msg.cmd[7] ),
-    .S(_1673_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1700_));
- sky130_fd_sc_hd__clkbuf_1 _4472_ (.A(_1700_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0176_));
- sky130_fd_sc_hd__and2b_1 _4473_ (.A_N(_1559_),
-    .B(_1558_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1701_));
- sky130_fd_sc_hd__o21ai_1 _4474_ (.A1(_1209_),
-    .A2(_1210_),
-    .B1(\u_wbclk.low_count[0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_1702_));
- sky130_fd_sc_hd__o21ai_1 _4475_ (.A1(_1563_),
-    .A2(_1701_),
-    .B1(_1702_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_0177_));
- sky130_fd_sc_hd__mux2_1 _4476_ (.A0(_1701_),
-    .A1(_1559_),
-    .S(_1563_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1703_));
- sky130_fd_sc_hd__clkbuf_1 _4477_ (.A(_1703_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0178_));
- sky130_fd_sc_hd__clkbuf_1 _4478_ (.A(_1585_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1704_));
- sky130_fd_sc_hd__clkbuf_2 _4479_ (.A(_1704_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1705_));
- sky130_fd_sc_hd__clkbuf_1 _4480_ (.A(_1586_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1706_));
- sky130_fd_sc_hd__clkbuf_2 _4481_ (.A(_1706_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1707_));
- sky130_fd_sc_hd__buf_2 _4482_ (.A(_1707_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1708_));
- sky130_fd_sc_hd__nand2_1 _4483_ (.A(_1705_),
-    .B(_1708_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_1709_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4484_ (.A(_1709_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1710_));
- sky130_fd_sc_hd__or2_1 _4485_ (.A(\u_async_wb.m_cmd_wr_data[61] ),
-    .B(_1704_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1711_));
- sky130_fd_sc_hd__and3_1 _4486_ (.A(\u_clk_ctrl2.gen_bit_reg[0].u_bit_reg.data_out ),
-    .B(_1390_),
-    .C(_1396_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1712_));
- sky130_fd_sc_hd__a221o_1 _4487_ (.A1(\u_clk_ctrl1.gen_bit_reg[0].u_bit_reg.data_out ),
-    .A2(_1705_),
-    .B1(_1708_),
-    .B2(_1711_),
-    .C1(_1712_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1713_));
- sky130_fd_sc_hd__o21a_1 _4488_ (.A1(\u_buf_wb_rst.A ),
-    .A2(_1710_),
-    .B1(_1713_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1714_));
- sky130_fd_sc_hd__nand2_1 _4489_ (.A(_0000_),
-    .B(_1546_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_1715_));
- sky130_fd_sc_hd__buf_2 _4490_ (.A(_1715_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1716_));
- sky130_fd_sc_hd__clkbuf_2 _4491_ (.A(_1716_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
     .X(_1717_));
- sky130_fd_sc_hd__mux2_1 _4492_ (.A0(_1714_),
-    .A1(\reg_rdata[0] ),
-    .S(_1717_),
+ sky130_fd_sc_hd__or2b_2 _4524_ (.A(\u_uart2wb.rx_data[2] ),
+    .B_N(\u_uart2wb.rx_data[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1718_));
- sky130_fd_sc_hd__clkbuf_1 _4493_ (.A(_1718_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0179_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4494_ (.A(_1587_),
+ sky130_fd_sc_hd__and2b_1 _4525_ (.A_N(\u_uart2wb.rx_data[0] ),
+    .B(\u_uart2wb.rx_data[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1719_));
- sky130_fd_sc_hd__clkbuf_2 _4495_ (.A(_1719_),
+ sky130_fd_sc_hd__or4b_2 _4526_ (.A(_1716_),
+    .B(_1717_),
+    .C(_1718_),
+    .D_N(_1719_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1720_));
- sky130_fd_sc_hd__nor2_1 _4496_ (.A(_1704_),
-    .B(_1395_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4527_ (.A(_1720_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_1721_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4497_ (.A(_1721_),
+    .X(_1721_));
+ sky130_fd_sc_hd__clkbuf_2 _4528_ (.A(_1721_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1722_));
- sky130_fd_sc_hd__clkbuf_2 _4498_ (.A(_1706_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4529_ (.A(\u_uart2wb.rx_wr ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1723_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4499_ (.A(_1704_),
+ sky130_fd_sc_hd__or3b_1 _4530_ (.A(\u_uart2wb.rx_data[1] ),
+    .B(\u_uart2wb.rx_data[0] ),
+    .C_N(\u_uart2wb.rx_data[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1724_));
- sky130_fd_sc_hd__o21a_1 _4500_ (.A1(\u_clk_ctrl1.gen_bit_reg[1].u_bit_reg.data_out ),
-    .A2(_1723_),
-    .B1(_1724_),
+ sky130_fd_sc_hd__nor2_1 _4531_ (.A(\u_uart2wb.rx_data[3] ),
+    .B(\u_uart2wb.rx_data[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1725_));
- sky130_fd_sc_hd__a221o_1 _4501_ (.A1(\u_clk_ctrl2.gen_bit_reg[1].u_bit_reg.data_out ),
-    .A2(_1720_),
-    .B1(_1722_),
-    .B2(\u_async_wb.m_cmd_wr_data[62] ),
-    .C1(_1725_),
+    .Y(_1725_));
+ sky130_fd_sc_hd__or3b_2 _4532_ (.A(_1717_),
+    .B(_1724_),
+    .C_N(_1725_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1726_));
- sky130_fd_sc_hd__o21a_1 _4502_ (.A1(\u_glb_ctrl.gen_bit_reg[1].u_bit_reg.data_out ),
-    .A2(_1710_),
-    .B1(_1726_),
+ sky130_fd_sc_hd__clkbuf_1 _4533_ (.A(\u_uart2wb.u_msg.State[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1727_));
- sky130_fd_sc_hd__mux2_1 _4503_ (.A0(_1727_),
-    .A1(\reg_rdata[1] ),
-    .S(_1717_),
+ sky130_fd_sc_hd__and4bb_1 _4534_ (.A_N(_1727_),
+    .B_N(\u_uart2wb.u_msg.State[3] ),
+    .C(\u_uart2wb.u_msg.State[1] ),
+    .D(\u_uart2wb.u_msg.State[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1728_));
- sky130_fd_sc_hd__clkbuf_1 _4504_ (.A(_1728_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0180_));
- sky130_fd_sc_hd__clkbuf_2 _4505_ (.A(_1706_),
+ sky130_fd_sc_hd__and3_1 _4535_ (.A(_1723_),
+    .B(_1726_),
+    .C(_1728_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1729_));
- sky130_fd_sc_hd__o21a_1 _4506_ (.A1(\u_clk_ctrl1.gen_bit_reg[2].u_bit_reg.data_out ),
-    .A2(_1729_),
-    .B1(_1724_),
+ sky130_fd_sc_hd__clkbuf_2 _4536_ (.A(_1729_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1730_));
- sky130_fd_sc_hd__a221o_1 _4507_ (.A1(\u_clk_ctrl2.gen_bit_reg[2].u_bit_reg.data_out ),
-    .A2(_1720_),
-    .B1(_1722_),
-    .B2(\u_async_wb.m_cmd_wr_data[63] ),
-    .C1(_1730_),
+ sky130_fd_sc_hd__nand3_4 _4537_ (.A(_1715_),
+    .B(_1722_),
+    .C(_1730_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1731_));
- sky130_fd_sc_hd__o21a_1 _4508_ (.A1(\u_glb_ctrl.gen_bit_reg[2].u_bit_reg.data_out ),
-    .A2(_1710_),
-    .B1(_1731_),
+    .Y(_1731_));
+ sky130_fd_sc_hd__clkbuf_2 _4538_ (.A(_1731_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1732_));
- sky130_fd_sc_hd__mux2_1 _4509_ (.A0(_1732_),
-    .A1(\reg_rdata[2] ),
-    .S(_1717_),
+ sky130_fd_sc_hd__mux2_1 _4539_ (.A0(_1714_),
+    .A1(\u_uart2wb.u_msg.cmd[0] ),
+    .S(_1732_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1733_));
- sky130_fd_sc_hd__clkbuf_1 _4510_ (.A(_1733_),
+ sky130_fd_sc_hd__clkbuf_1 _4540_ (.A(_1733_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0181_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4511_ (.A(_1719_),
+    .X(_0169_));
+ sky130_fd_sc_hd__clkbuf_1 _4541_ (.A(\u_uart2wb.rx_data[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1734_));
- sky130_fd_sc_hd__o21a_1 _4512_ (.A1(\u_clk_ctrl1.gen_bit_reg[3].u_bit_reg.data_out ),
-    .A2(_1729_),
-    .B1(_1724_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4542_ (.A(_1734_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1735_));
- sky130_fd_sc_hd__a221o_1 _4513_ (.A1(\u_clk_ctrl2.gen_bit_reg[3].u_bit_reg.data_out ),
-    .A2(_1734_),
-    .B1(_1722_),
-    .B2(\u_async_wb.m_cmd_wr_data[64] ),
-    .C1(_1735_),
+ sky130_fd_sc_hd__mux2_1 _4543_ (.A0(_1735_),
+    .A1(\u_uart2wb.u_msg.cmd[1] ),
+    .S(_1732_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1736_));
- sky130_fd_sc_hd__o21a_1 _4514_ (.A1(\u_glb_ctrl.gen_bit_reg[3].u_bit_reg.data_out ),
-    .A2(_1710_),
-    .B1(_1736_),
+ sky130_fd_sc_hd__clkbuf_1 _4544_ (.A(_1736_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0170_));
+ sky130_fd_sc_hd__clkbuf_2 _4545_ (.A(\u_uart2wb.rx_data[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1737_));
- sky130_fd_sc_hd__mux2_1 _4515_ (.A0(_1737_),
-    .A1(\reg_rdata[3] ),
-    .S(_1717_),
+ sky130_fd_sc_hd__clkbuf_2 _4546_ (.A(_1731_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1738_));
- sky130_fd_sc_hd__clkbuf_1 _4516_ (.A(_1738_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0182_));
- sky130_fd_sc_hd__clkbuf_2 _4517_ (.A(_1709_),
+ sky130_fd_sc_hd__mux2_1 _4547_ (.A0(_1737_),
+    .A1(\u_uart2wb.u_msg.cmd[2] ),
+    .S(_1738_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1739_));
- sky130_fd_sc_hd__o21a_1 _4518_ (.A1(\u_clk_ctrl1.gen_bit_reg[4].u_bit_reg.data_out ),
-    .A2(_1729_),
-    .B1(_1724_),
+ sky130_fd_sc_hd__clkbuf_1 _4548_ (.A(_1739_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0171_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4549_ (.A(\u_uart2wb.rx_data[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1740_));
- sky130_fd_sc_hd__a221o_1 _4519_ (.A1(\u_clk_ctrl2.gen_bit_reg[4].u_bit_reg.data_out ),
-    .A2(_1734_),
-    .B1(_1722_),
-    .B2(\u_async_wb.m_cmd_wr_data[65] ),
-    .C1(_1740_),
+ sky130_fd_sc_hd__mux2_1 _4550_ (.A0(_1740_),
+    .A1(\u_uart2wb.u_msg.cmd[3] ),
+    .S(_1738_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1741_));
- sky130_fd_sc_hd__o21a_1 _4520_ (.A1(\u_glb_ctrl.gen_bit_reg[4].u_bit_reg.data_out ),
-    .A2(_1739_),
-    .B1(_1741_),
+ sky130_fd_sc_hd__clkbuf_1 _4551_ (.A(_1741_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0172_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4552_ (.A(\u_uart2wb.rx_data[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1742_));
- sky130_fd_sc_hd__clkbuf_2 _4521_ (.A(_1716_),
+ sky130_fd_sc_hd__mux2_1 _4553_ (.A0(_1742_),
+    .A1(\u_uart2wb.u_msg.cmd[4] ),
+    .S(_1738_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1743_));
- sky130_fd_sc_hd__mux2_1 _4522_ (.A0(_1742_),
-    .A1(\reg_rdata[4] ),
-    .S(_1743_),
+ sky130_fd_sc_hd__clkbuf_1 _4554_ (.A(_1743_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0173_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4555_ (.A(_1716_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1744_));
- sky130_fd_sc_hd__clkbuf_1 _4523_ (.A(_1744_),
+ sky130_fd_sc_hd__a32o_1 _4556_ (.A1(_1715_),
+    .A2(_1744_),
+    .A3(_1730_),
+    .B1(_1732_),
+    .B2(\u_uart2wb.u_msg.cmd[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0183_));
- sky130_fd_sc_hd__clkbuf_2 _4524_ (.A(_1721_),
+    .X(_0174_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4557_ (.A(\u_uart2wb.rx_data[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1745_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4525_ (.A(_1585_),
+ sky130_fd_sc_hd__a32o_1 _4558_ (.A1(_1715_),
+    .A2(_1745_),
+    .A3(_1730_),
+    .B1(_1732_),
+    .B2(\u_uart2wb.u_msg.cmd[6] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0175_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4559_ (.A(\u_uart2wb.rx_data[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1746_));
- sky130_fd_sc_hd__o21a_1 _4526_ (.A1(\u_clk_ctrl1.gen_bit_reg[5].u_bit_reg.data_out ),
-    .A2(_1729_),
-    .B1(_1746_),
+ sky130_fd_sc_hd__mux2_1 _4560_ (.A0(_1746_),
+    .A1(\u_uart2wb.u_msg.cmd[7] ),
+    .S(_1738_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1747_));
- sky130_fd_sc_hd__a221o_1 _4527_ (.A1(\u_clk_ctrl2.gen_bit_reg[5].u_bit_reg.data_out ),
-    .A2(_1734_),
-    .B1(_1745_),
-    .B2(\u_async_wb.m_cmd_wr_data[66] ),
-    .C1(_1747_),
+ sky130_fd_sc_hd__clkbuf_1 _4561_ (.A(_1747_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0176_));
+ sky130_fd_sc_hd__clkbuf_2 _4562_ (.A(_1731_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1748_));
- sky130_fd_sc_hd__o21a_1 _4528_ (.A1(\u_glb_ctrl.gen_bit_reg[5].u_bit_reg.data_out ),
-    .A2(_1739_),
-    .B1(_1748_),
+ sky130_fd_sc_hd__mux2_1 _4563_ (.A0(\u_uart2wb.u_msg.cmd[0] ),
+    .A1(\u_uart2wb.u_msg.cmd[8] ),
+    .S(_1748_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1749_));
- sky130_fd_sc_hd__mux2_1 _4529_ (.A0(_1749_),
-    .A1(\reg_rdata[5] ),
-    .S(_1743_),
+ sky130_fd_sc_hd__clkbuf_1 _4564_ (.A(_1749_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0177_));
+ sky130_fd_sc_hd__mux2_1 _4565_ (.A0(\u_uart2wb.u_msg.cmd[1] ),
+    .A1(\u_uart2wb.u_msg.cmd[9] ),
+    .S(_1748_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1750_));
- sky130_fd_sc_hd__clkbuf_1 _4530_ (.A(_1750_),
+ sky130_fd_sc_hd__clkbuf_1 _4566_ (.A(_1750_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0184_));
- sky130_fd_sc_hd__o21a_1 _4531_ (.A1(\u_clk_ctrl1.gen_bit_reg[6].u_bit_reg.data_out ),
-    .A2(_1707_),
-    .B1(_1746_),
+    .X(_0178_));
+ sky130_fd_sc_hd__mux2_1 _4567_ (.A0(\u_uart2wb.u_msg.cmd[2] ),
+    .A1(\u_uart2wb.u_msg.cmd[10] ),
+    .S(_1748_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1751_));
- sky130_fd_sc_hd__a221o_1 _4532_ (.A1(\u_clk_ctrl2.gen_bit_reg[6].u_bit_reg.data_out ),
-    .A2(_1734_),
-    .B1(_1745_),
-    .B2(\u_async_wb.m_cmd_wr_data[67] ),
-    .C1(_1751_),
+ sky130_fd_sc_hd__clkbuf_1 _4568_ (.A(_1751_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0179_));
+ sky130_fd_sc_hd__mux2_1 _4569_ (.A0(\u_uart2wb.u_msg.cmd[3] ),
+    .A1(\u_uart2wb.u_msg.cmd[11] ),
+    .S(_1748_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1752_));
- sky130_fd_sc_hd__o21a_1 _4533_ (.A1(\u_glb_ctrl.gen_bit_reg[6].u_bit_reg.data_out ),
-    .A2(_1739_),
-    .B1(_1752_),
+ sky130_fd_sc_hd__clkbuf_1 _4570_ (.A(_1752_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0180_));
+ sky130_fd_sc_hd__clkbuf_2 _4571_ (.A(_1731_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1753_));
- sky130_fd_sc_hd__mux2_1 _4534_ (.A0(_1753_),
-    .A1(\reg_rdata[6] ),
-    .S(_1743_),
+ sky130_fd_sc_hd__mux2_1 _4572_ (.A0(\u_uart2wb.u_msg.cmd[4] ),
+    .A1(\u_uart2wb.u_msg.cmd[12] ),
+    .S(_1753_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1754_));
- sky130_fd_sc_hd__clkbuf_1 _4535_ (.A(_1754_),
+ sky130_fd_sc_hd__clkbuf_1 _4573_ (.A(_1754_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0185_));
- sky130_fd_sc_hd__o21a_1 _4536_ (.A1(\u_clk_ctrl1.gen_bit_reg[7].u_bit_reg.data_out ),
-    .A2(_1707_),
-    .B1(_1746_),
+    .X(_0181_));
+ sky130_fd_sc_hd__mux2_1 _4574_ (.A0(\u_uart2wb.u_msg.cmd[5] ),
+    .A1(\u_uart2wb.u_msg.cmd[13] ),
+    .S(_1753_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1755_));
- sky130_fd_sc_hd__a221o_1 _4537_ (.A1(\u_clk_ctrl2.gen_bit_reg[7].u_bit_reg.data_out ),
-    .A2(_1719_),
-    .B1(_1745_),
-    .B2(\u_async_wb.m_cmd_wr_data[68] ),
-    .C1(_1755_),
+ sky130_fd_sc_hd__clkbuf_1 _4575_ (.A(_1755_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0182_));
+ sky130_fd_sc_hd__mux2_1 _4576_ (.A0(\u_uart2wb.u_msg.cmd[6] ),
+    .A1(\u_uart2wb.u_msg.cmd[14] ),
+    .S(_1753_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1756_));
- sky130_fd_sc_hd__o21a_1 _4538_ (.A1(\u_glb_ctrl.gen_bit_reg[7].u_bit_reg.data_out ),
-    .A2(_1739_),
-    .B1(_1756_),
+ sky130_fd_sc_hd__clkbuf_1 _4577_ (.A(_1756_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0183_));
+ sky130_fd_sc_hd__mux2_1 _4578_ (.A0(\u_uart2wb.u_msg.cmd[7] ),
+    .A1(\u_uart2wb.u_msg.cmd[15] ),
+    .S(_1753_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1757_));
- sky130_fd_sc_hd__mux2_1 _4539_ (.A0(_1757_),
-    .A1(\reg_rdata[7] ),
-    .S(_1743_),
+ sky130_fd_sc_hd__clkbuf_1 _4579_ (.A(_1757_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0184_));
+ sky130_fd_sc_hd__and2b_1 _4580_ (.A_N(_1574_),
+    .B(_1573_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1758_));
- sky130_fd_sc_hd__clkbuf_1 _4540_ (.A(_1758_),
+ sky130_fd_sc_hd__o21ai_1 _4581_ (.A1(_1214_),
+    .A2(_1215_),
+    .B1(\u_wbclk.low_count[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0186_));
- sky130_fd_sc_hd__buf_2 _4541_ (.A(_1719_),
+    .Y(_1759_));
+ sky130_fd_sc_hd__o21ai_1 _4582_ (.A1(_1578_),
+    .A2(_1758_),
+    .B1(_1759_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1759_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4542_ (.A(_1759_),
+    .Y(_0185_));
+ sky130_fd_sc_hd__mux2_1 _4583_ (.A0(_1758_),
+    .A1(_1574_),
+    .S(_1578_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1760_));
- sky130_fd_sc_hd__mux2_1 _4543_ (.A0(\u_glb_ctrl.gen_bit_reg[8].u_bit_reg.data_out ),
-    .A1(\u_clk_ctrl1.gen_bit_reg[8].u_bit_reg.data_out ),
-    .S(_1397_),
+ sky130_fd_sc_hd__clkbuf_1 _4584_ (.A(_1760_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1761_));
- sky130_fd_sc_hd__buf_2 _4544_ (.A(_1746_),
+    .X(_0186_));
+ sky130_fd_sc_hd__nand2_1 _4585_ (.A(_0000_),
+    .B(_1561_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_1761_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4586_ (.A(_1761_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1762_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4545_ (.A(_1762_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4587_ (.A(_1629_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1763_));
- sky130_fd_sc_hd__a22o_1 _4546_ (.A1(\u_clk_ctrl2.gen_bit_reg[8].u_bit_reg.data_out ),
-    .A2(_1760_),
-    .B1(_1761_),
-    .B2(_1763_),
+ sky130_fd_sc_hd__clkbuf_1 _4588_ (.A(_1763_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1764_));
- sky130_fd_sc_hd__clkbuf_2 _4547_ (.A(_1716_),
+ sky130_fd_sc_hd__buf_2 _4589_ (.A(_1630_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1765_));
- sky130_fd_sc_hd__mux2_1 _4548_ (.A0(_1764_),
-    .A1(\reg_rdata[8] ),
-    .S(_1765_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4590_ (.A(_1765_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1766_));
- sky130_fd_sc_hd__clkbuf_1 _4549_ (.A(_1766_),
+ sky130_fd_sc_hd__nand2_1 _4591_ (.A(_1764_),
+    .B(_1766_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0187_));
- sky130_fd_sc_hd__mux2_1 _4550_ (.A0(\u_glb_ctrl.gen_bit_reg[9].u_bit_reg.data_out ),
-    .A1(\u_clk_ctrl1.gen_bit_reg[9].u_bit_reg.data_out ),
-    .S(_1397_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1767_));
- sky130_fd_sc_hd__a22o_1 _4551_ (.A1(\u_clk_ctrl2.gen_bit_reg[9].u_bit_reg.data_out ),
-    .A2(_1760_),
-    .B1(_1767_),
-    .B2(_1763_),
+    .Y(_1767_));
+ sky130_fd_sc_hd__clkbuf_1 _4592_ (.A(_1767_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1768_));
- sky130_fd_sc_hd__mux2_1 _4552_ (.A0(_1768_),
-    .A1(\reg_rdata[9] ),
-    .S(_1765_),
+ sky130_fd_sc_hd__buf_2 _4593_ (.A(_1631_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1769_));
- sky130_fd_sc_hd__clkbuf_1 _4553_ (.A(_1769_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0188_));
- sky130_fd_sc_hd__mux2_1 _4554_ (.A0(\u_clk_ctrl1.gen_bit_reg[10].u_bit_reg.data_out ),
-    .A1(\u_glb_ctrl.gen_bit_reg[10].u_bit_reg.data_out ),
-    .S(_1708_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4594_ (.A(_1769_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1770_));
- sky130_fd_sc_hd__a22o_1 _4555_ (.A1(\u_clk_ctrl2.gen_bit_reg[10].u_bit_reg.data_out ),
-    .A2(_1760_),
-    .B1(_1770_),
-    .B2(_1763_),
+ sky130_fd_sc_hd__buf_2 _4595_ (.A(_1763_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1771_));
- sky130_fd_sc_hd__mux2_1 _4556_ (.A0(_1771_),
-    .A1(\reg_rdata[10] ),
-    .S(_1765_),
+ sky130_fd_sc_hd__o21a_1 _4596_ (.A1(\u_async_wb.m_cmd_wr_data[61] ),
+    .A2(_1771_),
+    .B1(_1766_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1772_));
- sky130_fd_sc_hd__clkbuf_1 _4557_ (.A(_1772_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0189_));
- sky130_fd_sc_hd__mux2_1 _4558_ (.A0(\u_clk_ctrl1.gen_bit_reg[11].u_bit_reg.data_out ),
-    .A1(\u_glb_ctrl.gen_bit_reg[11].u_bit_reg.data_out ),
-    .S(_1708_),
+ sky130_fd_sc_hd__a221o_1 _4597_ (.A1(\u_clk_ctrl1.gen_bit_reg[0].u_bit_reg.data_out ),
+    .A2(_1764_),
+    .B1(_1770_),
+    .B2(\u_clk_ctrl2.gen_bit_reg[0].u_bit_reg.data_out ),
+    .C1(_1772_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1773_));
- sky130_fd_sc_hd__a22o_1 _4559_ (.A1(\u_clk_ctrl2.gen_bit_reg[11].u_bit_reg.data_out ),
-    .A2(_1760_),
-    .B1(_1773_),
-    .B2(_1763_),
+ sky130_fd_sc_hd__and3b_1 _4598_ (.A_N(reg_ack),
+    .B(_1628_),
+    .C(_1561_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1774_));
- sky130_fd_sc_hd__mux2_1 _4560_ (.A0(_1774_),
-    .A1(\reg_rdata[11] ),
-    .S(_1765_),
+ sky130_fd_sc_hd__buf_2 _4599_ (.A(_1774_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1775_));
- sky130_fd_sc_hd__clkbuf_1 _4561_ (.A(_1775_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0190_));
- sky130_fd_sc_hd__clkbuf_1 _4562_ (.A(_1759_),
+ sky130_fd_sc_hd__clkbuf_1 _4600_ (.A(_1775_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1776_));
- sky130_fd_sc_hd__mux2_1 _4563_ (.A0(\u_glb_ctrl.gen_bit_reg[12].u_bit_reg.data_out ),
-    .A1(\u_clk_ctrl1.gen_bit_reg[12].u_bit_reg.data_out ),
-    .S(_1397_),
+ sky130_fd_sc_hd__o211a_1 _4601_ (.A1(\u_buf_wb_rst.A ),
+    .A2(_1768_),
+    .B1(_1773_),
+    .C1(_1776_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1777_));
- sky130_fd_sc_hd__clkbuf_1 _4564_ (.A(_1762_),
+ sky130_fd_sc_hd__a21o_1 _4602_ (.A1(\reg_rdata[0] ),
+    .A2(_1762_),
+    .B1(_1777_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1778_));
- sky130_fd_sc_hd__a22o_1 _4565_ (.A1(\u_clk_ctrl2.gen_bit_reg[12].u_bit_reg.data_out ),
-    .A2(_1776_),
-    .B1(_1777_),
-    .B2(_1778_),
+    .X(_0187_));
+ sky130_fd_sc_hd__nor2_1 _4603_ (.A(_1629_),
+    .B(_1413_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_1778_));
+ sky130_fd_sc_hd__clkbuf_2 _4604_ (.A(_1778_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1779_));
- sky130_fd_sc_hd__clkbuf_2 _4566_ (.A(_1716_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4605_ (.A(_1779_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1780_));
- sky130_fd_sc_hd__mux2_1 _4567_ (.A0(_1779_),
-    .A1(\reg_rdata[12] ),
-    .S(_1780_),
+ sky130_fd_sc_hd__o21a_1 _4606_ (.A1(\u_clk_ctrl1.gen_bit_reg[1].u_bit_reg.data_out ),
+    .A2(_1766_),
+    .B1(_1764_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1781_));
- sky130_fd_sc_hd__clkbuf_1 _4568_ (.A(_1781_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0191_));
- sky130_fd_sc_hd__clkbuf_2 _4569_ (.A(_1396_),
+ sky130_fd_sc_hd__a221o_1 _4607_ (.A1(\u_clk_ctrl2.gen_bit_reg[1].u_bit_reg.data_out ),
+    .A2(_1770_),
+    .B1(_1780_),
+    .B2(\u_async_wb.m_cmd_wr_data[62] ),
+    .C1(_1781_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1782_));
- sky130_fd_sc_hd__mux2_1 _4570_ (.A0(\u_glb_ctrl.gen_bit_reg[13].u_bit_reg.data_out ),
-    .A1(\u_clk_ctrl1.gen_bit_reg[13].u_bit_reg.data_out ),
-    .S(_1782_),
+ sky130_fd_sc_hd__o211a_1 _4608_ (.A1(\u_glb_ctrl.gen_bit_reg[1].u_bit_reg.data_out ),
+    .A2(_1768_),
+    .B1(_1776_),
+    .C1(_1782_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1783_));
- sky130_fd_sc_hd__a22o_1 _4571_ (.A1(\u_clk_ctrl2.gen_bit_reg[13].u_bit_reg.data_out ),
-    .A2(_1776_),
+ sky130_fd_sc_hd__a21o_1 _4609_ (.A1(\reg_rdata[1] ),
+    .A2(_1762_),
     .B1(_1783_),
-    .B2(_1778_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0188_));
+ sky130_fd_sc_hd__o21a_1 _4610_ (.A1(\u_clk_ctrl1.gen_bit_reg[2].u_bit_reg.data_out ),
+    .A2(_1766_),
+    .B1(_1764_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1784_));
- sky130_fd_sc_hd__mux2_1 _4572_ (.A0(_1784_),
-    .A1(\reg_rdata[13] ),
-    .S(_1780_),
+ sky130_fd_sc_hd__a221o_1 _4611_ (.A1(\u_clk_ctrl2.gen_bit_reg[2].u_bit_reg.data_out ),
+    .A2(_1770_),
+    .B1(_1780_),
+    .B2(\u_async_wb.m_cmd_wr_data[63] ),
+    .C1(_1784_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1785_));
- sky130_fd_sc_hd__clkbuf_1 _4573_ (.A(_1785_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0192_));
- sky130_fd_sc_hd__mux2_1 _4574_ (.A0(_1606_),
-    .A1(\u_clk_ctrl1.gen_bit_reg[14].u_bit_reg.data_out ),
-    .S(_1782_),
+ sky130_fd_sc_hd__o211a_1 _4612_ (.A1(\u_glb_ctrl.gen_bit_reg[2].u_bit_reg.data_out ),
+    .A2(_1768_),
+    .B1(_1776_),
+    .C1(_1785_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1786_));
- sky130_fd_sc_hd__a22o_1 _4575_ (.A1(\u_clk_ctrl2.gen_bit_reg[14].u_bit_reg.data_out ),
-    .A2(_1776_),
+ sky130_fd_sc_hd__a21o_1 _4613_ (.A1(\reg_rdata[2] ),
+    .A2(_1762_),
     .B1(_1786_),
-    .B2(_1778_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0189_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4614_ (.A(_1765_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1787_));
- sky130_fd_sc_hd__mux2_1 _4576_ (.A0(_1787_),
-    .A1(\reg_rdata[14] ),
-    .S(_1780_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4615_ (.A(_1763_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1788_));
- sky130_fd_sc_hd__clkbuf_1 _4577_ (.A(_1788_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0193_));
- sky130_fd_sc_hd__mux2_1 _4578_ (.A0(\u_glb_ctrl.gen_bit_reg[15].u_bit_reg.data_out ),
-    .A1(\u_clk_ctrl1.gen_bit_reg[15].u_bit_reg.data_out ),
-    .S(_1782_),
+ sky130_fd_sc_hd__o21a_1 _4616_ (.A1(\u_clk_ctrl1.gen_bit_reg[3].u_bit_reg.data_out ),
+    .A2(_1787_),
+    .B1(_1788_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1789_));
- sky130_fd_sc_hd__a22o_1 _4579_ (.A1(\u_clk_ctrl2.gen_bit_reg[15].u_bit_reg.data_out ),
-    .A2(_1776_),
-    .B1(_1789_),
-    .B2(_1778_),
+ sky130_fd_sc_hd__a221o_1 _4617_ (.A1(\u_clk_ctrl2.gen_bit_reg[3].u_bit_reg.data_out ),
+    .A2(_1770_),
+    .B1(_1780_),
+    .B2(\u_async_wb.m_cmd_wr_data[64] ),
+    .C1(_1789_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1790_));
- sky130_fd_sc_hd__mux2_1 _4580_ (.A0(_1790_),
-    .A1(\reg_rdata[15] ),
-    .S(_1780_),
+ sky130_fd_sc_hd__o211a_1 _4618_ (.A1(\u_glb_ctrl.gen_bit_reg[3].u_bit_reg.data_out ),
+    .A2(_1768_),
+    .B1(_1776_),
+    .C1(_1790_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1791_));
- sky130_fd_sc_hd__clkbuf_1 _4581_ (.A(_1791_),
+ sky130_fd_sc_hd__a21o_1 _4619_ (.A1(\reg_rdata[3] ),
+    .A2(_1762_),
+    .B1(_1791_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0194_));
- sky130_fd_sc_hd__clkbuf_1 _4582_ (.A(_1759_),
+    .X(_0190_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4620_ (.A(_1761_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1792_));
- sky130_fd_sc_hd__mux2_1 _4583_ (.A0(_1621_),
-    .A1(\u_clk_ctrl1.gen_bit_reg[16].u_bit_reg.data_out ),
-    .S(_1782_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4621_ (.A(_1767_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1793_));
- sky130_fd_sc_hd__clkbuf_1 _4584_ (.A(_1762_),
+ sky130_fd_sc_hd__clkbuf_1 _4622_ (.A(_1775_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1794_));
- sky130_fd_sc_hd__a22o_1 _4585_ (.A1(\u_clk_ctrl2.gen_bit_reg[16].u_bit_reg.data_out ),
-    .A2(_1792_),
-    .B1(_1793_),
-    .B2(_1794_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4623_ (.A(_1769_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1795_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4586_ (.A(_1715_),
+ sky130_fd_sc_hd__o21a_1 _4624_ (.A1(\u_clk_ctrl1.gen_bit_reg[4].u_bit_reg.data_out ),
+    .A2(_1787_),
+    .B1(_1788_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1796_));
- sky130_fd_sc_hd__clkbuf_2 _4587_ (.A(_1796_),
+ sky130_fd_sc_hd__a221o_1 _4625_ (.A1(\u_clk_ctrl2.gen_bit_reg[4].u_bit_reg.data_out ),
+    .A2(_1795_),
+    .B1(_1780_),
+    .B2(\u_async_wb.m_cmd_wr_data[65] ),
+    .C1(_1796_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1797_));
- sky130_fd_sc_hd__mux2_1 _4588_ (.A0(_1795_),
-    .A1(\reg_rdata[16] ),
-    .S(_1797_),
+ sky130_fd_sc_hd__o211a_1 _4626_ (.A1(\u_glb_ctrl.gen_bit_reg[4].u_bit_reg.data_out ),
+    .A2(_1793_),
+    .B1(_1794_),
+    .C1(_1797_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1798_));
- sky130_fd_sc_hd__clkbuf_1 _4589_ (.A(_1798_),
+ sky130_fd_sc_hd__a21o_1 _4627_ (.A1(\reg_rdata[4] ),
+    .A2(_1792_),
+    .B1(_1798_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0195_));
- sky130_fd_sc_hd__clkbuf_2 _4590_ (.A(_1396_),
+    .X(_0191_));
+ sky130_fd_sc_hd__o21a_1 _4628_ (.A1(\u_clk_ctrl1.gen_bit_reg[5].u_bit_reg.data_out ),
+    .A2(_1787_),
+    .B1(_1788_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1799_));
- sky130_fd_sc_hd__mux2_1 _4591_ (.A0(\u_glb_ctrl.gen_bit_reg[17].u_bit_reg.data_out ),
-    .A1(\u_clk_ctrl1.gen_bit_reg[17].u_bit_reg.data_out ),
-    .S(_1799_),
+ sky130_fd_sc_hd__a221o_1 _4629_ (.A1(\u_clk_ctrl2.gen_bit_reg[5].u_bit_reg.data_out ),
+    .A2(_1795_),
+    .B1(_1779_),
+    .B2(\u_async_wb.m_cmd_wr_data[66] ),
+    .C1(_1799_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1800_));
- sky130_fd_sc_hd__a22o_1 _4592_ (.A1(\u_clk_ctrl2.gen_bit_reg[17].u_bit_reg.data_out ),
-    .A2(_1792_),
-    .B1(_1800_),
-    .B2(_1794_),
+ sky130_fd_sc_hd__o211a_1 _4630_ (.A1(\u_glb_ctrl.gen_bit_reg[5].u_bit_reg.data_out ),
+    .A2(_1793_),
+    .B1(_1794_),
+    .C1(_1800_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1801_));
- sky130_fd_sc_hd__mux2_1 _4593_ (.A0(_1801_),
-    .A1(\reg_rdata[17] ),
-    .S(_1797_),
+ sky130_fd_sc_hd__a21o_1 _4631_ (.A1(\reg_rdata[5] ),
+    .A2(_1792_),
+    .B1(_1801_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0192_));
+ sky130_fd_sc_hd__o21a_1 _4632_ (.A1(\u_clk_ctrl1.gen_bit_reg[6].u_bit_reg.data_out ),
+    .A2(_1787_),
+    .B1(_1788_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1802_));
- sky130_fd_sc_hd__clkbuf_1 _4594_ (.A(_1802_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0196_));
- sky130_fd_sc_hd__mux2_1 _4595_ (.A0(_1631_),
-    .A1(\u_clk_ctrl1.gen_bit_reg[18].u_bit_reg.data_out ),
-    .S(_1799_),
+ sky130_fd_sc_hd__a221o_1 _4633_ (.A1(\u_clk_ctrl2.gen_bit_reg[6].u_bit_reg.data_out ),
+    .A2(_1795_),
+    .B1(_1779_),
+    .B2(\u_async_wb.m_cmd_wr_data[67] ),
+    .C1(_1802_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1803_));
- sky130_fd_sc_hd__a22o_1 _4596_ (.A1(\u_clk_ctrl2.gen_bit_reg[18].u_bit_reg.data_out ),
-    .A2(_1792_),
-    .B1(_1803_),
-    .B2(_1794_),
+ sky130_fd_sc_hd__o211a_1 _4634_ (.A1(\u_glb_ctrl.gen_bit_reg[6].u_bit_reg.data_out ),
+    .A2(_1793_),
+    .B1(_1794_),
+    .C1(_1803_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1804_));
- sky130_fd_sc_hd__mux2_1 _4597_ (.A0(_1804_),
-    .A1(\reg_rdata[18] ),
-    .S(_1797_),
+ sky130_fd_sc_hd__a21o_1 _4635_ (.A1(\reg_rdata[6] ),
+    .A2(_1792_),
+    .B1(_1804_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0193_));
+ sky130_fd_sc_hd__buf_4 _4636_ (.A(_1630_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1805_));
- sky130_fd_sc_hd__clkbuf_1 _4598_ (.A(_1805_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0197_));
- sky130_fd_sc_hd__mux2_1 _4599_ (.A0(\u_glb_ctrl.gen_bit_reg[19].u_bit_reg.data_out ),
-    .A1(\u_clk_ctrl1.gen_bit_reg[19].u_bit_reg.data_out ),
-    .S(_1799_),
+ sky130_fd_sc_hd__clkbuf_4 _4637_ (.A(_1763_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1806_));
- sky130_fd_sc_hd__a22o_1 _4600_ (.A1(\u_clk_ctrl2.gen_bit_reg[19].u_bit_reg.data_out ),
-    .A2(_1792_),
+ sky130_fd_sc_hd__o21a_1 _4638_ (.A1(\u_clk_ctrl1.gen_bit_reg[7].u_bit_reg.data_out ),
+    .A2(_1805_),
     .B1(_1806_),
-    .B2(_1794_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1807_));
- sky130_fd_sc_hd__mux2_1 _4601_ (.A0(_1807_),
-    .A1(\reg_rdata[19] ),
-    .S(_1797_),
+ sky130_fd_sc_hd__a221o_1 _4639_ (.A1(\u_clk_ctrl2.gen_bit_reg[7].u_bit_reg.data_out ),
+    .A2(_1795_),
+    .B1(_1779_),
+    .B2(\u_async_wb.m_cmd_wr_data[68] ),
+    .C1(_1807_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1808_));
- sky130_fd_sc_hd__clkbuf_1 _4602_ (.A(_1808_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0198_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4603_ (.A(_1759_),
+ sky130_fd_sc_hd__o211a_1 _4640_ (.A1(\u_glb_ctrl.gen_bit_reg[7].u_bit_reg.data_out ),
+    .A2(_1793_),
+    .B1(_1794_),
+    .C1(_1808_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1809_));
- sky130_fd_sc_hd__mux2_1 _4604_ (.A0(\u_glb_ctrl.gen_bit_reg[20].u_bit_reg.data_out ),
-    .A1(\u_clk_ctrl1.gen_bit_reg[20].u_bit_reg.data_out ),
-    .S(_1799_),
+ sky130_fd_sc_hd__a21o_1 _4641_ (.A1(\reg_rdata[7] ),
+    .A2(_1792_),
+    .B1(_1809_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0194_));
+ sky130_fd_sc_hd__clkbuf_4 _4642_ (.A(_1631_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1810_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4605_ (.A(_1762_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4643_ (.A(_1810_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1811_));
- sky130_fd_sc_hd__a22o_1 _4606_ (.A1(\u_clk_ctrl2.gen_bit_reg[20].u_bit_reg.data_out ),
-    .A2(_1809_),
-    .B1(_1810_),
-    .B2(_1811_),
+ sky130_fd_sc_hd__mux2_1 _4644_ (.A0(\u_glb_ctrl.gen_bit_reg[8].u_bit_reg.data_out ),
+    .A1(\u_clk_ctrl1.gen_bit_reg[8].u_bit_reg.data_out ),
+    .S(_1415_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1812_));
- sky130_fd_sc_hd__clkbuf_2 _4607_ (.A(_1796_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4645_ (.A(_1806_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1813_));
- sky130_fd_sc_hd__mux2_1 _4608_ (.A0(_1812_),
-    .A1(\reg_rdata[20] ),
-    .S(_1813_),
+ sky130_fd_sc_hd__a22o_1 _4646_ (.A1(\u_clk_ctrl2.gen_bit_reg[8].u_bit_reg.data_out ),
+    .A2(_1811_),
+    .B1(_1812_),
+    .B2(_1813_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1814_));
- sky130_fd_sc_hd__clkbuf_1 _4609_ (.A(_1814_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0199_));
- sky130_fd_sc_hd__buf_2 _4610_ (.A(_1395_),
+ sky130_fd_sc_hd__clkbuf_2 _4647_ (.A(_1775_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1815_));
- sky130_fd_sc_hd__mux2_1 _4611_ (.A0(\u_glb_ctrl.gen_bit_reg[21].u_bit_reg.data_out ),
-    .A1(\u_clk_ctrl1.gen_bit_reg[21].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__mux2_1 _4648_ (.A0(\reg_rdata[8] ),
+    .A1(_1814_),
     .S(_1815_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1816_));
- sky130_fd_sc_hd__a22o_1 _4612_ (.A1(\u_clk_ctrl2.gen_bit_reg[21].u_bit_reg.data_out ),
-    .A2(_1809_),
-    .B1(_1816_),
-    .B2(_1811_),
+ sky130_fd_sc_hd__clkbuf_1 _4649_ (.A(_1816_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0195_));
+ sky130_fd_sc_hd__mux2_1 _4650_ (.A0(\u_glb_ctrl.gen_bit_reg[9].u_bit_reg.data_out ),
+    .A1(\u_clk_ctrl1.gen_bit_reg[9].u_bit_reg.data_out ),
+    .S(_1415_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1817_));
- sky130_fd_sc_hd__mux2_1 _4613_ (.A0(_1817_),
-    .A1(\reg_rdata[21] ),
-    .S(_1813_),
+ sky130_fd_sc_hd__a22o_1 _4651_ (.A1(\u_clk_ctrl2.gen_bit_reg[9].u_bit_reg.data_out ),
+    .A2(_1811_),
+    .B1(_1817_),
+    .B2(_1813_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1818_));
- sky130_fd_sc_hd__clkbuf_1 _4614_ (.A(_1818_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0200_));
- sky130_fd_sc_hd__clkbuf_2 _4615_ (.A(_1707_),
+ sky130_fd_sc_hd__mux2_1 _4652_ (.A0(\reg_rdata[9] ),
+    .A1(_1818_),
+    .S(_1815_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1819_));
- sky130_fd_sc_hd__mux2_1 _4616_ (.A0(\u_clk_ctrl1.gen_bit_reg[22].u_bit_reg.data_out ),
-    .A1(\u_cpu_clk_sel.S ),
-    .S(_1819_),
+ sky130_fd_sc_hd__clkbuf_1 _4653_ (.A(_1819_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0196_));
+ sky130_fd_sc_hd__mux2_1 _4654_ (.A0(\u_clk_ctrl1.gen_bit_reg[10].u_bit_reg.data_out ),
+    .A1(\u_glb_ctrl.gen_bit_reg[10].u_bit_reg.data_out ),
+    .S(_1805_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1820_));
- sky130_fd_sc_hd__a22o_1 _4617_ (.A1(\u_clk_ctrl2.gen_bit_reg[22].u_bit_reg.data_out ),
-    .A2(_1809_),
+ sky130_fd_sc_hd__a22o_1 _4655_ (.A1(\u_clk_ctrl2.gen_bit_reg[10].u_bit_reg.data_out ),
+    .A2(_1811_),
     .B1(_1820_),
-    .B2(_1811_),
+    .B2(_1813_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1821_));
- sky130_fd_sc_hd__mux2_1 _4618_ (.A0(_1821_),
-    .A1(\reg_rdata[22] ),
-    .S(_1813_),
+ sky130_fd_sc_hd__mux2_1 _4656_ (.A0(\reg_rdata[10] ),
+    .A1(_1821_),
+    .S(_1815_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1822_));
- sky130_fd_sc_hd__clkbuf_1 _4619_ (.A(_1822_),
+ sky130_fd_sc_hd__clkbuf_1 _4657_ (.A(_1822_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0201_));
- sky130_fd_sc_hd__mux2_1 _4620_ (.A0(\u_clk_ctrl1.gen_bit_reg[23].u_bit_reg.data_out ),
-    .A1(\u_cpu_ref_sel.S ),
-    .S(_1819_),
+    .X(_0197_));
+ sky130_fd_sc_hd__mux2_1 _4658_ (.A0(\u_clk_ctrl1.gen_bit_reg[11].u_bit_reg.data_out ),
+    .A1(\u_glb_ctrl.gen_bit_reg[11].u_bit_reg.data_out ),
+    .S(_1805_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1823_));
- sky130_fd_sc_hd__a22o_1 _4621_ (.A1(\u_clk_ctrl2.gen_bit_reg[23].u_bit_reg.data_out ),
-    .A2(_1809_),
+ sky130_fd_sc_hd__a22o_1 _4659_ (.A1(\u_clk_ctrl2.gen_bit_reg[11].u_bit_reg.data_out ),
+    .A2(_1811_),
     .B1(_1823_),
-    .B2(_1811_),
+    .B2(_1813_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1824_));
- sky130_fd_sc_hd__mux2_1 _4622_ (.A0(_1824_),
-    .A1(\reg_rdata[23] ),
-    .S(_1813_),
+ sky130_fd_sc_hd__mux2_1 _4660_ (.A0(\reg_rdata[11] ),
+    .A1(_1824_),
+    .S(_1815_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1825_));
- sky130_fd_sc_hd__clkbuf_1 _4623_ (.A(_1825_),
+ sky130_fd_sc_hd__clkbuf_1 _4661_ (.A(_1825_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0202_));
- sky130_fd_sc_hd__clkbuf_1 _4624_ (.A(_1720_),
+    .X(_0198_));
+ sky130_fd_sc_hd__clkbuf_1 _4662_ (.A(_1810_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1826_));
- sky130_fd_sc_hd__mux2_1 _4625_ (.A0(\u_clk_ctrl1.gen_bit_reg[24].u_bit_reg.data_out ),
-    .A1(\u_glb_ctrl.gen_bit_reg[24].u_bit_reg.data_out ),
-    .S(_1819_),
+ sky130_fd_sc_hd__mux2_1 _4663_ (.A0(\u_glb_ctrl.gen_bit_reg[12].u_bit_reg.data_out ),
+    .A1(\u_clk_ctrl1.gen_bit_reg[12].u_bit_reg.data_out ),
+    .S(_1415_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1827_));
- sky130_fd_sc_hd__clkbuf_1 _4626_ (.A(_1705_),
+ sky130_fd_sc_hd__clkbuf_1 _4664_ (.A(_1806_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1828_));
- sky130_fd_sc_hd__a22o_1 _4627_ (.A1(\u_clk_ctrl2.gen_bit_reg[24].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__a22o_1 _4665_ (.A1(\u_clk_ctrl2.gen_bit_reg[12].u_bit_reg.data_out ),
     .A2(_1826_),
     .B1(_1827_),
     .B2(_1828_),
@@ -74372,1706 +74877,2168 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1829_));
- sky130_fd_sc_hd__clkbuf_2 _4628_ (.A(_1796_),
+ sky130_fd_sc_hd__clkbuf_2 _4666_ (.A(_1775_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1830_));
- sky130_fd_sc_hd__mux2_1 _4629_ (.A0(_1829_),
-    .A1(\reg_rdata[24] ),
+ sky130_fd_sc_hd__mux2_1 _4667_ (.A0(\reg_rdata[12] ),
+    .A1(_1829_),
     .S(_1830_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1831_));
- sky130_fd_sc_hd__clkbuf_1 _4630_ (.A(_1831_),
+ sky130_fd_sc_hd__clkbuf_1 _4668_ (.A(_1831_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0203_));
- sky130_fd_sc_hd__mux2_1 _4631_ (.A0(\u_clk_ctrl1.gen_bit_reg[25].u_bit_reg.data_out ),
-    .A1(\u_glb_ctrl.gen_bit_reg[25].u_bit_reg.data_out ),
-    .S(_1819_),
+    .X(_0199_));
+ sky130_fd_sc_hd__buf_2 _4669_ (.A(_1414_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1832_));
- sky130_fd_sc_hd__a22o_1 _4632_ (.A1(\u_clk_ctrl2.gen_bit_reg[25].u_bit_reg.data_out ),
-    .A2(_1826_),
-    .B1(_1832_),
-    .B2(_1828_),
+ sky130_fd_sc_hd__mux2_1 _4670_ (.A0(_1636_),
+    .A1(\u_clk_ctrl1.gen_bit_reg[13].u_bit_reg.data_out ),
+    .S(_1832_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1833_));
- sky130_fd_sc_hd__mux2_1 _4633_ (.A0(_1833_),
-    .A1(\reg_rdata[25] ),
-    .S(_1830_),
+ sky130_fd_sc_hd__a22o_1 _4671_ (.A1(\u_clk_ctrl2.gen_bit_reg[13].u_bit_reg.data_out ),
+    .A2(_1826_),
+    .B1(_1833_),
+    .B2(_1828_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1834_));
- sky130_fd_sc_hd__clkbuf_1 _4634_ (.A(_1834_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0204_));
- sky130_fd_sc_hd__mux2_1 _4635_ (.A0(\u_clk_ctrl1.gen_bit_reg[26].u_bit_reg.data_out ),
-    .A1(\u_glb_ctrl.gen_bit_reg[26].u_bit_reg.data_out ),
-    .S(_1723_),
+ sky130_fd_sc_hd__mux2_1 _4672_ (.A0(\reg_rdata[13] ),
+    .A1(_1834_),
+    .S(_1830_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1835_));
- sky130_fd_sc_hd__a22o_1 _4636_ (.A1(\u_clk_ctrl2.gen_bit_reg[26].u_bit_reg.data_out ),
-    .A2(_1826_),
-    .B1(_1835_),
-    .B2(_1828_),
+ sky130_fd_sc_hd__clkbuf_1 _4673_ (.A(_1835_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0200_));
+ sky130_fd_sc_hd__mux2_1 _4674_ (.A0(_1645_),
+    .A1(\u_clk_ctrl1.gen_bit_reg[14].u_bit_reg.data_out ),
+    .S(_1832_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1836_));
- sky130_fd_sc_hd__mux2_1 _4637_ (.A0(_1836_),
-    .A1(\reg_rdata[26] ),
-    .S(_1830_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1837_));
- sky130_fd_sc_hd__clkbuf_1 _4638_ (.A(_1837_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0205_));
- sky130_fd_sc_hd__mux2_1 _4639_ (.A0(\u_clk_ctrl1.gen_bit_reg[27].u_bit_reg.data_out ),
-    .A1(\u_glb_ctrl.gen_bit_reg[27].u_bit_reg.data_out ),
-    .S(_1723_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1838_));
- sky130_fd_sc_hd__a22o_1 _4640_ (.A1(\u_clk_ctrl2.gen_bit_reg[27].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__a22o_1 _4675_ (.A1(\u_clk_ctrl2.gen_bit_reg[14].u_bit_reg.data_out ),
     .A2(_1826_),
-    .B1(_1838_),
+    .B1(_1836_),
     .B2(_1828_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1839_));
- sky130_fd_sc_hd__mux2_1 _4641_ (.A0(_1839_),
-    .A1(\reg_rdata[27] ),
+    .X(_1837_));
+ sky130_fd_sc_hd__mux2_1 _4676_ (.A0(\reg_rdata[14] ),
+    .A1(_1837_),
     .S(_1830_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1840_));
- sky130_fd_sc_hd__clkbuf_1 _4642_ (.A(_1840_),
+    .X(_1838_));
+ sky130_fd_sc_hd__clkbuf_1 _4677_ (.A(_1838_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0206_));
- sky130_fd_sc_hd__clkbuf_1 _4643_ (.A(_1720_),
+    .X(_0201_));
+ sky130_fd_sc_hd__mux2_1 _4678_ (.A0(\u_glb_ctrl.gen_bit_reg[15].u_bit_reg.data_out ),
+    .A1(\u_clk_ctrl1.gen_bit_reg[15].u_bit_reg.data_out ),
+    .S(_1832_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1839_));
+ sky130_fd_sc_hd__a22o_1 _4679_ (.A1(\u_clk_ctrl2.gen_bit_reg[15].u_bit_reg.data_out ),
+    .A2(_1826_),
+    .B1(_1839_),
+    .B2(_1828_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1840_));
+ sky130_fd_sc_hd__mux2_1 _4680_ (.A0(\reg_rdata[15] ),
+    .A1(_1840_),
+    .S(_1830_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1841_));
- sky130_fd_sc_hd__mux2_1 _4644_ (.A0(\u_glb_ctrl.gen_bit_reg[28].u_bit_reg.data_out ),
-    .A1(\u_clk_ctrl1.gen_bit_reg[28].u_bit_reg.data_out ),
-    .S(_1815_),
+ sky130_fd_sc_hd__clkbuf_1 _4681_ (.A(_1841_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0202_));
+ sky130_fd_sc_hd__clkbuf_1 _4682_ (.A(_1810_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1842_));
- sky130_fd_sc_hd__clkbuf_1 _4645_ (.A(_1705_),
+ sky130_fd_sc_hd__mux2_1 _4683_ (.A0(_1660_),
+    .A1(\u_clk_ctrl1.gen_bit_reg[16].u_bit_reg.data_out ),
+    .S(_1832_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1843_));
- sky130_fd_sc_hd__a22o_1 _4646_ (.A1(\u_clk_ctrl2.gen_bit_reg[28].u_bit_reg.data_out ),
-    .A2(_1841_),
-    .B1(_1842_),
-    .B2(_1843_),
+ sky130_fd_sc_hd__clkbuf_1 _4684_ (.A(_1806_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1844_));
- sky130_fd_sc_hd__clkbuf_2 _4647_ (.A(_1796_),
+ sky130_fd_sc_hd__a22o_1 _4685_ (.A1(\u_clk_ctrl2.gen_bit_reg[16].u_bit_reg.data_out ),
+    .A2(_1842_),
+    .B1(_1843_),
+    .B2(_1844_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1845_));
- sky130_fd_sc_hd__mux2_1 _4648_ (.A0(_1844_),
-    .A1(\reg_rdata[28] ),
-    .S(_1845_),
+ sky130_fd_sc_hd__clkbuf_2 _4686_ (.A(_1774_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1846_));
- sky130_fd_sc_hd__clkbuf_1 _4649_ (.A(_1846_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0207_));
- sky130_fd_sc_hd__mux2_1 _4650_ (.A0(\u_glb_ctrl.gen_bit_reg[29].u_bit_reg.data_out ),
-    .A1(\u_clk_ctrl1.gen_bit_reg[29].u_bit_reg.data_out ),
-    .S(_1815_),
+ sky130_fd_sc_hd__clkbuf_2 _4687_ (.A(_1846_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1847_));
- sky130_fd_sc_hd__a22o_1 _4651_ (.A1(\u_clk_ctrl2.gen_bit_reg[29].u_bit_reg.data_out ),
-    .A2(_1841_),
-    .B1(_1847_),
-    .B2(_1843_),
+ sky130_fd_sc_hd__mux2_1 _4688_ (.A0(\reg_rdata[16] ),
+    .A1(_1845_),
+    .S(_1847_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1848_));
- sky130_fd_sc_hd__mux2_1 _4652_ (.A0(_1848_),
-    .A1(\reg_rdata[29] ),
-    .S(_1845_),
+ sky130_fd_sc_hd__clkbuf_1 _4689_ (.A(_1848_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0203_));
+ sky130_fd_sc_hd__clkbuf_2 _4690_ (.A(_1414_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1849_));
- sky130_fd_sc_hd__clkbuf_1 _4653_ (.A(_1849_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0208_));
- sky130_fd_sc_hd__mux2_1 _4654_ (.A0(\u_glb_ctrl.gen_bit_reg[30].u_bit_reg.data_out ),
-    .A1(\u_clk_ctrl1.gen_bit_reg[30].u_bit_reg.data_out ),
-    .S(_1815_),
+ sky130_fd_sc_hd__mux2_1 _4691_ (.A0(_1670_),
+    .A1(\u_clk_ctrl1.gen_bit_reg[17].u_bit_reg.data_out ),
+    .S(_1849_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1850_));
- sky130_fd_sc_hd__a22o_1 _4655_ (.A1(\u_clk_ctrl2.gen_bit_reg[30].u_bit_reg.data_out ),
-    .A2(_1841_),
+ sky130_fd_sc_hd__a22o_1 _4692_ (.A1(\u_clk_ctrl2.gen_bit_reg[17].u_bit_reg.data_out ),
+    .A2(_1842_),
     .B1(_1850_),
-    .B2(_1843_),
+    .B2(_1844_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1851_));
- sky130_fd_sc_hd__mux2_1 _4656_ (.A0(_1851_),
-    .A1(\reg_rdata[30] ),
-    .S(_1845_),
+ sky130_fd_sc_hd__mux2_1 _4693_ (.A0(\reg_rdata[17] ),
+    .A1(_1851_),
+    .S(_1847_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1852_));
- sky130_fd_sc_hd__clkbuf_1 _4657_ (.A(_1852_),
+ sky130_fd_sc_hd__clkbuf_1 _4694_ (.A(_1852_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0209_));
- sky130_fd_sc_hd__mux2_1 _4658_ (.A0(\u_clk_ctrl1.gen_bit_reg[31].u_bit_reg.data_out ),
-    .A1(\u_glb_ctrl.gen_bit_reg[31].u_bit_reg.data_out ),
-    .S(_1723_),
+    .X(_0204_));
+ sky130_fd_sc_hd__mux2_1 _4695_ (.A0(\u_glb_ctrl.gen_bit_reg[18].u_bit_reg.data_out ),
+    .A1(\u_clk_ctrl1.gen_bit_reg[18].u_bit_reg.data_out ),
+    .S(_1849_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1853_));
- sky130_fd_sc_hd__a22o_1 _4659_ (.A1(\u_clk_ctrl2.gen_bit_reg[31].u_bit_reg.data_out ),
-    .A2(_1841_),
+ sky130_fd_sc_hd__a22o_1 _4696_ (.A1(\u_clk_ctrl2.gen_bit_reg[18].u_bit_reg.data_out ),
+    .A2(_1842_),
     .B1(_1853_),
-    .B2(_1843_),
+    .B2(_1844_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1854_));
- sky130_fd_sc_hd__mux2_1 _4660_ (.A0(_1854_),
-    .A1(\reg_rdata[31] ),
-    .S(_1845_),
+ sky130_fd_sc_hd__mux2_1 _4697_ (.A0(\reg_rdata[18] ),
+    .A1(_1854_),
+    .S(_1847_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1855_));
- sky130_fd_sc_hd__clkbuf_1 _4661_ (.A(_1855_),
+ sky130_fd_sc_hd__clkbuf_1 _4698_ (.A(_1855_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0210_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4662_ (.A(\u_uart2wb.u_msg.State[0] ),
+    .X(_0205_));
+ sky130_fd_sc_hd__mux2_1 _4699_ (.A0(\u_glb_ctrl.gen_bit_reg[19].u_bit_reg.data_out ),
+    .A1(\u_clk_ctrl1.gen_bit_reg[19].u_bit_reg.data_out ),
+    .S(_1849_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1856_));
- sky130_fd_sc_hd__inv_2 _4663_ (.A(_1856_),
+ sky130_fd_sc_hd__a22o_1 _4700_ (.A1(\u_clk_ctrl2.gen_bit_reg[19].u_bit_reg.data_out ),
+    .A2(_1842_),
+    .B1(_1856_),
+    .B2(_1844_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_1857_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4664_ (.A(_1857_),
+    .X(_1857_));
+ sky130_fd_sc_hd__mux2_1 _4701_ (.A0(\reg_rdata[19] ),
+    .A1(_1857_),
+    .S(_1847_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1858_));
- sky130_fd_sc_hd__buf_2 _4665_ (.A(_1858_),
+ sky130_fd_sc_hd__clkbuf_1 _4702_ (.A(_1858_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0206_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4703_ (.A(_1810_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1859_));
- sky130_fd_sc_hd__inv_2 _4666_ (.A(\u_uart2wb.reg_ack ),
+ sky130_fd_sc_hd__mux2_1 _4704_ (.A0(\u_glb_ctrl.gen_bit_reg[20].u_bit_reg.data_out ),
+    .A1(\u_clk_ctrl1.gen_bit_reg[20].u_bit_reg.data_out ),
+    .S(_1849_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_1860_));
- sky130_fd_sc_hd__and3b_1 _4667_ (.A_N(\u_uart2wb.u_msg.State[3] ),
-    .B(_1664_),
-    .C(\u_uart2wb.u_msg.State[1] ),
+    .X(_1860_));
+ sky130_fd_sc_hd__clkbuf_1 _4705_ (.A(_1771_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1861_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4668_ (.A(_1861_),
+ sky130_fd_sc_hd__a22o_1 _4706_ (.A1(\u_clk_ctrl2.gen_bit_reg[20].u_bit_reg.data_out ),
+    .A2(_1859_),
+    .B1(_1860_),
+    .B2(_1861_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1862_));
- sky130_fd_sc_hd__nand2_2 _4669_ (.A(_1860_),
-    .B(_1862_),
+ sky130_fd_sc_hd__clkbuf_2 _4707_ (.A(_1846_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_1863_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4670_ (.A(_1856_),
+    .X(_1863_));
+ sky130_fd_sc_hd__mux2_1 _4708_ (.A0(\reg_rdata[20] ),
+    .A1(_1862_),
+    .S(_1863_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1864_));
- sky130_fd_sc_hd__clkbuf_1 _4671_ (.A(\u_uart2wb.u_msg.State[1] ),
+ sky130_fd_sc_hd__clkbuf_1 _4709_ (.A(_1864_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0207_));
+ sky130_fd_sc_hd__clkbuf_2 _4710_ (.A(_1414_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1865_));
- sky130_fd_sc_hd__clkbuf_1 _4672_ (.A(\u_uart2wb.u_msg.State[2] ),
+ sky130_fd_sc_hd__mux2_1 _4711_ (.A0(\u_glb_ctrl.gen_bit_reg[21].u_bit_reg.data_out ),
+    .A1(\u_clk_ctrl1.gen_bit_reg[21].u_bit_reg.data_out ),
+    .S(_1865_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1866_));
- sky130_fd_sc_hd__clkbuf_1 _4673_ (.A(\u_uart2wb.u_msg.State[3] ),
+ sky130_fd_sc_hd__a22o_1 _4712_ (.A1(\u_clk_ctrl2.gen_bit_reg[21].u_bit_reg.data_out ),
+    .A2(_1859_),
+    .B1(_1866_),
+    .B2(_1861_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1867_));
- sky130_fd_sc_hd__or3b_2 _4674_ (.A(_1865_),
-    .B(_1866_),
-    .C_N(_1867_),
+ sky130_fd_sc_hd__mux2_1 _4713_ (.A0(\reg_rdata[21] ),
+    .A1(_1867_),
+    .S(_1863_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1868_));
- sky130_fd_sc_hd__nor2_1 _4675_ (.A(_1864_),
-    .B(_1868_),
+ sky130_fd_sc_hd__clkbuf_1 _4714_ (.A(_1868_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_1869_));
- sky130_fd_sc_hd__clkbuf_2 _4676_ (.A(_1869_),
+    .X(_0208_));
+ sky130_fd_sc_hd__mux2_1 _4715_ (.A0(\u_clk_ctrl1.gen_bit_reg[22].u_bit_reg.data_out ),
+    .A1(\u_cpu_clk_sel.S ),
+    .S(_1805_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1869_));
+ sky130_fd_sc_hd__a22o_1 _4716_ (.A1(\u_clk_ctrl2.gen_bit_reg[22].u_bit_reg.data_out ),
+    .A2(_1859_),
+    .B1(_1869_),
+    .B2(_1861_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1870_));
- sky130_fd_sc_hd__nor4b_1 _4677_ (.A(\u_uart2wb.u_msg.State[0] ),
-    .B(_1664_),
-    .C(_1867_),
-    .D_N(_1865_),
+ sky130_fd_sc_hd__mux2_1 _4717_ (.A0(\reg_rdata[22] ),
+    .A1(_1870_),
+    .S(_1863_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_1871_));
- sky130_fd_sc_hd__clkbuf_1 _4678_ (.A(_1871_),
+    .X(_1871_));
+ sky130_fd_sc_hd__clkbuf_1 _4718_ (.A(_1871_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0209_));
+ sky130_fd_sc_hd__mux2_1 _4719_ (.A0(\u_clk_ctrl1.gen_bit_reg[23].u_bit_reg.data_out ),
+    .A1(\u_cpu_ref_sel.S ),
+    .S(_1765_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1872_));
- sky130_fd_sc_hd__or2_1 _4679_ (.A(_1862_),
-    .B(_1872_),
+ sky130_fd_sc_hd__a22o_1 _4720_ (.A1(\u_clk_ctrl2.gen_bit_reg[23].u_bit_reg.data_out ),
+    .A2(_1859_),
+    .B1(_1872_),
+    .B2(_1861_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1873_));
- sky130_fd_sc_hd__or3_1 _4680_ (.A(_1865_),
-    .B(_1866_),
-    .C(_1867_),
+ sky130_fd_sc_hd__mux2_1 _4721_ (.A0(\reg_rdata[23] ),
+    .A1(_1873_),
+    .S(_1863_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1874_));
- sky130_fd_sc_hd__or3b_2 _4681_ (.A(_1870_),
-    .B(_1873_),
-    .C_N(_1874_),
+ sky130_fd_sc_hd__clkbuf_1 _4722_ (.A(_1874_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0210_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4723_ (.A(_1769_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1875_));
- sky130_fd_sc_hd__nand2_2 _4682_ (.A(_1863_),
-    .B(_1875_),
+ sky130_fd_sc_hd__mux2_1 _4724_ (.A0(\u_glb_ctrl.gen_bit_reg[24].u_bit_reg.data_out ),
+    .A1(\u_clk_ctrl1.gen_bit_reg[24].u_bit_reg.data_out ),
+    .S(_1865_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_1876_));
- sky130_fd_sc_hd__mux2_1 _4683_ (.A0(_1859_),
-    .A1(\u_uart2wb.u_msg.NextState[0] ),
-    .S(_1876_),
+    .X(_1876_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4725_ (.A(_1771_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1877_));
- sky130_fd_sc_hd__clkbuf_1 _4684_ (.A(_1877_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0211_));
- sky130_fd_sc_hd__clkbuf_2 _4685_ (.A(_1864_),
+ sky130_fd_sc_hd__a22o_1 _4726_ (.A1(\u_clk_ctrl2.gen_bit_reg[24].u_bit_reg.data_out ),
+    .A2(_1875_),
+    .B1(_1876_),
+    .B2(_1877_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1878_));
- sky130_fd_sc_hd__buf_2 _4686_ (.A(_1874_),
+ sky130_fd_sc_hd__clkbuf_2 _4727_ (.A(_1846_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1879_));
- sky130_fd_sc_hd__clkbuf_2 _4687_ (.A(_1862_),
+ sky130_fd_sc_hd__mux2_1 _4728_ (.A0(\reg_rdata[24] ),
+    .A1(_1878_),
+    .S(_1879_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1880_));
- sky130_fd_sc_hd__nand2_2 _4688_ (.A(_1878_),
-    .B(_1880_),
+ sky130_fd_sc_hd__clkbuf_1 _4729_ (.A(_1880_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_1881_));
- sky130_fd_sc_hd__o21ai_4 _4689_ (.A1(_1878_),
-    .A2(_1879_),
+    .X(_0211_));
+ sky130_fd_sc_hd__mux2_1 _4730_ (.A0(_1580_),
+    .A1(\u_clk_ctrl1.gen_bit_reg[25].u_bit_reg.data_out ),
+    .S(_1865_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1881_));
+ sky130_fd_sc_hd__a22o_1 _4731_ (.A1(\u_clk_ctrl2.gen_bit_reg[25].u_bit_reg.data_out ),
+    .A2(_1875_),
     .B1(_1881_),
+    .B2(_1877_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_1882_));
- sky130_fd_sc_hd__clkinv_2 _4690_ (.A(_1882_),
+    .X(_1882_));
+ sky130_fd_sc_hd__mux2_1 _4732_ (.A0(\reg_rdata[25] ),
+    .A1(_1882_),
+    .S(_1879_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_1883_));
- sky130_fd_sc_hd__mux2_1 _4691_ (.A0(_1883_),
-    .A1(\u_uart2wb.u_msg.NextState[1] ),
-    .S(_1876_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1884_));
- sky130_fd_sc_hd__clkbuf_1 _4692_ (.A(_1884_),
+    .X(_1883_));
+ sky130_fd_sc_hd__clkbuf_1 _4733_ (.A(_1883_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0212_));
- sky130_fd_sc_hd__nor2_1 _4693_ (.A(_1859_),
-    .B(_1876_),
+ sky130_fd_sc_hd__mux2_1 _4734_ (.A0(\u_glb_ctrl.gen_bit_reg[26].u_bit_reg.data_out ),
+    .A1(\u_clk_ctrl1.gen_bit_reg[26].u_bit_reg.data_out ),
+    .S(_1865_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_1885_));
- sky130_fd_sc_hd__clkbuf_2 _4694_ (.A(\u_uart2wb.u_msg.State[1] ),
+    .X(_1884_));
+ sky130_fd_sc_hd__a22o_1 _4735_ (.A1(\u_clk_ctrl2.gen_bit_reg[26].u_bit_reg.data_out ),
+    .A2(_1875_),
+    .B1(_1884_),
+    .B2(_1877_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1885_));
+ sky130_fd_sc_hd__mux2_1 _4736_ (.A0(\reg_rdata[26] ),
+    .A1(_1885_),
+    .S(_1879_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1886_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4695_ (.A(_1886_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1887_));
- sky130_fd_sc_hd__clkbuf_2 _4696_ (.A(_1887_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1888_));
- sky130_fd_sc_hd__a22o_1 _4697_ (.A1(\u_uart2wb.u_msg.NextState[3] ),
-    .A2(_1876_),
-    .B1(_1885_),
-    .B2(_1888_),
+ sky130_fd_sc_hd__clkbuf_1 _4737_ (.A(_1886_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0213_));
- sky130_fd_sc_hd__mux2_1 _4698_ (.A0(\u_async_wb.u_cmd_if.mem[3][0] ),
-    .A1(_1251_),
-    .S(_1492_),
+ sky130_fd_sc_hd__clkbuf_2 _4738_ (.A(_1413_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1887_));
+ sky130_fd_sc_hd__mux2_1 _4739_ (.A0(\u_glb_ctrl.gen_bit_reg[27].u_bit_reg.data_out ),
+    .A1(\u_clk_ctrl1.gen_bit_reg[27].u_bit_reg.data_out ),
+    .S(_1887_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1888_));
+ sky130_fd_sc_hd__a22o_1 _4740_ (.A1(\u_clk_ctrl2.gen_bit_reg[27].u_bit_reg.data_out ),
+    .A2(_1875_),
+    .B1(_1888_),
+    .B2(_1877_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1889_));
- sky130_fd_sc_hd__clkbuf_1 _4699_ (.A(_1889_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0214_));
- sky130_fd_sc_hd__mux2_1 _4700_ (.A0(\u_async_wb.u_cmd_if.mem[3][1] ),
-    .A1(_1260_),
-    .S(_1492_),
+ sky130_fd_sc_hd__mux2_1 _4741_ (.A0(\reg_rdata[27] ),
+    .A1(_1889_),
+    .S(_1879_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1890_));
- sky130_fd_sc_hd__clkbuf_1 _4701_ (.A(_1890_),
+ sky130_fd_sc_hd__clkbuf_1 _4742_ (.A(_1890_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0215_));
- sky130_fd_sc_hd__clkbuf_2 _4702_ (.A(_1491_),
+    .X(_0214_));
+ sky130_fd_sc_hd__clkbuf_1 _4743_ (.A(_1769_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1891_));
- sky130_fd_sc_hd__mux2_1 _4703_ (.A0(\u_async_wb.u_cmd_if.mem[3][2] ),
-    .A1(_1262_),
-    .S(_1891_),
+ sky130_fd_sc_hd__mux2_2 _4744_ (.A0(\u_glb_ctrl.gen_bit_reg[28].u_bit_reg.data_out ),
+    .A1(\u_clk_ctrl1.gen_bit_reg[28].u_bit_reg.data_out ),
+    .S(_1887_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1892_));
- sky130_fd_sc_hd__clkbuf_1 _4704_ (.A(_1892_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0216_));
- sky130_fd_sc_hd__mux2_1 _4705_ (.A0(\u_async_wb.u_cmd_if.mem[3][3] ),
-    .A1(_1264_),
-    .S(_1891_),
+ sky130_fd_sc_hd__clkbuf_1 _4745_ (.A(_1771_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1893_));
- sky130_fd_sc_hd__clkbuf_1 _4706_ (.A(_1893_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0217_));
- sky130_fd_sc_hd__buf_2 _4707_ (.A(_1267_),
+ sky130_fd_sc_hd__a22o_1 _4746_ (.A1(\u_clk_ctrl2.gen_bit_reg[28].u_bit_reg.data_out ),
+    .A2(_1891_),
+    .B1(_1892_),
+    .B2(_1893_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1894_));
- sky130_fd_sc_hd__mux2_1 _4708_ (.A0(\u_async_wb.u_cmd_if.mem[3][4] ),
-    .A1(_1894_),
-    .S(_1891_),
+ sky130_fd_sc_hd__clkbuf_2 _4747_ (.A(_1846_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1895_));
- sky130_fd_sc_hd__clkbuf_1 _4709_ (.A(_1895_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0218_));
- sky130_fd_sc_hd__clkbuf_2 _4710_ (.A(_1270_),
+ sky130_fd_sc_hd__mux2_1 _4748_ (.A0(\reg_rdata[28] ),
+    .A1(_1894_),
+    .S(_1895_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1896_));
- sky130_fd_sc_hd__mux2_1 _4711_ (.A0(\u_async_wb.u_cmd_if.mem[3][5] ),
-    .A1(_1896_),
-    .S(_1891_),
+ sky130_fd_sc_hd__clkbuf_1 _4749_ (.A(_1896_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0215_));
+ sky130_fd_sc_hd__mux2_2 _4750_ (.A0(_1612_),
+    .A1(\u_clk_ctrl1.gen_bit_reg[29].u_bit_reg.data_out ),
+    .S(_1887_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1897_));
- sky130_fd_sc_hd__clkbuf_1 _4712_ (.A(_1897_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0219_));
- sky130_fd_sc_hd__clkbuf_2 _4713_ (.A(_1274_),
+ sky130_fd_sc_hd__a22o_1 _4751_ (.A1(\u_clk_ctrl2.gen_bit_reg[29].u_bit_reg.data_out ),
+    .A2(_1891_),
+    .B1(_1897_),
+    .B2(_1893_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1898_));
- sky130_fd_sc_hd__clkbuf_4 _4714_ (.A(_1490_),
+ sky130_fd_sc_hd__mux2_1 _4752_ (.A0(\reg_rdata[29] ),
+    .A1(_1898_),
+    .S(_1895_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1899_));
- sky130_fd_sc_hd__buf_4 _4715_ (.A(_1899_),
+ sky130_fd_sc_hd__clkbuf_1 _4753_ (.A(_1899_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0216_));
+ sky130_fd_sc_hd__mux2_2 _4754_ (.A0(\u_glb_ctrl.gen_bit_reg[30].u_bit_reg.data_out ),
+    .A1(\u_clk_ctrl1.gen_bit_reg[30].u_bit_reg.data_out ),
+    .S(_1887_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1900_));
- sky130_fd_sc_hd__clkbuf_2 _4716_ (.A(_1900_),
+ sky130_fd_sc_hd__a22o_1 _4755_ (.A1(\u_clk_ctrl2.gen_bit_reg[30].u_bit_reg.data_out ),
+    .A2(_1891_),
+    .B1(_1900_),
+    .B2(_1893_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1901_));
- sky130_fd_sc_hd__mux2_1 _4717_ (.A0(\u_async_wb.u_cmd_if.mem[3][6] ),
-    .A1(_1898_),
-    .S(_1901_),
+ sky130_fd_sc_hd__mux2_1 _4756_ (.A0(\reg_rdata[30] ),
+    .A1(_1901_),
+    .S(_1895_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1902_));
- sky130_fd_sc_hd__clkbuf_1 _4718_ (.A(_1902_),
+ sky130_fd_sc_hd__clkbuf_1 _4757_ (.A(_1902_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0220_));
- sky130_fd_sc_hd__buf_2 _4719_ (.A(_1277_),
+    .X(_0217_));
+ sky130_fd_sc_hd__mux2_1 _4758_ (.A0(\u_clk_ctrl1.gen_bit_reg[31].u_bit_reg.data_out ),
+    .A1(\u_glb_ctrl.gen_bit_reg[31].u_bit_reg.data_out ),
+    .S(_1765_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1903_));
- sky130_fd_sc_hd__mux2_1 _4720_ (.A0(\u_async_wb.u_cmd_if.mem[3][7] ),
-    .A1(_1903_),
-    .S(_1901_),
+ sky130_fd_sc_hd__a22o_1 _4759_ (.A1(\u_clk_ctrl2.gen_bit_reg[31].u_bit_reg.data_out ),
+    .A2(_1891_),
+    .B1(_1903_),
+    .B2(_1893_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1904_));
- sky130_fd_sc_hd__clkbuf_1 _4721_ (.A(_1904_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0221_));
- sky130_fd_sc_hd__clkbuf_2 _4722_ (.A(_1281_),
+ sky130_fd_sc_hd__mux2_1 _4760_ (.A0(\reg_rdata[31] ),
+    .A1(_1904_),
+    .S(_1895_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1905_));
- sky130_fd_sc_hd__mux2_1 _4723_ (.A0(\u_async_wb.u_cmd_if.mem[3][8] ),
-    .A1(_1905_),
-    .S(_1901_),
+ sky130_fd_sc_hd__clkbuf_1 _4761_ (.A(_1905_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0218_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4762_ (.A(\u_uart2wb.u_msg.State[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1906_));
- sky130_fd_sc_hd__clkbuf_1 _4724_ (.A(_1906_),
+ sky130_fd_sc_hd__inv_2 _4763_ (.A(_1906_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0222_));
- sky130_fd_sc_hd__clkbuf_2 _4725_ (.A(_1284_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1907_));
- sky130_fd_sc_hd__mux2_1 _4726_ (.A0(\u_async_wb.u_cmd_if.mem[3][9] ),
-    .A1(_1907_),
-    .S(_1901_),
+    .Y(_1907_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4764_ (.A(_1907_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1908_));
- sky130_fd_sc_hd__clkbuf_1 _4727_ (.A(_1908_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0223_));
- sky130_fd_sc_hd__buf_2 _4728_ (.A(_1288_),
+ sky130_fd_sc_hd__buf_2 _4765_ (.A(_1908_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1909_));
- sky130_fd_sc_hd__buf_2 _4729_ (.A(_1900_),
+ sky130_fd_sc_hd__clkinv_2 _4766_ (.A(\u_uart2wb.reg_ack ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1910_));
- sky130_fd_sc_hd__mux2_1 _4730_ (.A0(\u_async_wb.u_cmd_if.mem[3][10] ),
-    .A1(_1909_),
-    .S(_1910_),
+    .Y(_1910_));
+ sky130_fd_sc_hd__and3b_1 _4767_ (.A_N(\u_uart2wb.u_msg.State[3] ),
+    .B(_1727_),
+    .C(\u_uart2wb.u_msg.State[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1911_));
- sky130_fd_sc_hd__clkbuf_1 _4731_ (.A(_1911_),
+ sky130_fd_sc_hd__nand2_1 _4768_ (.A(_1910_),
+    .B(_1911_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0224_));
- sky130_fd_sc_hd__buf_2 _4732_ (.A(_1291_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1912_));
- sky130_fd_sc_hd__mux2_1 _4733_ (.A0(\u_async_wb.u_cmd_if.mem[3][11] ),
-    .A1(_1912_),
-    .S(_1910_),
+    .Y(_1912_));
+ sky130_fd_sc_hd__clkbuf_2 _4769_ (.A(_1906_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1913_));
- sky130_fd_sc_hd__clkbuf_1 _4734_ (.A(_1913_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0225_));
- sky130_fd_sc_hd__mux2_1 _4735_ (.A0(\u_async_wb.u_cmd_if.mem[3][12] ),
-    .A1(_1297_),
-    .S(_1910_),
+ sky130_fd_sc_hd__clkbuf_2 _4770_ (.A(\u_uart2wb.u_msg.State[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1914_));
- sky130_fd_sc_hd__clkbuf_1 _4736_ (.A(_1914_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0226_));
- sky130_fd_sc_hd__mux2_1 _4737_ (.A0(\u_async_wb.u_cmd_if.mem[3][13] ),
-    .A1(_1300_),
-    .S(_1910_),
+ sky130_fd_sc_hd__clkbuf_1 _4771_ (.A(\u_uart2wb.u_msg.State[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1915_));
- sky130_fd_sc_hd__clkbuf_1 _4738_ (.A(_1915_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0227_));
- sky130_fd_sc_hd__clkbuf_2 _4739_ (.A(_1900_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4772_ (.A(\u_uart2wb.u_msg.State[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1916_));
- sky130_fd_sc_hd__mux2_1 _4740_ (.A0(\u_async_wb.u_cmd_if.mem[3][14] ),
-    .A1(_1304_),
-    .S(_1916_),
+ sky130_fd_sc_hd__or3b_1 _4773_ (.A(_1914_),
+    .B(_1915_),
+    .C_N(_1916_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1917_));
- sky130_fd_sc_hd__clkbuf_1 _4741_ (.A(_1917_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0228_));
- sky130_fd_sc_hd__mux2_1 _4742_ (.A0(\u_async_wb.u_cmd_if.mem[3][15] ),
-    .A1(_1307_),
-    .S(_1916_),
+ sky130_fd_sc_hd__buf_2 _4774_ (.A(_1917_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1918_));
- sky130_fd_sc_hd__clkbuf_1 _4743_ (.A(_1918_),
+ sky130_fd_sc_hd__nor2_1 _4775_ (.A(_1913_),
+    .B(_1918_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0229_));
- sky130_fd_sc_hd__mux2_1 _4744_ (.A0(\u_async_wb.u_cmd_if.mem[3][16] ),
-    .A1(_1311_),
-    .S(_1916_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1919_));
- sky130_fd_sc_hd__clkbuf_1 _4745_ (.A(_1919_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0230_));
- sky130_fd_sc_hd__mux2_1 _4746_ (.A0(\u_async_wb.u_cmd_if.mem[3][17] ),
-    .A1(_1314_),
-    .S(_1916_),
+    .Y(_1919_));
+ sky130_fd_sc_hd__buf_2 _4776_ (.A(_1919_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1920_));
- sky130_fd_sc_hd__clkbuf_1 _4747_ (.A(_1920_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0231_));
- sky130_fd_sc_hd__clkbuf_2 _4748_ (.A(_1900_),
+ sky130_fd_sc_hd__clkbuf_1 _4777_ (.A(_1911_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1921_));
- sky130_fd_sc_hd__mux2_1 _4749_ (.A0(\u_async_wb.u_cmd_if.mem[3][18] ),
-    .A1(_1319_),
-    .S(_1921_),
+ sky130_fd_sc_hd__nor4b_1 _4778_ (.A(\u_uart2wb.u_msg.State[0] ),
+    .B(_1915_),
+    .C(_1916_),
+    .D_N(_1914_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1922_));
- sky130_fd_sc_hd__clkbuf_1 _4750_ (.A(_1922_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0232_));
- sky130_fd_sc_hd__mux2_1 _4751_ (.A0(\u_async_wb.u_cmd_if.mem[3][19] ),
-    .A1(_1322_),
-    .S(_1921_),
+    .Y(_1922_));
+ sky130_fd_sc_hd__clkbuf_1 _4779_ (.A(_1922_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1923_));
- sky130_fd_sc_hd__clkbuf_1 _4752_ (.A(_1923_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0233_));
- sky130_fd_sc_hd__mux2_1 _4753_ (.A0(\u_async_wb.u_cmd_if.mem[3][20] ),
-    .A1(_1326_),
-    .S(_1921_),
+ sky130_fd_sc_hd__or2_1 _4780_ (.A(_1921_),
+    .B(_1923_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1924_));
- sky130_fd_sc_hd__clkbuf_1 _4754_ (.A(_1924_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0234_));
- sky130_fd_sc_hd__mux2_1 _4755_ (.A0(\u_async_wb.u_cmd_if.mem[3][21] ),
-    .A1(_1329_),
-    .S(_1921_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4781_ (.A(\u_uart2wb.u_msg.State[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1925_));
- sky130_fd_sc_hd__clkbuf_1 _4756_ (.A(_1925_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0235_));
- sky130_fd_sc_hd__clkbuf_2 _4757_ (.A(_1490_),
+ sky130_fd_sc_hd__or3_1 _4782_ (.A(_1925_),
+    .B(_1915_),
+    .C(_1916_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1926_));
- sky130_fd_sc_hd__clkbuf_2 _4758_ (.A(_1926_),
+ sky130_fd_sc_hd__clkbuf_2 _4783_ (.A(_1926_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1927_));
- sky130_fd_sc_hd__mux2_1 _4759_ (.A0(\u_async_wb.u_cmd_if.mem[3][22] ),
-    .A1(_1333_),
-    .S(_1927_),
+ sky130_fd_sc_hd__or3b_1 _4784_ (.A(_1920_),
+    .B(_1924_),
+    .C_N(_1927_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1928_));
- sky130_fd_sc_hd__clkbuf_1 _4760_ (.A(_1928_),
+ sky130_fd_sc_hd__nand2_1 _4785_ (.A(_1912_),
+    .B(_1928_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0236_));
- sky130_fd_sc_hd__mux2_1 _4761_ (.A0(\u_async_wb.u_cmd_if.mem[3][23] ),
-    .A1(_1336_),
-    .S(_1927_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1929_));
- sky130_fd_sc_hd__clkbuf_1 _4762_ (.A(_1929_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0237_));
- sky130_fd_sc_hd__mux2_1 _4763_ (.A0(\u_async_wb.u_cmd_if.mem[3][24] ),
-    .A1(_1340_),
-    .S(_1927_),
+    .Y(_1929_));
+ sky130_fd_sc_hd__mux2_1 _4786_ (.A0(_1909_),
+    .A1(\u_uart2wb.u_msg.NextState[0] ),
+    .S(_1929_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1930_));
- sky130_fd_sc_hd__clkbuf_1 _4764_ (.A(_1930_),
+ sky130_fd_sc_hd__clkbuf_1 _4787_ (.A(_1930_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0238_));
- sky130_fd_sc_hd__mux2_1 _4765_ (.A0(\u_async_wb.u_cmd_if.mem[3][25] ),
-    .A1(_1343_),
-    .S(_1927_),
+    .X(_0219_));
+ sky130_fd_sc_hd__clkbuf_2 _4788_ (.A(_1913_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1931_));
- sky130_fd_sc_hd__clkbuf_1 _4766_ (.A(_1931_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0239_));
- sky130_fd_sc_hd__clkbuf_2 _4767_ (.A(_1926_),
+ sky130_fd_sc_hd__buf_2 _4789_ (.A(_1931_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1932_));
- sky130_fd_sc_hd__mux2_1 _4768_ (.A0(\u_async_wb.u_cmd_if.mem[3][26] ),
-    .A1(_1347_),
-    .S(_1932_),
+ sky130_fd_sc_hd__buf_2 _4790_ (.A(_1927_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1933_));
- sky130_fd_sc_hd__clkbuf_1 _4769_ (.A(_1933_),
+ sky130_fd_sc_hd__nand2_1 _4791_ (.A(_1931_),
+    .B(_1921_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0240_));
- sky130_fd_sc_hd__mux2_1 _4770_ (.A0(\u_async_wb.u_cmd_if.mem[3][27] ),
-    .A1(_1350_),
-    .S(_1932_),
+    .Y(_1934_));
+ sky130_fd_sc_hd__o21ai_4 _4792_ (.A1(_1932_),
+    .A2(_1933_),
+    .B1(_1934_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1934_));
- sky130_fd_sc_hd__clkbuf_1 _4771_ (.A(_1934_),
+    .Y(_1935_));
+ sky130_fd_sc_hd__inv_2 _4793_ (.A(_1935_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0241_));
- sky130_fd_sc_hd__mux2_1 _4772_ (.A0(\u_async_wb.u_cmd_if.mem[3][28] ),
-    .A1(_1355_),
-    .S(_1932_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1935_));
- sky130_fd_sc_hd__clkbuf_1 _4773_ (.A(_1935_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0242_));
- sky130_fd_sc_hd__mux2_1 _4774_ (.A0(\u_async_wb.u_cmd_if.mem[3][29] ),
-    .A1(_1358_),
-    .S(_1932_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1936_));
- sky130_fd_sc_hd__clkbuf_1 _4775_ (.A(_1936_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0243_));
- sky130_fd_sc_hd__clkbuf_2 _4776_ (.A(_1926_),
+    .Y(_1936_));
+ sky130_fd_sc_hd__mux2_1 _4794_ (.A0(_1936_),
+    .A1(\u_uart2wb.u_msg.NextState[1] ),
+    .S(_1929_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1937_));
- sky130_fd_sc_hd__mux2_1 _4777_ (.A0(\u_async_wb.u_cmd_if.mem[3][30] ),
-    .A1(_1362_),
-    .S(_1937_),
+ sky130_fd_sc_hd__clkbuf_1 _4795_ (.A(_1937_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1938_));
- sky130_fd_sc_hd__clkbuf_1 _4778_ (.A(_1938_),
+    .X(_0220_));
+ sky130_fd_sc_hd__nor2_1 _4796_ (.A(_1909_),
+    .B(_1929_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0244_));
- sky130_fd_sc_hd__mux2_1 _4779_ (.A0(\u_async_wb.u_cmd_if.mem[3][31] ),
-    .A1(_1365_),
-    .S(_1937_),
+    .Y(_1938_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4797_ (.A(_1925_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1939_));
- sky130_fd_sc_hd__clkbuf_1 _4780_ (.A(_1939_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0245_));
- sky130_fd_sc_hd__mux2_1 _4781_ (.A0(\u_async_wb.u_cmd_if.mem[3][32] ),
-    .A1(_1369_),
-    .S(_1937_),
+ sky130_fd_sc_hd__clkbuf_2 _4798_ (.A(_1939_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1940_));
- sky130_fd_sc_hd__clkbuf_1 _4782_ (.A(_1940_),
+ sky130_fd_sc_hd__a22o_1 _4799_ (.A1(\u_uart2wb.u_msg.NextState[3] ),
+    .A2(_1929_),
+    .B1(_1938_),
+    .B2(_1940_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0246_));
- sky130_fd_sc_hd__mux2_1 _4783_ (.A0(\u_async_wb.u_cmd_if.mem[3][33] ),
-    .A1(_1372_),
-    .S(_1937_),
+    .X(_0221_));
+ sky130_fd_sc_hd__mux2_1 _4800_ (.A0(\u_async_wb.u_cmd_if.mem[3][0] ),
+    .A1(_1268_),
+    .S(_1507_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1941_));
- sky130_fd_sc_hd__clkbuf_1 _4784_ (.A(_1941_),
+ sky130_fd_sc_hd__clkbuf_1 _4801_ (.A(_1941_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0247_));
- sky130_fd_sc_hd__buf_2 _4785_ (.A(_1926_),
+    .X(_0222_));
+ sky130_fd_sc_hd__mux2_1 _4802_ (.A0(\u_async_wb.u_cmd_if.mem[3][1] ),
+    .A1(_1277_),
+    .S(_1507_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1942_));
- sky130_fd_sc_hd__mux2_1 _4786_ (.A0(\u_async_wb.u_cmd_if.mem[3][34] ),
-    .A1(_1375_),
-    .S(_1942_),
+ sky130_fd_sc_hd__clkbuf_1 _4803_ (.A(_1942_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0223_));
+ sky130_fd_sc_hd__buf_2 _4804_ (.A(_1506_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1943_));
- sky130_fd_sc_hd__clkbuf_1 _4787_ (.A(_1943_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0248_));
- sky130_fd_sc_hd__mux2_1 _4788_ (.A0(\u_async_wb.u_cmd_if.mem[3][35] ),
-    .A1(_1378_),
-    .S(_1942_),
+ sky130_fd_sc_hd__mux2_1 _4805_ (.A0(\u_async_wb.u_cmd_if.mem[3][2] ),
+    .A1(_1279_),
+    .S(_1943_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1944_));
- sky130_fd_sc_hd__clkbuf_1 _4789_ (.A(_1944_),
+ sky130_fd_sc_hd__clkbuf_1 _4806_ (.A(_1944_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0249_));
- sky130_fd_sc_hd__mux2_1 _4790_ (.A0(\u_async_wb.u_cmd_if.mem[3][36] ),
-    .A1(_1382_),
-    .S(_1942_),
+    .X(_0224_));
+ sky130_fd_sc_hd__mux2_1 _4807_ (.A0(\u_async_wb.u_cmd_if.mem[3][3] ),
+    .A1(_1281_),
+    .S(_1943_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1945_));
- sky130_fd_sc_hd__clkbuf_1 _4791_ (.A(_1945_),
+ sky130_fd_sc_hd__clkbuf_1 _4808_ (.A(_1945_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0250_));
- sky130_fd_sc_hd__mux2_1 _4792_ (.A0(\u_async_wb.u_cmd_if.mem[3][37] ),
-    .A1(_1384_),
-    .S(_1942_),
+    .X(_0225_));
+ sky130_fd_sc_hd__buf_2 _4809_ (.A(_1284_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1946_));
- sky130_fd_sc_hd__clkbuf_1 _4793_ (.A(_1946_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0251_));
- sky130_fd_sc_hd__clkbuf_2 _4794_ (.A(_1490_),
+ sky130_fd_sc_hd__mux2_1 _4810_ (.A0(\u_async_wb.u_cmd_if.mem[3][4] ),
+    .A1(_1946_),
+    .S(_1943_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1947_));
- sky130_fd_sc_hd__clkbuf_2 _4795_ (.A(_1947_),
+ sky130_fd_sc_hd__clkbuf_1 _4811_ (.A(_1947_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0226_));
+ sky130_fd_sc_hd__clkbuf_2 _4812_ (.A(_1287_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1948_));
- sky130_fd_sc_hd__mux2_1 _4796_ (.A0(\u_async_wb.u_cmd_if.mem[3][38] ),
-    .A1(_1387_),
-    .S(_1948_),
+ sky130_fd_sc_hd__mux2_1 _4813_ (.A0(\u_async_wb.u_cmd_if.mem[3][5] ),
+    .A1(_1948_),
+    .S(_1943_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1949_));
- sky130_fd_sc_hd__clkbuf_1 _4797_ (.A(_1949_),
+ sky130_fd_sc_hd__clkbuf_1 _4814_ (.A(_1949_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0252_));
- sky130_fd_sc_hd__mux2_1 _4798_ (.A0(\u_async_wb.u_cmd_if.mem[3][39] ),
-    .A1(_1391_),
-    .S(_1948_),
+    .X(_0227_));
+ sky130_fd_sc_hd__clkbuf_2 _4815_ (.A(_1291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1950_));
- sky130_fd_sc_hd__clkbuf_1 _4799_ (.A(_1950_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0253_));
- sky130_fd_sc_hd__mux2_1 _4800_ (.A0(\u_async_wb.u_cmd_if.mem[3][40] ),
-    .A1(_1398_),
-    .S(_1948_),
+ sky130_fd_sc_hd__buf_2 _4816_ (.A(_1505_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1951_));
- sky130_fd_sc_hd__clkbuf_1 _4801_ (.A(_1951_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0254_));
- sky130_fd_sc_hd__mux2_1 _4802_ (.A0(\u_async_wb.u_cmd_if.mem[3][41] ),
-    .A1(_1400_),
-    .S(_1948_),
+ sky130_fd_sc_hd__buf_2 _4817_ (.A(_1951_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1952_));
- sky130_fd_sc_hd__clkbuf_1 _4803_ (.A(_1952_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0255_));
- sky130_fd_sc_hd__clkbuf_2 _4804_ (.A(_1947_),
+ sky130_fd_sc_hd__buf_2 _4818_ (.A(_1952_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1953_));
- sky130_fd_sc_hd__mux2_1 _4805_ (.A0(\u_async_wb.u_cmd_if.mem[3][42] ),
-    .A1(_1402_),
+ sky130_fd_sc_hd__mux2_1 _4819_ (.A0(\u_async_wb.u_cmd_if.mem[3][6] ),
+    .A1(_1950_),
     .S(_1953_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1954_));
- sky130_fd_sc_hd__clkbuf_1 _4806_ (.A(_1954_),
+ sky130_fd_sc_hd__clkbuf_1 _4820_ (.A(_1954_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0256_));
- sky130_fd_sc_hd__mux2_1 _4807_ (.A0(\u_async_wb.u_cmd_if.mem[3][43] ),
-    .A1(_1404_),
-    .S(_1953_),
+    .X(_0228_));
+ sky130_fd_sc_hd__buf_2 _4821_ (.A(_1294_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1955_));
- sky130_fd_sc_hd__clkbuf_1 _4808_ (.A(_1955_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0257_));
- sky130_fd_sc_hd__mux2_1 _4809_ (.A0(\u_async_wb.u_cmd_if.mem[3][44] ),
-    .A1(_1410_),
+ sky130_fd_sc_hd__mux2_1 _4822_ (.A0(\u_async_wb.u_cmd_if.mem[3][7] ),
+    .A1(_1955_),
     .S(_1953_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1956_));
- sky130_fd_sc_hd__clkbuf_1 _4810_ (.A(_1956_),
+ sky130_fd_sc_hd__clkbuf_1 _4823_ (.A(_1956_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0258_));
- sky130_fd_sc_hd__mux2_1 _4811_ (.A0(\u_async_wb.u_cmd_if.mem[3][45] ),
-    .A1(_1412_),
-    .S(_1953_),
+    .X(_0229_));
+ sky130_fd_sc_hd__clkbuf_2 _4824_ (.A(_1298_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1957_));
- sky130_fd_sc_hd__clkbuf_1 _4812_ (.A(_1957_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0259_));
- sky130_fd_sc_hd__clkbuf_2 _4813_ (.A(_1947_),
+ sky130_fd_sc_hd__mux2_1 _4825_ (.A0(\u_async_wb.u_cmd_if.mem[3][8] ),
+    .A1(_1957_),
+    .S(_1953_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1958_));
- sky130_fd_sc_hd__mux2_1 _4814_ (.A0(\u_async_wb.u_cmd_if.mem[3][46] ),
-    .A1(_1414_),
-    .S(_1958_),
+ sky130_fd_sc_hd__clkbuf_1 _4826_ (.A(_1958_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0230_));
+ sky130_fd_sc_hd__clkbuf_2 _4827_ (.A(_1301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1959_));
- sky130_fd_sc_hd__clkbuf_1 _4815_ (.A(_1959_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0260_));
- sky130_fd_sc_hd__mux2_1 _4816_ (.A0(\u_async_wb.u_cmd_if.mem[3][47] ),
-    .A1(_1416_),
-    .S(_1958_),
+ sky130_fd_sc_hd__mux2_1 _4828_ (.A0(\u_async_wb.u_cmd_if.mem[3][9] ),
+    .A1(_1959_),
+    .S(_1953_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1960_));
- sky130_fd_sc_hd__clkbuf_1 _4817_ (.A(_1960_),
+ sky130_fd_sc_hd__clkbuf_1 _4829_ (.A(_1960_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0261_));
- sky130_fd_sc_hd__mux2_1 _4818_ (.A0(\u_async_wb.u_cmd_if.mem[3][48] ),
-    .A1(_1420_),
-    .S(_1958_),
+    .X(_0231_));
+ sky130_fd_sc_hd__clkbuf_2 _4830_ (.A(_1305_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1961_));
- sky130_fd_sc_hd__clkbuf_1 _4819_ (.A(_1961_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0262_));
- sky130_fd_sc_hd__mux2_1 _4820_ (.A0(\u_async_wb.u_cmd_if.mem[3][49] ),
-    .A1(_1422_),
-    .S(_1958_),
+ sky130_fd_sc_hd__buf_2 _4831_ (.A(_1952_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1962_));
- sky130_fd_sc_hd__clkbuf_1 _4821_ (.A(_1962_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0263_));
- sky130_fd_sc_hd__buf_2 _4822_ (.A(_1947_),
+ sky130_fd_sc_hd__mux2_1 _4832_ (.A0(\u_async_wb.u_cmd_if.mem[3][10] ),
+    .A1(_1961_),
+    .S(_1962_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1963_));
- sky130_fd_sc_hd__mux2_1 _4823_ (.A0(\u_async_wb.u_cmd_if.mem[3][50] ),
-    .A1(_1424_),
-    .S(_1963_),
+ sky130_fd_sc_hd__clkbuf_1 _4833_ (.A(_1963_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0232_));
+ sky130_fd_sc_hd__clkbuf_2 _4834_ (.A(_1308_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1964_));
- sky130_fd_sc_hd__clkbuf_1 _4824_ (.A(_1964_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0264_));
- sky130_fd_sc_hd__mux2_1 _4825_ (.A0(\u_async_wb.u_cmd_if.mem[3][51] ),
-    .A1(_1426_),
-    .S(_1963_),
+ sky130_fd_sc_hd__mux2_1 _4835_ (.A0(\u_async_wb.u_cmd_if.mem[3][11] ),
+    .A1(_1964_),
+    .S(_1962_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1965_));
- sky130_fd_sc_hd__clkbuf_1 _4826_ (.A(_1965_),
+ sky130_fd_sc_hd__clkbuf_1 _4836_ (.A(_1965_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0265_));
- sky130_fd_sc_hd__mux2_1 _4827_ (.A0(\u_async_wb.u_cmd_if.mem[3][52] ),
-    .A1(_1430_),
-    .S(_1963_),
+    .X(_0233_));
+ sky130_fd_sc_hd__mux2_1 _4837_ (.A0(\u_async_wb.u_cmd_if.mem[3][12] ),
+    .A1(_1314_),
+    .S(_1962_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1966_));
- sky130_fd_sc_hd__clkbuf_1 _4828_ (.A(_1966_),
+ sky130_fd_sc_hd__clkbuf_1 _4838_ (.A(_1966_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0266_));
- sky130_fd_sc_hd__mux2_1 _4829_ (.A0(\u_async_wb.u_cmd_if.mem[3][53] ),
-    .A1(_1432_),
-    .S(_1963_),
+    .X(_0234_));
+ sky130_fd_sc_hd__mux2_1 _4839_ (.A0(\u_async_wb.u_cmd_if.mem[3][13] ),
+    .A1(_1317_),
+    .S(_1962_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1967_));
- sky130_fd_sc_hd__clkbuf_1 _4830_ (.A(_1967_),
+ sky130_fd_sc_hd__clkbuf_1 _4840_ (.A(_1967_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0267_));
- sky130_fd_sc_hd__clkbuf_2 _4831_ (.A(_1899_),
+    .X(_0235_));
+ sky130_fd_sc_hd__clkbuf_2 _4841_ (.A(_1952_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1968_));
- sky130_fd_sc_hd__mux2_1 _4832_ (.A0(\u_async_wb.u_cmd_if.mem[3][54] ),
-    .A1(_1434_),
+ sky130_fd_sc_hd__mux2_1 _4842_ (.A0(\u_async_wb.u_cmd_if.mem[3][14] ),
+    .A1(_1321_),
     .S(_1968_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1969_));
- sky130_fd_sc_hd__clkbuf_1 _4833_ (.A(_1969_),
+ sky130_fd_sc_hd__clkbuf_1 _4843_ (.A(_1969_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0268_));
- sky130_fd_sc_hd__mux2_1 _4834_ (.A0(\u_async_wb.u_cmd_if.mem[3][55] ),
-    .A1(_1436_),
+    .X(_0236_));
+ sky130_fd_sc_hd__mux2_1 _4844_ (.A0(\u_async_wb.u_cmd_if.mem[3][15] ),
+    .A1(_1324_),
     .S(_1968_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1970_));
- sky130_fd_sc_hd__clkbuf_1 _4835_ (.A(_1970_),
+ sky130_fd_sc_hd__clkbuf_1 _4845_ (.A(_1970_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0269_));
- sky130_fd_sc_hd__mux2_1 _4836_ (.A0(\u_async_wb.u_cmd_if.mem[3][56] ),
-    .A1(_1440_),
+    .X(_0237_));
+ sky130_fd_sc_hd__mux2_1 _4846_ (.A0(\u_async_wb.u_cmd_if.mem[3][16] ),
+    .A1(_1328_),
     .S(_1968_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1971_));
- sky130_fd_sc_hd__clkbuf_1 _4837_ (.A(_1971_),
+ sky130_fd_sc_hd__clkbuf_1 _4847_ (.A(_1971_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0270_));
- sky130_fd_sc_hd__mux2_1 _4838_ (.A0(\u_async_wb.u_cmd_if.mem[3][57] ),
-    .A1(_1442_),
+    .X(_0238_));
+ sky130_fd_sc_hd__mux2_1 _4848_ (.A0(\u_async_wb.u_cmd_if.mem[3][17] ),
+    .A1(_1331_),
     .S(_1968_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1972_));
- sky130_fd_sc_hd__clkbuf_1 _4839_ (.A(_1972_),
+ sky130_fd_sc_hd__clkbuf_1 _4849_ (.A(_1972_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0271_));
- sky130_fd_sc_hd__clkbuf_4 _4840_ (.A(_1899_),
+    .X(_0239_));
+ sky130_fd_sc_hd__clkbuf_2 _4850_ (.A(_1952_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1973_));
- sky130_fd_sc_hd__mux2_1 _4841_ (.A0(\u_async_wb.u_cmd_if.mem[3][58] ),
-    .A1(_1444_),
+ sky130_fd_sc_hd__mux2_1 _4851_ (.A0(\u_async_wb.u_cmd_if.mem[3][18] ),
+    .A1(_1336_),
     .S(_1973_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1974_));
- sky130_fd_sc_hd__clkbuf_1 _4842_ (.A(_1974_),
+ sky130_fd_sc_hd__clkbuf_1 _4852_ (.A(_1974_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0272_));
- sky130_fd_sc_hd__mux2_1 _4843_ (.A0(\u_async_wb.u_cmd_if.mem[3][59] ),
-    .A1(_1446_),
+    .X(_0240_));
+ sky130_fd_sc_hd__mux2_1 _4853_ (.A0(\u_async_wb.u_cmd_if.mem[3][19] ),
+    .A1(_1339_),
     .S(_1973_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1975_));
- sky130_fd_sc_hd__clkbuf_1 _4844_ (.A(_1975_),
+ sky130_fd_sc_hd__clkbuf_1 _4854_ (.A(_1975_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0273_));
- sky130_fd_sc_hd__and2b_1 _4845_ (.A_N(_1492_),
-    .B(\u_async_wb.u_cmd_if.mem[3][60] ),
+    .X(_0241_));
+ sky130_fd_sc_hd__mux2_1 _4855_ (.A0(\u_async_wb.u_cmd_if.mem[3][20] ),
+    .A1(_1343_),
+    .S(_1973_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1976_));
- sky130_fd_sc_hd__clkbuf_1 _4846_ (.A(_1976_),
+ sky130_fd_sc_hd__clkbuf_1 _4856_ (.A(_1976_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0274_));
- sky130_fd_sc_hd__mux2_1 _4847_ (.A0(\u_async_wb.u_cmd_if.mem[3][61] ),
-    .A1(\u_async_wb.m_cmd_wr_data[61] ),
+    .X(_0242_));
+ sky130_fd_sc_hd__mux2_1 _4857_ (.A0(\u_async_wb.u_cmd_if.mem[3][21] ),
+    .A1(_1346_),
     .S(_1973_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1977_));
- sky130_fd_sc_hd__clkbuf_1 _4848_ (.A(_1977_),
+ sky130_fd_sc_hd__clkbuf_1 _4858_ (.A(_1977_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0275_));
- sky130_fd_sc_hd__mux2_1 _4849_ (.A0(\u_async_wb.u_cmd_if.mem[3][62] ),
-    .A1(\u_async_wb.m_cmd_wr_data[62] ),
-    .S(_1973_),
+    .X(_0243_));
+ sky130_fd_sc_hd__clkbuf_2 _4859_ (.A(_1505_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1978_));
- sky130_fd_sc_hd__clkbuf_1 _4850_ (.A(_1978_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0276_));
- sky130_fd_sc_hd__clkbuf_2 _4851_ (.A(_1899_),
+ sky130_fd_sc_hd__buf_2 _4860_ (.A(_1978_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1979_));
- sky130_fd_sc_hd__mux2_1 _4852_ (.A0(\u_async_wb.u_cmd_if.mem[3][63] ),
-    .A1(\u_async_wb.m_cmd_wr_data[63] ),
+ sky130_fd_sc_hd__mux2_1 _4861_ (.A0(\u_async_wb.u_cmd_if.mem[3][22] ),
+    .A1(_1350_),
     .S(_1979_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1980_));
- sky130_fd_sc_hd__clkbuf_1 _4853_ (.A(_1980_),
+ sky130_fd_sc_hd__clkbuf_1 _4862_ (.A(_1980_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0277_));
- sky130_fd_sc_hd__mux2_1 _4854_ (.A0(\u_async_wb.u_cmd_if.mem[3][64] ),
-    .A1(\u_async_wb.m_cmd_wr_data[64] ),
+    .X(_0244_));
+ sky130_fd_sc_hd__mux2_1 _4863_ (.A0(\u_async_wb.u_cmd_if.mem[3][23] ),
+    .A1(_1353_),
     .S(_1979_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1981_));
- sky130_fd_sc_hd__clkbuf_1 _4855_ (.A(_1981_),
+ sky130_fd_sc_hd__clkbuf_1 _4864_ (.A(_1981_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0278_));
- sky130_fd_sc_hd__mux2_1 _4856_ (.A0(\u_async_wb.u_cmd_if.mem[3][65] ),
-    .A1(\u_async_wb.m_cmd_wr_data[65] ),
+    .X(_0245_));
+ sky130_fd_sc_hd__mux2_1 _4865_ (.A0(\u_async_wb.u_cmd_if.mem[3][24] ),
+    .A1(_1357_),
     .S(_1979_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1982_));
- sky130_fd_sc_hd__clkbuf_1 _4857_ (.A(_1982_),
+ sky130_fd_sc_hd__clkbuf_1 _4866_ (.A(_1982_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0279_));
- sky130_fd_sc_hd__mux2_1 _4858_ (.A0(\u_async_wb.u_cmd_if.mem[3][66] ),
-    .A1(\u_async_wb.m_cmd_wr_data[66] ),
+    .X(_0246_));
+ sky130_fd_sc_hd__mux2_1 _4867_ (.A0(\u_async_wb.u_cmd_if.mem[3][25] ),
+    .A1(_1360_),
     .S(_1979_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1983_));
- sky130_fd_sc_hd__clkbuf_1 _4859_ (.A(_1983_),
+ sky130_fd_sc_hd__clkbuf_1 _4868_ (.A(_1983_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0280_));
- sky130_fd_sc_hd__mux2_1 _4860_ (.A0(\u_async_wb.u_cmd_if.mem[3][67] ),
-    .A1(\u_async_wb.m_cmd_wr_data[67] ),
-    .S(_1491_),
+    .X(_0247_));
+ sky130_fd_sc_hd__buf_2 _4869_ (.A(_1978_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1984_));
- sky130_fd_sc_hd__clkbuf_1 _4861_ (.A(_1984_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0281_));
- sky130_fd_sc_hd__mux2_1 _4862_ (.A0(\u_async_wb.u_cmd_if.mem[3][68] ),
-    .A1(\u_async_wb.m_cmd_wr_data[68] ),
-    .S(_1491_),
+ sky130_fd_sc_hd__mux2_1 _4870_ (.A0(\u_async_wb.u_cmd_if.mem[3][26] ),
+    .A1(_1364_),
+    .S(_1984_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1985_));
- sky130_fd_sc_hd__clkbuf_1 _4863_ (.A(_1985_),
+ sky130_fd_sc_hd__clkbuf_1 _4871_ (.A(_1985_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0282_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4864_ (.A(_1664_),
+    .X(_0248_));
+ sky130_fd_sc_hd__mux2_1 _4872_ (.A0(\u_async_wb.u_cmd_if.mem[3][27] ),
+    .A1(_1367_),
+    .S(_1984_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1986_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4865_ (.A(\u_uart2wb.u_msg.State[3] ),
+ sky130_fd_sc_hd__clkbuf_1 _4873_ (.A(_1986_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0249_));
+ sky130_fd_sc_hd__mux2_1 _4874_ (.A0(\u_async_wb.u_cmd_if.mem[3][28] ),
+    .A1(_1372_),
+    .S(_1984_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1987_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4866_ (.A(_1987_),
+ sky130_fd_sc_hd__clkbuf_1 _4875_ (.A(_1987_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0250_));
+ sky130_fd_sc_hd__mux2_1 _4876_ (.A0(\u_async_wb.u_cmd_if.mem[3][29] ),
+    .A1(_1375_),
+    .S(_1984_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1988_));
- sky130_fd_sc_hd__nor4b_2 _4867_ (.A(_1887_),
-    .B(_1986_),
-    .C(_1988_),
-    .D_N(_1856_),
+ sky130_fd_sc_hd__clkbuf_1 _4877_ (.A(_1988_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_1989_));
- sky130_fd_sc_hd__or3_2 _4868_ (.A(_1862_),
-    .B(_1872_),
-    .C(_1989_),
+    .X(_0251_));
+ sky130_fd_sc_hd__clkbuf_2 _4878_ (.A(_1978_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1989_));
+ sky130_fd_sc_hd__mux2_1 _4879_ (.A0(\u_async_wb.u_cmd_if.mem[3][30] ),
+    .A1(_1379_),
+    .S(_1989_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1990_));
- sky130_fd_sc_hd__clkbuf_2 _4869_ (.A(_1990_),
+ sky130_fd_sc_hd__clkbuf_1 _4880_ (.A(_1990_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0252_));
+ sky130_fd_sc_hd__mux2_1 _4881_ (.A0(\u_async_wb.u_cmd_if.mem[3][31] ),
+    .A1(_1382_),
+    .S(_1989_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1991_));
- sky130_fd_sc_hd__nor2_2 _4870_ (.A(_1861_),
-    .B(_1871_),
+ sky130_fd_sc_hd__clkbuf_1 _4882_ (.A(_1991_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_1992_));
- sky130_fd_sc_hd__nor3b_1 _4871_ (.A(_1886_),
-    .B(_1986_),
-    .C_N(_1867_),
+    .X(_0253_));
+ sky130_fd_sc_hd__mux2_1 _4883_ (.A0(\u_async_wb.u_cmd_if.mem[3][32] ),
+    .A1(_1386_),
+    .S(_1989_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_1993_));
- sky130_fd_sc_hd__nand2_1 _4872_ (.A(_1856_),
-    .B(_1993_),
+    .X(_1992_));
+ sky130_fd_sc_hd__clkbuf_1 _4884_ (.A(_1992_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_1994_));
- sky130_fd_sc_hd__clkbuf_2 _4873_ (.A(_1994_),
+    .X(_0254_));
+ sky130_fd_sc_hd__mux2_1 _4885_ (.A0(\u_async_wb.u_cmd_if.mem[3][33] ),
+    .A1(_1389_),
+    .S(_1989_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1993_));
+ sky130_fd_sc_hd__clkbuf_1 _4886_ (.A(_1993_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0255_));
+ sky130_fd_sc_hd__buf_2 _4887_ (.A(_1978_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1994_));
+ sky130_fd_sc_hd__mux2_1 _4888_ (.A0(\u_async_wb.u_cmd_if.mem[3][34] ),
+    .A1(_1392_),
+    .S(_1994_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_1995_));
- sky130_fd_sc_hd__or4_2 _4874_ (.A(\u_uart2wb.u_msg.TxMsgSize[1] ),
+ sky130_fd_sc_hd__clkbuf_1 _4889_ (.A(_1995_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0256_));
+ sky130_fd_sc_hd__mux2_1 _4890_ (.A0(\u_async_wb.u_cmd_if.mem[3][35] ),
+    .A1(_1395_),
+    .S(_1994_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1996_));
+ sky130_fd_sc_hd__clkbuf_1 _4891_ (.A(_1996_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0257_));
+ sky130_fd_sc_hd__mux2_1 _4892_ (.A0(\u_async_wb.u_cmd_if.mem[3][36] ),
+    .A1(_1399_),
+    .S(_1994_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1997_));
+ sky130_fd_sc_hd__clkbuf_1 _4893_ (.A(_1997_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0258_));
+ sky130_fd_sc_hd__mux2_1 _4894_ (.A0(\u_async_wb.u_cmd_if.mem[3][37] ),
+    .A1(_1402_),
+    .S(_1994_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1998_));
+ sky130_fd_sc_hd__clkbuf_1 _4895_ (.A(_1998_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0259_));
+ sky130_fd_sc_hd__clkbuf_2 _4896_ (.A(_1505_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_1999_));
+ sky130_fd_sc_hd__clkbuf_2 _4897_ (.A(_1999_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2000_));
+ sky130_fd_sc_hd__mux2_1 _4898_ (.A0(\u_async_wb.u_cmd_if.mem[3][38] ),
+    .A1(_1405_),
+    .S(_2000_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2001_));
+ sky130_fd_sc_hd__clkbuf_1 _4899_ (.A(_2001_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0260_));
+ sky130_fd_sc_hd__mux2_1 _4900_ (.A0(\u_async_wb.u_cmd_if.mem[3][39] ),
+    .A1(_1409_),
+    .S(_2000_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2002_));
+ sky130_fd_sc_hd__clkbuf_1 _4901_ (.A(_2002_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0261_));
+ sky130_fd_sc_hd__mux2_1 _4902_ (.A0(\u_async_wb.u_cmd_if.mem[3][40] ),
+    .A1(_1416_),
+    .S(_2000_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2003_));
+ sky130_fd_sc_hd__clkbuf_1 _4903_ (.A(_2003_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0262_));
+ sky130_fd_sc_hd__mux2_1 _4904_ (.A0(\u_async_wb.u_cmd_if.mem[3][41] ),
+    .A1(_1418_),
+    .S(_2000_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2004_));
+ sky130_fd_sc_hd__clkbuf_1 _4905_ (.A(_2004_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0263_));
+ sky130_fd_sc_hd__clkbuf_2 _4906_ (.A(_1999_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2005_));
+ sky130_fd_sc_hd__mux2_1 _4907_ (.A0(\u_async_wb.u_cmd_if.mem[3][42] ),
+    .A1(_1420_),
+    .S(_2005_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2006_));
+ sky130_fd_sc_hd__clkbuf_1 _4908_ (.A(_2006_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0264_));
+ sky130_fd_sc_hd__mux2_1 _4909_ (.A0(\u_async_wb.u_cmd_if.mem[3][43] ),
+    .A1(_1422_),
+    .S(_2005_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2007_));
+ sky130_fd_sc_hd__clkbuf_1 _4910_ (.A(_2007_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0265_));
+ sky130_fd_sc_hd__mux2_1 _4911_ (.A0(\u_async_wb.u_cmd_if.mem[3][44] ),
+    .A1(_1428_),
+    .S(_2005_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2008_));
+ sky130_fd_sc_hd__clkbuf_1 _4912_ (.A(_2008_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0266_));
+ sky130_fd_sc_hd__mux2_1 _4913_ (.A0(\u_async_wb.u_cmd_if.mem[3][45] ),
+    .A1(_1430_),
+    .S(_2005_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2009_));
+ sky130_fd_sc_hd__clkbuf_1 _4914_ (.A(_2009_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0267_));
+ sky130_fd_sc_hd__clkbuf_2 _4915_ (.A(_1999_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2010_));
+ sky130_fd_sc_hd__mux2_1 _4916_ (.A0(\u_async_wb.u_cmd_if.mem[3][46] ),
+    .A1(_1432_),
+    .S(_2010_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2011_));
+ sky130_fd_sc_hd__clkbuf_1 _4917_ (.A(_2011_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0268_));
+ sky130_fd_sc_hd__mux2_1 _4918_ (.A0(\u_async_wb.u_cmd_if.mem[3][47] ),
+    .A1(_1434_),
+    .S(_2010_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2012_));
+ sky130_fd_sc_hd__clkbuf_1 _4919_ (.A(_2012_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0269_));
+ sky130_fd_sc_hd__mux2_1 _4920_ (.A0(\u_async_wb.u_cmd_if.mem[3][48] ),
+    .A1(_1438_),
+    .S(_2010_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2013_));
+ sky130_fd_sc_hd__clkbuf_1 _4921_ (.A(_2013_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0270_));
+ sky130_fd_sc_hd__mux2_1 _4922_ (.A0(\u_async_wb.u_cmd_if.mem[3][49] ),
+    .A1(_1440_),
+    .S(_2010_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2014_));
+ sky130_fd_sc_hd__clkbuf_1 _4923_ (.A(_2014_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0271_));
+ sky130_fd_sc_hd__buf_2 _4924_ (.A(_1999_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2015_));
+ sky130_fd_sc_hd__mux2_1 _4925_ (.A0(\u_async_wb.u_cmd_if.mem[3][50] ),
+    .A1(_1442_),
+    .S(_2015_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2016_));
+ sky130_fd_sc_hd__clkbuf_1 _4926_ (.A(_2016_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0272_));
+ sky130_fd_sc_hd__mux2_1 _4927_ (.A0(\u_async_wb.u_cmd_if.mem[3][51] ),
+    .A1(_1444_),
+    .S(_2015_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2017_));
+ sky130_fd_sc_hd__clkbuf_1 _4928_ (.A(_2017_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0273_));
+ sky130_fd_sc_hd__mux2_1 _4929_ (.A0(\u_async_wb.u_cmd_if.mem[3][52] ),
+    .A1(_1448_),
+    .S(_2015_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2018_));
+ sky130_fd_sc_hd__clkbuf_1 _4930_ (.A(_2018_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0274_));
+ sky130_fd_sc_hd__mux2_1 _4931_ (.A0(\u_async_wb.u_cmd_if.mem[3][53] ),
+    .A1(_1450_),
+    .S(_2015_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2019_));
+ sky130_fd_sc_hd__clkbuf_1 _4932_ (.A(_2019_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0275_));
+ sky130_fd_sc_hd__buf_2 _4933_ (.A(_1951_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2020_));
+ sky130_fd_sc_hd__mux2_1 _4934_ (.A0(\u_async_wb.u_cmd_if.mem[3][54] ),
+    .A1(_1452_),
+    .S(_2020_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2021_));
+ sky130_fd_sc_hd__clkbuf_1 _4935_ (.A(_2021_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0276_));
+ sky130_fd_sc_hd__mux2_1 _4936_ (.A0(\u_async_wb.u_cmd_if.mem[3][55] ),
+    .A1(_1454_),
+    .S(_2020_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2022_));
+ sky130_fd_sc_hd__clkbuf_1 _4937_ (.A(_2022_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0277_));
+ sky130_fd_sc_hd__mux2_1 _4938_ (.A0(\u_async_wb.u_cmd_if.mem[3][56] ),
+    .A1(_1458_),
+    .S(_2020_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2023_));
+ sky130_fd_sc_hd__clkbuf_1 _4939_ (.A(_2023_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0278_));
+ sky130_fd_sc_hd__mux2_1 _4940_ (.A0(\u_async_wb.u_cmd_if.mem[3][57] ),
+    .A1(_1460_),
+    .S(_2020_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2024_));
+ sky130_fd_sc_hd__clkbuf_1 _4941_ (.A(_2024_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0279_));
+ sky130_fd_sc_hd__buf_2 _4942_ (.A(_1951_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2025_));
+ sky130_fd_sc_hd__mux2_1 _4943_ (.A0(\u_async_wb.u_cmd_if.mem[3][58] ),
+    .A1(_1462_),
+    .S(_2025_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2026_));
+ sky130_fd_sc_hd__clkbuf_1 _4944_ (.A(_2026_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0280_));
+ sky130_fd_sc_hd__mux2_1 _4945_ (.A0(\u_async_wb.u_cmd_if.mem[3][59] ),
+    .A1(_1464_),
+    .S(_2025_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2027_));
+ sky130_fd_sc_hd__clkbuf_1 _4946_ (.A(_2027_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0281_));
+ sky130_fd_sc_hd__and2b_1 _4947_ (.A_N(_1507_),
+    .B(\u_async_wb.u_cmd_if.mem[3][60] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2028_));
+ sky130_fd_sc_hd__clkbuf_1 _4948_ (.A(_2028_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0282_));
+ sky130_fd_sc_hd__mux2_1 _4949_ (.A0(\u_async_wb.u_cmd_if.mem[3][61] ),
+    .A1(\u_async_wb.m_cmd_wr_data[61] ),
+    .S(_2025_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2029_));
+ sky130_fd_sc_hd__clkbuf_1 _4950_ (.A(_2029_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0283_));
+ sky130_fd_sc_hd__mux2_1 _4951_ (.A0(\u_async_wb.u_cmd_if.mem[3][62] ),
+    .A1(\u_async_wb.m_cmd_wr_data[62] ),
+    .S(_2025_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2030_));
+ sky130_fd_sc_hd__clkbuf_1 _4952_ (.A(_2030_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0284_));
+ sky130_fd_sc_hd__clkbuf_2 _4953_ (.A(_1951_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2031_));
+ sky130_fd_sc_hd__mux2_1 _4954_ (.A0(\u_async_wb.u_cmd_if.mem[3][63] ),
+    .A1(\u_async_wb.m_cmd_wr_data[63] ),
+    .S(_2031_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2032_));
+ sky130_fd_sc_hd__clkbuf_1 _4955_ (.A(_2032_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0285_));
+ sky130_fd_sc_hd__mux2_1 _4956_ (.A0(\u_async_wb.u_cmd_if.mem[3][64] ),
+    .A1(\u_async_wb.m_cmd_wr_data[64] ),
+    .S(_2031_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2033_));
+ sky130_fd_sc_hd__clkbuf_1 _4957_ (.A(_2033_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0286_));
+ sky130_fd_sc_hd__mux2_1 _4958_ (.A0(\u_async_wb.u_cmd_if.mem[3][65] ),
+    .A1(\u_async_wb.m_cmd_wr_data[65] ),
+    .S(_2031_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2034_));
+ sky130_fd_sc_hd__clkbuf_1 _4959_ (.A(_2034_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0287_));
+ sky130_fd_sc_hd__mux2_1 _4960_ (.A0(\u_async_wb.u_cmd_if.mem[3][66] ),
+    .A1(\u_async_wb.m_cmd_wr_data[66] ),
+    .S(_2031_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2035_));
+ sky130_fd_sc_hd__clkbuf_1 _4961_ (.A(_2035_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0288_));
+ sky130_fd_sc_hd__mux2_1 _4962_ (.A0(\u_async_wb.u_cmd_if.mem[3][67] ),
+    .A1(\u_async_wb.m_cmd_wr_data[67] ),
+    .S(_1506_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2036_));
+ sky130_fd_sc_hd__clkbuf_1 _4963_ (.A(_2036_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0289_));
+ sky130_fd_sc_hd__mux2_1 _4964_ (.A0(\u_async_wb.u_cmd_if.mem[3][68] ),
+    .A1(\u_async_wb.m_cmd_wr_data[68] ),
+    .S(_1506_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2037_));
+ sky130_fd_sc_hd__clkbuf_1 _4965_ (.A(_2037_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0290_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4966_ (.A(_1727_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2038_));
+ sky130_fd_sc_hd__clkbuf_1 _4967_ (.A(\u_uart2wb.u_msg.State[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2039_));
+ sky130_fd_sc_hd__or4b_4 _4968_ (.A(_1925_),
+    .B(_2038_),
+    .C(_2039_),
+    .D_N(_1906_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2040_));
+ sky130_fd_sc_hd__or3b_4 _4969_ (.A(_1911_),
+    .B(_1923_),
+    .C_N(_2040_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2041_));
+ sky130_fd_sc_hd__clkbuf_2 _4970_ (.A(_2041_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2042_));
+ sky130_fd_sc_hd__nor2_2 _4971_ (.A(_1911_),
+    .B(_1922_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2043_));
+ sky130_fd_sc_hd__nor3b_2 _4972_ (.A(_1925_),
+    .B(_2038_),
+    .C_N(_2039_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2044_));
+ sky130_fd_sc_hd__nand2_2 _4973_ (.A(_1906_),
+    .B(_2044_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2045_));
+ sky130_fd_sc_hd__clkbuf_1 _4974_ (.A(_2045_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2046_));
+ sky130_fd_sc_hd__or4_2 _4975_ (.A(\u_uart2wb.u_msg.TxMsgSize[1] ),
     .B(\u_uart2wb.u_msg.TxMsgSize[0] ),
     .C(\u_uart2wb.u_msg.TxMsgSize[3] ),
     .D(\u_uart2wb.u_msg.TxMsgSize[2] ),
@@ -76079,3972 +77046,3964 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1996_));
- sky130_fd_sc_hd__o21a_1 _4875_ (.A1(\u_uart2wb.u_msg.TxMsgSize[4] ),
-    .A2(_1996_),
+    .X(_2047_));
+ sky130_fd_sc_hd__o21a_1 _4976_ (.A1(\u_uart2wb.u_msg.TxMsgSize[4] ),
+    .A2(_2047_),
     .B1(\u_uart2wb.tx_rd ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_1997_));
- sky130_fd_sc_hd__o211ai_1 _4876_ (.A1(_1994_),
-    .A2(_1997_),
-    .B1(\u_arb.rstn ),
-    .C1(_1863_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_1998_));
- sky130_fd_sc_hd__clkbuf_2 _4877_ (.A(_1998_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_1999_));
- sky130_fd_sc_hd__a31oi_4 _4878_ (.A1(_1879_),
-    .A2(_1992_),
-    .A3(_1995_),
-    .B1(_1999_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2000_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4879_ (.A(_2000_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2001_));
- sky130_fd_sc_hd__mux2_1 _4880_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[5] ),
-    .A1(_1991_),
-    .S(_2001_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2002_));
- sky130_fd_sc_hd__clkbuf_1 _4881_ (.A(_2002_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0283_));
- sky130_fd_sc_hd__clkbuf_2 _4882_ (.A(_1857_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2003_));
- sky130_fd_sc_hd__clkbuf_2 _4883_ (.A(_1868_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2004_));
- sky130_fd_sc_hd__nor2_1 _4884_ (.A(_2003_),
-    .B(_2004_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2005_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4885_ (.A(_2005_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2006_));
- sky130_fd_sc_hd__buf_2 _4886_ (.A(_2006_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2007_));
- sky130_fd_sc_hd__clkbuf_2 _4887_ (.A(_2005_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2008_));
- sky130_fd_sc_hd__clkbuf_4 _4888_ (.A(_2008_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2009_));
- sky130_fd_sc_hd__nor2_1 _4889_ (.A(_2009_),
-    .B(_1990_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2010_));
- sky130_fd_sc_hd__a211o_1 _4890_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[5] ),
-    .A2(_2007_),
-    .B1(_2010_),
-    .C1(_1873_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2011_));
- sky130_fd_sc_hd__mux2_1 _4891_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[13] ),
-    .A1(_2011_),
-    .S(_2001_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2012_));
- sky130_fd_sc_hd__clkbuf_1 _4892_ (.A(_2012_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0284_));
- sky130_fd_sc_hd__a31o_1 _4893_ (.A1(_1874_),
-    .A2(_1992_),
-    .A3(_1995_),
-    .B1(_1999_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2013_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4894_ (.A(_2013_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2014_));
- sky130_fd_sc_hd__clkbuf_2 _4895_ (.A(_2000_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2015_));
- sky130_fd_sc_hd__inv_2 _4896_ (.A(_1865_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2016_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4897_ (.A(_1866_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2017_));
- sky130_fd_sc_hd__or3b_1 _4898_ (.A(_2016_),
-    .B(_1988_),
-    .C_N(_2017_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2018_));
- sky130_fd_sc_hd__nor2_1 _4899_ (.A(_1864_),
-    .B(_2018_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2019_));
- sky130_fd_sc_hd__or2_2 _4900_ (.A(_1989_),
-    .B(_2019_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2020_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4901_ (.A(_1999_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2021_));
- sky130_fd_sc_hd__nor2_1 _4902_ (.A(_1879_),
-    .B(_2021_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2022_));
- sky130_fd_sc_hd__a21o_1 _4903_ (.A1(_2015_),
-    .A2(_2020_),
-    .B1(_2022_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2023_));
- sky130_fd_sc_hd__a21o_1 _4904_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[18] ),
-    .A2(_2014_),
-    .B1(_2023_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0285_));
- sky130_fd_sc_hd__clkbuf_2 _4905_ (.A(_2001_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2024_));
- sky130_fd_sc_hd__clkbuf_2 _4906_ (.A(_2019_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2025_));
- sky130_fd_sc_hd__clkbuf_2 _4907_ (.A(_2008_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2026_));
- sky130_fd_sc_hd__clkbuf_2 _4908_ (.A(_2026_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2027_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4909_ (.A(_2013_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2028_));
- sky130_fd_sc_hd__clkbuf_2 _4910_ (.A(_1989_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2029_));
- sky130_fd_sc_hd__a211o_1 _4911_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[11] ),
-    .A2(_2027_),
-    .B1(_2028_),
-    .C1(_2029_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2030_));
- sky130_fd_sc_hd__o22a_1 _4912_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[17] ),
-    .A2(_2024_),
-    .B1(_2025_),
-    .B2(_2030_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0286_));
- sky130_fd_sc_hd__clkbuf_1 _4913_ (.A(_2013_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2031_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4914_ (.A(_2031_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2032_));
- sky130_fd_sc_hd__nor2_1 _4915_ (.A(_1995_),
-    .B(_1999_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2033_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4916_ (.A(_2033_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2034_));
- sky130_fd_sc_hd__a221o_1 _4917_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[20] ),
-    .A2(_2032_),
-    .B1(_2034_),
-    .B2(\u_uart2wb.u_msg.TxMsgBuf[12] ),
-    .C1(_2023_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0287_));
- sky130_fd_sc_hd__clkbuf_2 _4918_ (.A(_1994_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2035_));
- sky130_fd_sc_hd__clkbuf_2 _4919_ (.A(_2035_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2036_));
- sky130_fd_sc_hd__or2_1 _4920_ (.A(_2036_),
-    .B(_2021_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2037_));
- sky130_fd_sc_hd__o22a_1 _4921_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[21] ),
-    .A2(_2024_),
-    .B1(_2037_),
-    .B2(\u_uart2wb.u_msg.TxMsgBuf[13] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0288_));
- sky130_fd_sc_hd__nor3_1 _4922_ (.A(_1878_),
-    .B(_1879_),
-    .C(_2021_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2038_));
- sky130_fd_sc_hd__clkbuf_2 _4923_ (.A(_2038_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2039_));
- sky130_fd_sc_hd__a21o_1 _4924_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[12] ),
-    .A2(_2014_),
-    .B1(_2039_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0289_));
- sky130_fd_sc_hd__clkbuf_2 _4925_ (.A(_2022_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2040_));
- sky130_fd_sc_hd__a21o_1 _4926_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[24] ),
-    .A2(_2014_),
-    .B1(_2040_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0290_));
- sky130_fd_sc_hd__clkbuf_2 _4927_ (.A(_1880_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2041_));
- sky130_fd_sc_hd__and3b_1 _4928_ (.A_N(_2021_),
-    .B(_1859_),
-    .C(_2041_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2042_));
- sky130_fd_sc_hd__clkbuf_2 _4929_ (.A(_2042_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2043_));
- sky130_fd_sc_hd__a221o_1 _4930_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[26] ),
-    .A2(_2032_),
-    .B1(_2034_),
-    .B2(\u_uart2wb.u_msg.TxMsgBuf[18] ),
-    .C1(_2043_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0291_));
- sky130_fd_sc_hd__clkbuf_1 _4931_ (.A(_2033_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2044_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4932_ (.A(_2044_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2045_));
- sky130_fd_sc_hd__a221o_1 _4933_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[25] ),
-    .A2(_2032_),
-    .B1(_2045_),
-    .B2(net207),
-    .C1(_2043_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0292_));
- sky130_fd_sc_hd__clkbuf_2 _4934_ (.A(_2028_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2046_));
- sky130_fd_sc_hd__a221o_1 _4935_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[28] ),
-    .A2(_2046_),
-    .B1(_2045_),
-    .B2(\u_uart2wb.u_msg.TxMsgBuf[20] ),
-    .C1(_2043_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0293_));
- sky130_fd_sc_hd__o22a_1 _4936_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[29] ),
-    .A2(_2024_),
-    .B1(_2037_),
-    .B2(\u_uart2wb.u_msg.TxMsgBuf[21] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0294_));
- sky130_fd_sc_hd__a221o_1 _4937_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[11] ),
-    .A2(_2046_),
-    .B1(_2045_),
-    .B2(\u_uart2wb.u_msg.TxMsgBuf[12] ),
-    .C1(_2040_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0295_));
- sky130_fd_sc_hd__a221o_1 _4938_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[32] ),
-    .A2(_2046_),
-    .B1(_2045_),
-    .B2(\u_uart2wb.u_msg.TxMsgBuf[24] ),
-    .C1(_2039_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0296_));
- sky130_fd_sc_hd__clkbuf_2 _4939_ (.A(_2044_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2047_));
- sky130_fd_sc_hd__a221o_1 _4940_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[33] ),
-    .A2(_2046_),
-    .B1(_2047_),
-    .B2(\u_uart2wb.u_msg.TxMsgBuf[25] ),
-    .C1(_2043_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0297_));
- sky130_fd_sc_hd__clkbuf_2 _4941_ (.A(_2031_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
     .X(_2048_));
- sky130_fd_sc_hd__a221o_1 _4942_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[34] ),
+ sky130_fd_sc_hd__o211ai_4 _4977_ (.A1(_2045_),
     .A2(_2048_),
-    .B1(_2047_),
-    .B2(\u_uart2wb.u_msg.TxMsgBuf[26] ),
-    .C1(_2040_),
+    .B1(net186),
+    .C1(_1912_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0298_));
- sky130_fd_sc_hd__or2_1 _4943_ (.A(_2038_),
-    .B(_2042_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2049_));
- sky130_fd_sc_hd__a221o_1 _4944_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[35] ),
-    .A2(_2048_),
-    .B1(_2047_),
-    .B2(\u_uart2wb.u_msg.TxMsgBuf[25] ),
-    .C1(_2049_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0299_));
- sky130_fd_sc_hd__buf_2 _4945_ (.A(_1878_),
+    .Y(_2049_));
+ sky130_fd_sc_hd__clkbuf_1 _4978_ (.A(_2049_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2050_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4946_ (.A(_1993_),
+ sky130_fd_sc_hd__a31oi_1 _4979_ (.A1(_1927_),
+    .A2(_2043_),
+    .A3(_2046_),
+    .B1(_2050_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2051_));
- sky130_fd_sc_hd__clkbuf_2 _4947_ (.A(_2051_),
+    .Y(_2051_));
+ sky130_fd_sc_hd__clkbuf_2 _4980_ (.A(_2051_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2052_));
- sky130_fd_sc_hd__a31o_1 _4948_ (.A1(_2050_),
-    .A2(\u_uart2wb.u_msg.TxMsgBuf[28] ),
-    .A3(_2052_),
-    .B1(_2029_),
+ sky130_fd_sc_hd__mux2_1 _4981_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[5] ),
+    .A1(_2042_),
+    .S(_2052_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2053_));
- sky130_fd_sc_hd__mux2_1 _4949_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[36] ),
-    .A1(_2053_),
-    .S(_2015_),
+ sky130_fd_sc_hd__clkbuf_1 _4982_ (.A(_2053_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2054_));
- sky130_fd_sc_hd__clkbuf_1 _4950_ (.A(_2054_),
+    .X(_0291_));
+ sky130_fd_sc_hd__nor2_1 _4983_ (.A(_1908_),
+    .B(_1918_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0300_));
- sky130_fd_sc_hd__nor2_2 _4951_ (.A(_2003_),
-    .B(_2018_),
+    .Y(_2054_));
+ sky130_fd_sc_hd__clkbuf_1 _4984_ (.A(_2054_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2055_));
- sky130_fd_sc_hd__or2_4 _4952_ (.A(_1872_),
-    .B(_1989_),
+    .X(_2055_));
+ sky130_fd_sc_hd__buf_2 _4985_ (.A(_2055_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2056_));
- sky130_fd_sc_hd__or2_2 _4953_ (.A(_2055_),
-    .B(_2056_),
+ sky130_fd_sc_hd__buf_2 _4986_ (.A(_2054_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2057_));
- sky130_fd_sc_hd__clkbuf_4 _4954_ (.A(_2057_),
+ sky130_fd_sc_hd__nor2_1 _4987_ (.A(_2057_),
+    .B(_2041_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2058_));
- sky130_fd_sc_hd__o21a_1 _4955_ (.A1(_2010_),
-    .A2(_2058_),
-    .B1(_2001_),
+    .Y(_2058_));
+ sky130_fd_sc_hd__a211o_1 _4988_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[5] ),
+    .A2(_2056_),
+    .B1(_2058_),
+    .C1(_1924_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2059_));
- sky130_fd_sc_hd__a221o_1 _4956_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[37] ),
-    .A2(_2048_),
-    .B1(_2047_),
-    .B2(\u_uart2wb.u_msg.TxMsgBuf[29] ),
-    .C1(_2059_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0301_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4957_ (.A(_2015_),
+ sky130_fd_sc_hd__mux2_1 _4989_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[13] ),
+    .A1(_2059_),
+    .S(_2052_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2060_));
- sky130_fd_sc_hd__o22a_1 _4958_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[38] ),
-    .A2(_2060_),
-    .B1(_2030_),
-    .B2(_2040_),
+ sky130_fd_sc_hd__clkbuf_1 _4990_ (.A(_2060_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0302_));
- sky130_fd_sc_hd__clkbuf_2 _4959_ (.A(_2044_),
+    .X(_0292_));
+ sky130_fd_sc_hd__a31o_1 _4991_ (.A1(_1927_),
+    .A2(_2043_),
+    .A3(_2046_),
+    .B1(_2050_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2061_));
- sky130_fd_sc_hd__and2_1 _4960_ (.A(\u_uart2wb.u_msg.TxMsgBuf[40] ),
-    .B(_2031_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4992_ (.A(_2061_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2062_));
- sky130_fd_sc_hd__a221o_1 _4961_ (.A1(_2060_),
-    .A2(_2020_),
-    .B1(_2061_),
-    .B2(\u_uart2wb.u_msg.TxMsgBuf[32] ),
-    .C1(_2062_),
+ sky130_fd_sc_hd__nor2_2 _4993_ (.A(_1908_),
+    .B(_1926_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0303_));
- sky130_fd_sc_hd__a221o_1 _4962_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[41] ),
-    .A2(_2048_),
-    .B1(_2061_),
-    .B2(\u_uart2wb.u_msg.TxMsgBuf[33] ),
-    .C1(_2049_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0304_));
- sky130_fd_sc_hd__a22o_1 _4963_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[42] ),
-    .A2(_2014_),
-    .B1(_2034_),
-    .B2(\u_uart2wb.u_msg.TxMsgBuf[34] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0305_));
- sky130_fd_sc_hd__a22o_1 _4964_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[43] ),
-    .A2(_2032_),
-    .B1(_2034_),
-    .B2(\u_uart2wb.u_msg.TxMsgBuf[35] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0306_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4965_ (.A(_2031_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2063_));
- sky130_fd_sc_hd__a221o_1 _4966_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[44] ),
-    .A2(_2063_),
-    .B1(_2061_),
-    .B2(\u_uart2wb.u_msg.TxMsgBuf[36] ),
-    .C1(_2049_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0307_));
- sky130_fd_sc_hd__o22a_1 _4967_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[45] ),
-    .A2(_2060_),
-    .B1(_2037_),
-    .B2(\u_uart2wb.u_msg.TxMsgBuf[37] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0308_));
- sky130_fd_sc_hd__a221o_1 _4968_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[46] ),
-    .A2(_2063_),
-    .B1(_2061_),
-    .B2(\u_uart2wb.u_msg.TxMsgBuf[38] ),
-    .C1(_2023_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0309_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4969_ (.A(_2033_),
+    .Y(_2063_));
+ sky130_fd_sc_hd__clkbuf_1 _4994_ (.A(_2039_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2064_));
- sky130_fd_sc_hd__a221o_1 _4970_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[48] ),
-    .A2(_2063_),
-    .B1(_2064_),
-    .B2(\u_uart2wb.u_msg.TxMsgBuf[40] ),
-    .C1(_2049_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0310_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4971_ (.A(_1988_),
+ sky130_fd_sc_hd__clkbuf_1 _4995_ (.A(_2038_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2065_));
- sky130_fd_sc_hd__and3b_1 _4972_ (.A_N(_2065_),
-    .B(_1887_),
-    .C(_1858_),
+ sky130_fd_sc_hd__nand3b_2 _4996_ (.A_N(_2064_),
+    .B(_2065_),
+    .C(_1939_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2066_));
- sky130_fd_sc_hd__and2_1 _4973_ (.A(_2066_),
-    .B(_2000_),
+    .Y(_2066_));
+ sky130_fd_sc_hd__nor2_2 _4997_ (.A(_1913_),
+    .B(_2066_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2067_));
- sky130_fd_sc_hd__and2_1 _4974_ (.A(\u_uart2wb.u_msg.TxMsgBuf[49] ),
-    .B(_2013_),
+    .Y(_2067_));
+ sky130_fd_sc_hd__or2_4 _4998_ (.A(_2063_),
+    .B(_2067_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2068_));
- sky130_fd_sc_hd__a2111o_1 _4975_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[41] ),
-    .A2(_2064_),
-    .B1(_2039_),
-    .C1(_2067_),
-    .D1(_2068_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0311_));
- sky130_fd_sc_hd__a211o_1 _4976_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[42] ),
-    .A2(_2007_),
-    .B1(_2056_),
-    .C1(_2010_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _4999_ (.A(_2049_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2069_));
- sky130_fd_sc_hd__mux2_1 _4977_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[50] ),
-    .A1(_2069_),
-    .S(_2015_),
+ sky130_fd_sc_hd__nor2_2 _5000_ (.A(_1933_),
+    .B(_2069_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2070_));
- sky130_fd_sc_hd__clkbuf_1 _4978_ (.A(_2070_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0312_));
- sky130_fd_sc_hd__buf_2 _4979_ (.A(_1872_),
+    .Y(_2070_));
+ sky130_fd_sc_hd__a21o_1 _5001_ (.A1(_2052_),
+    .A2(_2068_),
+    .B1(_2070_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2071_));
- sky130_fd_sc_hd__a221o_1 _4980_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[51] ),
-    .A2(_2028_),
-    .B1(_2044_),
-    .B2(\u_uart2wb.u_msg.TxMsgBuf[43] ),
-    .C1(_2038_),
+ sky130_fd_sc_hd__a21o_1 _5002_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[18] ),
+    .A2(_2062_),
+    .B1(_2071_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0293_));
+ sky130_fd_sc_hd__clkbuf_2 _5003_ (.A(_2061_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2072_));
- sky130_fd_sc_hd__a21o_1 _4981_ (.A1(_2071_),
-    .A2(_2024_),
-    .B1(_2072_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0313_));
- sky130_fd_sc_hd__a221o_1 _4982_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[52] ),
-    .A2(_2063_),
-    .B1(_2064_),
-    .B2(\u_uart2wb.u_msg.TxMsgBuf[44] ),
-    .C1(_2067_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0314_));
- sky130_fd_sc_hd__o22a_1 _4983_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[53] ),
-    .A2(_2060_),
-    .B1(_2037_),
-    .B2(\u_uart2wb.u_msg.TxMsgBuf[45] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0315_));
- sky130_fd_sc_hd__a221o_1 _4984_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[54] ),
-    .A2(_2028_),
-    .B1(_2064_),
-    .B2(\u_uart2wb.u_msg.TxMsgBuf[46] ),
-    .C1(_2023_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0316_));
- sky130_fd_sc_hd__clkbuf_2 _4985_ (.A(\u_uart2wb.reg_rdata[4] ),
+ sky130_fd_sc_hd__clkbuf_2 _5004_ (.A(_2046_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2073_));
- sky130_fd_sc_hd__inv_2 _4986_ (.A(\u_uart2wb.reg_rdata[7] ),
+ sky130_fd_sc_hd__nor2_1 _5005_ (.A(_2073_),
+    .B(_2050_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_2074_));
- sky130_fd_sc_hd__nand2_1 _4987_ (.A(_1857_),
-    .B(_2051_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5006_ (.A(_2074_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2075_));
- sky130_fd_sc_hd__buf_2 _4988_ (.A(_2075_),
+    .X(_2075_));
+ sky130_fd_sc_hd__nor2_1 _5007_ (.A(_2069_),
+    .B(_2040_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2076_));
- sky130_fd_sc_hd__or2_1 _4989_ (.A(\u_uart2wb.reg_rdata[5] ),
-    .B(\u_uart2wb.reg_rdata[6] ),
+    .Y(_2076_));
+ sky130_fd_sc_hd__a21o_1 _5008_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[11] ),
+    .A2(_2075_),
+    .B1(_2076_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2077_));
- sky130_fd_sc_hd__or3b_2 _4990_ (.A(_2074_),
-    .B(_2076_),
-    .C_N(_2077_),
+ sky130_fd_sc_hd__buf_2 _5009_ (.A(_1921_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2078_));
- sky130_fd_sc_hd__clkbuf_2 _4991_ (.A(_2075_),
+ sky130_fd_sc_hd__and3b_2 _5010_ (.A_N(_2050_),
+    .B(_1909_),
+    .C(_2078_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2079_));
- sky130_fd_sc_hd__a21oi_1 _4992_ (.A1(\u_uart2wb.reg_rdata[7] ),
-    .A2(_2077_),
-    .B1(_2079_),
+ sky130_fd_sc_hd__clkbuf_1 _5011_ (.A(_2079_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2080_));
- sky130_fd_sc_hd__a221o_1 _4993_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[64] ),
-    .A2(_2008_),
-    .B1(_2080_),
-    .B2(_2073_),
-    .C1(_1880_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2081_));
- sky130_fd_sc_hd__o21bai_1 _4994_ (.A1(_2073_),
-    .A2(_2078_),
-    .B1_N(_2081_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2082_));
- sky130_fd_sc_hd__and3_1 _4995_ (.A(_1868_),
-    .B(_1874_),
-    .C(_1992_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2083_));
- sky130_fd_sc_hd__nor2_1 _4996_ (.A(_1998_),
-    .B(_2083_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2084_));
- sky130_fd_sc_hd__clkbuf_1 _4997_ (.A(_2084_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2085_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _4998_ (.A(_2085_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2086_));
- sky130_fd_sc_hd__clkbuf_4 _4999_ (.A(_2086_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2087_));
- sky130_fd_sc_hd__mux2_1 _5000_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[72] ),
-    .A1(_2082_),
-    .S(_2087_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2088_));
- sky130_fd_sc_hd__clkbuf_1 _5001_ (.A(_2088_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0317_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5002_ (.A(_2085_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2089_));
- sky130_fd_sc_hd__clkbuf_1 _5003_ (.A(_2089_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2090_));
- sky130_fd_sc_hd__clkbuf_2 _5004_ (.A(_2090_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2091_));
- sky130_fd_sc_hd__clkbuf_2 _5005_ (.A(_2083_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2092_));
- sky130_fd_sc_hd__or2_1 _5006_ (.A(_1998_),
-    .B(_2092_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2093_));
- sky130_fd_sc_hd__clkbuf_1 _5007_ (.A(_2093_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2094_));
- sky130_fd_sc_hd__clkbuf_2 _5008_ (.A(_2094_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2095_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5009_ (.A(\u_uart2wb.reg_rdata[5] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2096_));
- sky130_fd_sc_hd__nor2_1 _5010_ (.A(_2096_),
-    .B(\u_uart2wb.reg_rdata[4] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2097_));
- sky130_fd_sc_hd__o21a_1 _5011_ (.A1(\u_uart2wb.reg_rdata[4] ),
-    .A2(_2074_),
-    .B1(_2096_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2098_));
- sky130_fd_sc_hd__a31o_1 _5012_ (.A1(\u_uart2wb.reg_rdata[6] ),
-    .A2(\u_uart2wb.reg_rdata[7] ),
-    .A3(_2097_),
-    .B1(_2098_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2099_));
- sky130_fd_sc_hd__buf_2 _5013_ (.A(_1869_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2100_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5014_ (.A(_2100_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2101_));
- sky130_fd_sc_hd__a22o_1 _5015_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[65] ),
-    .A2(_2026_),
-    .B1(_2099_),
-    .B2(_2101_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2102_));
- sky130_fd_sc_hd__or3_1 _5016_ (.A(_1991_),
-    .B(_2095_),
-    .C(_2102_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2103_));
- sky130_fd_sc_hd__o21a_1 _5017_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[73] ),
-    .A2(_2091_),
-    .B1(_2103_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0318_));
- sky130_fd_sc_hd__clkbuf_2 _5018_ (.A(_2006_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2104_));
- sky130_fd_sc_hd__clkbuf_2 _5019_ (.A(_1870_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2105_));
- sky130_fd_sc_hd__o311a_1 _5020_ (.A1(_2096_),
-    .A2(_2073_),
-    .A3(_2074_),
-    .B1(_2105_),
-    .C1(\u_uart2wb.reg_rdata[6] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2106_));
- sky130_fd_sc_hd__nor2_2 _5021_ (.A(_2041_),
-    .B(_2052_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2107_));
- sky130_fd_sc_hd__a211o_1 _5022_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[66] ),
-    .A2(_2104_),
-    .B1(_2106_),
-    .C1(_2107_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2108_));
- sky130_fd_sc_hd__mux2_1 _5023_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[74] ),
-    .A1(_2108_),
-    .S(_2087_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2109_));
- sky130_fd_sc_hd__clkbuf_1 _5024_ (.A(_2109_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0319_));
- sky130_fd_sc_hd__buf_2 _5025_ (.A(_2079_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2110_));
- sky130_fd_sc_hd__nor3_1 _5026_ (.A(_2074_),
-    .B(_2110_),
-    .C(_2077_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2111_));
- sky130_fd_sc_hd__a211o_1 _5027_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[67] ),
-    .A2(_2104_),
-    .B1(_2056_),
-    .C1(_2111_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2112_));
- sky130_fd_sc_hd__clkbuf_2 _5028_ (.A(_2086_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2113_));
- sky130_fd_sc_hd__mux2_1 _5029_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[75] ),
-    .A1(_2112_),
-    .S(_2113_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2114_));
- sky130_fd_sc_hd__clkbuf_1 _5030_ (.A(_2114_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0320_));
- sky130_fd_sc_hd__a211o_1 _5031_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[68] ),
-    .A2(_2104_),
-    .B1(_2058_),
+    .X(_2080_));
+ sky130_fd_sc_hd__a211o_1 _5012_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[17] ),
+    .A2(_2072_),
+    .B1(_2077_),
     .C1(_2080_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2115_));
- sky130_fd_sc_hd__mux2_1 _5032_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[76] ),
-    .A1(_2115_),
-    .S(_2113_),
+    .X(_0294_));
+ sky130_fd_sc_hd__clkbuf_1 _5013_ (.A(_2074_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2116_));
- sky130_fd_sc_hd__clkbuf_1 _5033_ (.A(_2116_),
+    .X(_2081_));
+ sky130_fd_sc_hd__clkbuf_2 _5014_ (.A(_2081_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2082_));
+ sky130_fd_sc_hd__a221o_1 _5015_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[20] ),
+    .A2(_2072_),
+    .B1(_2082_),
+    .B2(\u_uart2wb.u_msg.TxMsgBuf[12] ),
+    .C1(_2071_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0295_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5016_ (.A(_2051_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2083_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5017_ (.A(_2083_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2084_));
+ sky130_fd_sc_hd__clkbuf_2 _5018_ (.A(_2073_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2085_));
+ sky130_fd_sc_hd__or2_1 _5019_ (.A(_2085_),
+    .B(_2069_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2086_));
+ sky130_fd_sc_hd__o22a_1 _5020_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[21] ),
+    .A2(_2084_),
+    .B1(_2086_),
+    .B2(\u_uart2wb.u_msg.TxMsgBuf[13] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0296_));
+ sky130_fd_sc_hd__nor3_2 _5021_ (.A(_1932_),
+    .B(_1933_),
+    .C(_2069_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2087_));
+ sky130_fd_sc_hd__buf_2 _5022_ (.A(_2087_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2088_));
+ sky130_fd_sc_hd__a21o_1 _5023_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[12] ),
+    .A2(_2062_),
+    .B1(_2088_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0297_));
+ sky130_fd_sc_hd__a21o_1 _5024_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[24] ),
+    .A2(_2062_),
+    .B1(_2070_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0298_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5025_ (.A(_2061_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2089_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5026_ (.A(_2089_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2090_));
+ sky130_fd_sc_hd__a221o_1 _5027_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[26] ),
+    .A2(_2090_),
+    .B1(_2082_),
+    .B2(\u_uart2wb.u_msg.TxMsgBuf[18] ),
+    .C1(_2080_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0299_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5028_ (.A(_2075_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2091_));
+ sky130_fd_sc_hd__a221o_1 _5029_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[25] ),
+    .A2(_2090_),
+    .B1(_2091_),
+    .B2(\u_uart2wb.u_msg.TxMsgBuf[17] ),
+    .C1(_2080_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0300_));
+ sky130_fd_sc_hd__a221o_1 _5030_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[28] ),
+    .A2(_2090_),
+    .B1(_2091_),
+    .B2(\u_uart2wb.u_msg.TxMsgBuf[20] ),
+    .C1(_2080_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0301_));
+ sky130_fd_sc_hd__o22a_1 _5031_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[29] ),
+    .A2(_2084_),
+    .B1(_2086_),
+    .B2(\u_uart2wb.u_msg.TxMsgBuf[21] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0302_));
+ sky130_fd_sc_hd__a221o_1 _5032_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[11] ),
+    .A2(_2090_),
+    .B1(_2091_),
+    .B2(\u_uart2wb.u_msg.TxMsgBuf[12] ),
+    .C1(_2070_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0303_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5033_ (.A(_2089_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2092_));
+ sky130_fd_sc_hd__a221o_1 _5034_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[32] ),
+    .A2(_2092_),
+    .B1(_2091_),
+    .B2(\u_uart2wb.u_msg.TxMsgBuf[24] ),
+    .C1(_2088_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0304_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5035_ (.A(_2081_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2093_));
+ sky130_fd_sc_hd__a221o_1 _5036_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[33] ),
+    .A2(_2092_),
+    .B1(_2093_),
+    .B2(\u_uart2wb.u_msg.TxMsgBuf[25] ),
+    .C1(_2079_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0305_));
+ sky130_fd_sc_hd__a221o_1 _5037_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[34] ),
+    .A2(_2092_),
+    .B1(_2093_),
+    .B2(\u_uart2wb.u_msg.TxMsgBuf[26] ),
+    .C1(_2070_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0306_));
+ sky130_fd_sc_hd__or2_1 _5038_ (.A(_2079_),
+    .B(_2087_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2094_));
+ sky130_fd_sc_hd__a221o_1 _5039_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[35] ),
+    .A2(_2092_),
+    .B1(_2093_),
+    .B2(\u_uart2wb.u_msg.TxMsgBuf[25] ),
+    .C1(_2094_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0307_));
+ sky130_fd_sc_hd__clkbuf_1 _5040_ (.A(_2061_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2095_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5041_ (.A(_2095_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2096_));
+ sky130_fd_sc_hd__a221o_1 _5042_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[36] ),
+    .A2(_2096_),
+    .B1(_2093_),
+    .B2(\u_uart2wb.u_msg.TxMsgBuf[28] ),
+    .C1(_2076_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0308_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5043_ (.A(_2081_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2097_));
+ sky130_fd_sc_hd__clkbuf_2 _5044_ (.A(_1907_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2098_));
+ sky130_fd_sc_hd__nor2_2 _5045_ (.A(_2098_),
+    .B(_2066_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2099_));
+ sky130_fd_sc_hd__or2_2 _5046_ (.A(_1923_),
+    .B(_2063_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2100_));
+ sky130_fd_sc_hd__or2_1 _5047_ (.A(_2099_),
+    .B(_2100_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2101_));
+ sky130_fd_sc_hd__buf_2 _5048_ (.A(_2101_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2102_));
+ sky130_fd_sc_hd__o21a_1 _5049_ (.A1(_2058_),
+    .A2(_2102_),
+    .B1(_2083_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2103_));
+ sky130_fd_sc_hd__a221o_1 _5050_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[37] ),
+    .A2(_2096_),
+    .B1(_2097_),
+    .B2(\u_uart2wb.u_msg.TxMsgBuf[29] ),
+    .C1(_2103_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0309_));
+ sky130_fd_sc_hd__a211o_1 _5051_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[38] ),
+    .A2(_2072_),
+    .B1(_2077_),
+    .C1(_2088_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0310_));
+ sky130_fd_sc_hd__and2_1 _5052_ (.A(\u_uart2wb.u_msg.TxMsgBuf[40] ),
+    .B(_2095_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2104_));
+ sky130_fd_sc_hd__a221o_1 _5053_ (.A1(_2083_),
+    .A2(_2068_),
+    .B1(_2097_),
+    .B2(\u_uart2wb.u_msg.TxMsgBuf[32] ),
+    .C1(_2104_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0311_));
+ sky130_fd_sc_hd__a221o_1 _5054_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[41] ),
+    .A2(_2096_),
+    .B1(_2097_),
+    .B2(\u_uart2wb.u_msg.TxMsgBuf[33] ),
+    .C1(_2094_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0312_));
+ sky130_fd_sc_hd__a22o_1 _5055_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[42] ),
+    .A2(_2062_),
+    .B1(_2082_),
+    .B2(\u_uart2wb.u_msg.TxMsgBuf[34] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0313_));
+ sky130_fd_sc_hd__a22o_1 _5056_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[43] ),
+    .A2(_2072_),
+    .B1(_2082_),
+    .B2(\u_uart2wb.u_msg.TxMsgBuf[35] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0314_));
+ sky130_fd_sc_hd__a221o_1 _5057_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[44] ),
+    .A2(_2096_),
+    .B1(_2097_),
+    .B2(\u_uart2wb.u_msg.TxMsgBuf[36] ),
+    .C1(_2094_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0315_));
+ sky130_fd_sc_hd__o22a_1 _5058_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[45] ),
+    .A2(_2084_),
+    .B1(_2086_),
+    .B2(\u_uart2wb.u_msg.TxMsgBuf[37] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0316_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5059_ (.A(_2095_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2105_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5060_ (.A(_2081_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2106_));
+ sky130_fd_sc_hd__a221o_1 _5061_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[46] ),
+    .A2(_2105_),
+    .B1(_2106_),
+    .B2(\u_uart2wb.u_msg.TxMsgBuf[38] ),
+    .C1(_2071_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0317_));
+ sky130_fd_sc_hd__a221o_1 _5062_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[48] ),
+    .A2(_2105_),
+    .B1(_2106_),
+    .B2(\u_uart2wb.u_msg.TxMsgBuf[40] ),
+    .C1(_2094_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0318_));
+ sky130_fd_sc_hd__inv_2 _5063_ (.A(_1914_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2107_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5064_ (.A(_2064_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2108_));
+ sky130_fd_sc_hd__or3_4 _5065_ (.A(_2107_),
+    .B(_1931_),
+    .C(_2108_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2109_));
+ sky130_fd_sc_hd__nor2_1 _5066_ (.A(_2109_),
+    .B(_2089_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2110_));
+ sky130_fd_sc_hd__and2_1 _5067_ (.A(\u_uart2wb.u_msg.TxMsgBuf[49] ),
+    .B(_2095_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2111_));
+ sky130_fd_sc_hd__a2111o_1 _5068_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[41] ),
+    .A2(_2075_),
+    .B1(_2110_),
+    .C1(_2087_),
+    .D1(_2111_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0319_));
+ sky130_fd_sc_hd__a211o_1 _5069_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[42] ),
+    .A2(_2056_),
+    .B1(_2100_),
+    .C1(_2058_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2112_));
+ sky130_fd_sc_hd__mux2_1 _5070_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[50] ),
+    .A1(_2112_),
+    .S(_2052_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2113_));
+ sky130_fd_sc_hd__clkbuf_1 _5071_ (.A(_2113_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0320_));
+ sky130_fd_sc_hd__buf_2 _5072_ (.A(_1923_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2114_));
+ sky130_fd_sc_hd__a221o_1 _5073_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[51] ),
+    .A2(_2089_),
+    .B1(_2075_),
+    .B2(\u_uart2wb.u_msg.TxMsgBuf[43] ),
+    .C1(_2087_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2115_));
+ sky130_fd_sc_hd__a21o_1 _5074_ (.A1(_2114_),
+    .A2(_2084_),
+    .B1(_2115_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0321_));
- sky130_fd_sc_hd__clkbuf_2 _5034_ (.A(_2094_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2117_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5035_ (.A(_2117_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2118_));
- sky130_fd_sc_hd__clkbuf_2 _5036_ (.A(_2035_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2119_));
- sky130_fd_sc_hd__clkbuf_1 _5037_ (.A(_2089_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2120_));
- sky130_fd_sc_hd__o211a_1 _5038_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[69] ),
-    .A2(_2119_),
-    .B1(_2120_),
-    .C1(_2078_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2121_));
- sky130_fd_sc_hd__a21o_1 _5039_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[77] ),
-    .A2(_2118_),
-    .B1(_2121_),
+ sky130_fd_sc_hd__a221o_1 _5075_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[52] ),
+    .A2(_2105_),
+    .B1(_2106_),
+    .B2(\u_uart2wb.u_msg.TxMsgBuf[44] ),
+    .C1(_2110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0322_));
- sky130_fd_sc_hd__buf_2 _5040_ (.A(_1880_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2122_));
- sky130_fd_sc_hd__nor2_1 _5041_ (.A(_2052_),
-    .B(_1990_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2123_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5042_ (.A(_2123_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2124_));
- sky130_fd_sc_hd__inv_2 _5043_ (.A(\u_uart2wb.u_msg.TxMsgBuf[70] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2125_));
- sky130_fd_sc_hd__o211ai_1 _5044_ (.A1(_2125_),
-    .A2(_2119_),
-    .B1(_2120_),
-    .C1(_2078_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2126_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5045_ (.A(_2087_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2127_));
- sky130_fd_sc_hd__o32a_1 _5046_ (.A1(_2122_),
-    .A2(_2124_),
-    .A3(_2126_),
-    .B1(_2127_),
-    .B2(\u_uart2wb.u_msg.TxMsgBuf[78] ),
+ sky130_fd_sc_hd__o22a_1 _5076_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[53] ),
+    .A2(_2083_),
+    .B1(_2086_),
+    .B2(\u_uart2wb.u_msg.TxMsgBuf[45] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0323_));
- sky130_fd_sc_hd__clkbuf_2 _5047_ (.A(_2094_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2128_));
- sky130_fd_sc_hd__clkbuf_2 _5048_ (.A(\u_uart2wb.reg_rdata[8] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2129_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5049_ (.A(\u_uart2wb.reg_rdata[11] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2130_));
- sky130_fd_sc_hd__o21a_2 _5050_ (.A1(\u_uart2wb.reg_rdata[9] ),
-    .A2(\u_uart2wb.reg_rdata[10] ),
-    .B1(_2130_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2131_));
- sky130_fd_sc_hd__or2_1 _5051_ (.A(_2129_),
-    .B(_2131_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2132_));
- sky130_fd_sc_hd__nand2_1 _5052_ (.A(_2129_),
-    .B(_2131_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2133_));
- sky130_fd_sc_hd__clkbuf_1 _5053_ (.A(_2005_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2134_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5054_ (.A(_2134_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2135_));
- sky130_fd_sc_hd__a32o_1 _5055_ (.A1(_2101_),
-    .A2(_2132_),
-    .A3(_2133_),
-    .B1(_2135_),
-    .B2(\u_uart2wb.u_msg.TxMsgBuf[72] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2136_));
- sky130_fd_sc_hd__or3_1 _5056_ (.A(_2025_),
-    .B(_2128_),
-    .C(_2136_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2137_));
- sky130_fd_sc_hd__o21a_1 _5057_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[80] ),
-    .A2(_2091_),
-    .B1(_2137_),
+ sky130_fd_sc_hd__a221o_1 _5077_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[54] ),
+    .A2(_2105_),
+    .B1(_2106_),
+    .B2(\u_uart2wb.u_msg.TxMsgBuf[46] ),
+    .C1(_2071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0324_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5058_ (.A(\u_uart2wb.reg_rdata[10] ),
+ sky130_fd_sc_hd__clkbuf_2 _5078_ (.A(_2055_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2138_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5059_ (.A(\u_uart2wb.reg_rdata[9] ),
+    .X(_2116_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5079_ (.A(\u_uart2wb.reg_rdata[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2139_));
- sky130_fd_sc_hd__nor2_1 _5060_ (.A(_2139_),
-    .B(\u_uart2wb.reg_rdata[8] ),
+    .X(_2117_));
+ sky130_fd_sc_hd__or2_1 _5080_ (.A(\u_uart2wb.reg_rdata[5] ),
+    .B(\u_uart2wb.reg_rdata[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2140_));
- sky130_fd_sc_hd__inv_2 _5061_ (.A(\u_uart2wb.reg_rdata[11] ),
+    .X(_2118_));
+ sky130_fd_sc_hd__and3_1 _5081_ (.A(_2117_),
+    .B(_1919_),
+    .C(_2118_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2141_));
- sky130_fd_sc_hd__o21a_1 _5062_ (.A1(\u_uart2wb.reg_rdata[8] ),
-    .A2(_2141_),
-    .B1(\u_uart2wb.reg_rdata[9] ),
+    .X(_2119_));
+ sky130_fd_sc_hd__nand2_1 _5082_ (.A(_1908_),
+    .B(_2044_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2142_));
- sky130_fd_sc_hd__a31o_1 _5063_ (.A1(_2138_),
-    .A2(_2130_),
-    .A3(_2140_),
-    .B1(_2142_),
+    .Y(_2120_));
+ sky130_fd_sc_hd__a21oi_1 _5083_ (.A1(_2117_),
+    .A2(_2118_),
+    .B1(_2120_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2143_));
- sky130_fd_sc_hd__a22o_1 _5064_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[73] ),
-    .A2(_2009_),
-    .B1(_2143_),
-    .B2(_2101_),
+    .Y(_2121_));
+ sky130_fd_sc_hd__clkbuf_2 _5084_ (.A(\u_uart2wb.reg_rdata[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2144_));
- sky130_fd_sc_hd__or3_1 _5065_ (.A(_1882_),
-    .B(_2128_),
-    .C(_2144_),
+    .X(_2122_));
+ sky130_fd_sc_hd__mux2_1 _5085_ (.A0(_2119_),
+    .A1(_2121_),
+    .S(_2122_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2145_));
- sky130_fd_sc_hd__o21a_1 _5066_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[81] ),
-    .A2(_2091_),
-    .B1(_2145_),
+    .X(_2123_));
+ sky130_fd_sc_hd__buf_2 _5086_ (.A(_1921_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2124_));
+ sky130_fd_sc_hd__a211o_1 _5087_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[64] ),
+    .A2(_2116_),
+    .B1(_2123_),
+    .C1(_2124_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2125_));
+ sky130_fd_sc_hd__and3_1 _5088_ (.A(_1917_),
+    .B(_1926_),
+    .C(_2043_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2126_));
+ sky130_fd_sc_hd__nor2_1 _5089_ (.A(_2049_),
+    .B(_2126_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2127_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5090_ (.A(_2127_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2128_));
+ sky130_fd_sc_hd__clkbuf_1 _5091_ (.A(_2128_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2129_));
+ sky130_fd_sc_hd__buf_2 _5092_ (.A(_2129_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2130_));
+ sky130_fd_sc_hd__mux2_1 _5093_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[72] ),
+    .A1(_2125_),
+    .S(_2130_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2131_));
+ sky130_fd_sc_hd__clkbuf_1 _5094_ (.A(_2131_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0325_));
- sky130_fd_sc_hd__o31a_1 _5067_ (.A1(_2139_),
-    .A2(_2129_),
-    .A3(_2141_),
-    .B1(_2138_),
+ sky130_fd_sc_hd__clkbuf_1 _5095_ (.A(_2128_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2132_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5096_ (.A(_2132_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2133_));
+ sky130_fd_sc_hd__buf_2 _5097_ (.A(_2133_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2134_));
+ sky130_fd_sc_hd__clkbuf_2 _5098_ (.A(_2126_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2135_));
+ sky130_fd_sc_hd__or2_1 _5099_ (.A(_2049_),
+    .B(_2135_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2136_));
+ sky130_fd_sc_hd__clkbuf_1 _5100_ (.A(_2136_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2137_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5101_ (.A(_2137_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2138_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5102_ (.A(_2054_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2139_));
+ sky130_fd_sc_hd__clkbuf_2 _5103_ (.A(_2139_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2140_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5104_ (.A(\u_uart2wb.reg_rdata[5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2141_));
+ sky130_fd_sc_hd__nor2_1 _5105_ (.A(_2141_),
+    .B(_2122_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2142_));
+ sky130_fd_sc_hd__inv_2 _5106_ (.A(\u_uart2wb.reg_rdata[7] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2143_));
+ sky130_fd_sc_hd__o21a_1 _5107_ (.A1(\u_uart2wb.reg_rdata[4] ),
+    .A2(_2143_),
+    .B1(_2141_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2144_));
+ sky130_fd_sc_hd__a31o_1 _5108_ (.A1(\u_uart2wb.reg_rdata[6] ),
+    .A2(_2117_),
+    .A3(_2142_),
+    .B1(_2144_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2145_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5109_ (.A(_1919_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2146_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5068_ (.A(_2076_),
+ sky130_fd_sc_hd__clkbuf_2 _5110_ (.A(_2146_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2147_));
- sky130_fd_sc_hd__clkbuf_2 _5069_ (.A(_2147_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5111_ (.A(_2147_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2148_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5070_ (.A(_2089_),
+ sky130_fd_sc_hd__a22o_1 _5112_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[65] ),
+    .A2(_2140_),
+    .B1(_2145_),
+    .B2(_2148_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2149_));
- sky130_fd_sc_hd__o221a_1 _5071_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[74] ),
-    .A2(_2119_),
-    .B1(_2146_),
-    .B2(_2148_),
-    .C1(_2149_),
+ sky130_fd_sc_hd__or3_1 _5113_ (.A(_2042_),
+    .B(_2138_),
+    .C(_2149_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2150_));
- sky130_fd_sc_hd__a21o_1 _5072_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[82] ),
-    .A2(_2118_),
+ sky130_fd_sc_hd__o21a_1 _5114_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[73] ),
+    .A2(_2134_),
     .B1(_2150_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0326_));
- sky130_fd_sc_hd__clkbuf_2 _5073_ (.A(_2134_),
+ sky130_fd_sc_hd__clkbuf_2 _5115_ (.A(_2146_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2151_));
- sky130_fd_sc_hd__nor3_1 _5074_ (.A(_2139_),
-    .B(_2138_),
-    .C(_2110_),
+ sky130_fd_sc_hd__o311a_1 _5116_ (.A1(_2141_),
+    .A2(_2122_),
+    .A3(_2143_),
+    .B1(_2151_),
+    .C1(\u_uart2wb.reg_rdata[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2152_));
- sky130_fd_sc_hd__a221o_1 _5075_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[75] ),
-    .A2(_2151_),
-    .B1(_2152_),
-    .B2(_2130_),
-    .C1(_1882_),
+    .X(_2152_));
+ sky130_fd_sc_hd__clkbuf_2 _5117_ (.A(_2044_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2153_));
- sky130_fd_sc_hd__mux2_1 _5076_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[83] ),
-    .A1(_2153_),
-    .S(_2113_),
+ sky130_fd_sc_hd__nor2_1 _5118_ (.A(_2078_),
+    .B(_2153_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2154_));
- sky130_fd_sc_hd__clkbuf_1 _5077_ (.A(_2154_),
+    .Y(_2154_));
+ sky130_fd_sc_hd__a211o_1 _5119_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[66] ),
+    .A2(_2116_),
+    .B1(_2152_),
+    .C1(_2154_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0327_));
- sky130_fd_sc_hd__inv_2 _5078_ (.A(_2131_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2155_));
- sky130_fd_sc_hd__clkbuf_1 _5079_ (.A(_1870_),
+    .X(_2155_));
+ sky130_fd_sc_hd__buf_2 _5120_ (.A(_2129_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2156_));
- sky130_fd_sc_hd__clkbuf_2 _5080_ (.A(_2156_),
+ sky130_fd_sc_hd__mux2_1 _5121_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[74] ),
+    .A1(_2155_),
+    .S(_2156_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2157_));
- sky130_fd_sc_hd__buf_2 _5081_ (.A(_2019_),
+ sky130_fd_sc_hd__clkbuf_1 _5122_ (.A(_2157_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0327_));
+ sky130_fd_sc_hd__clkbuf_2 _5123_ (.A(_2120_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2158_));
- sky130_fd_sc_hd__a221o_1 _5082_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[76] ),
-    .A2(_2151_),
-    .B1(_2155_),
-    .B2(_2157_),
-    .C1(_2158_),
+ sky130_fd_sc_hd__nor3_1 _5124_ (.A(_2143_),
+    .B(_2158_),
+    .C(_2118_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2159_));
- sky130_fd_sc_hd__mux2_1 _5083_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[84] ),
-    .A1(_2159_),
-    .S(_2113_),
+    .Y(_2159_));
+ sky130_fd_sc_hd__a211o_1 _5125_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[67] ),
+    .A2(_2116_),
+    .B1(_2100_),
+    .C1(_2159_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2160_));
- sky130_fd_sc_hd__clkbuf_1 _5084_ (.A(_2160_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0328_));
- sky130_fd_sc_hd__clkbuf_2 _5085_ (.A(_1995_),
+ sky130_fd_sc_hd__mux2_1 _5126_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[75] ),
+    .A1(_2160_),
+    .S(_2156_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2161_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5086_ (.A(_2161_),
+ sky130_fd_sc_hd__clkbuf_1 _5127_ (.A(_2161_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0328_));
+ sky130_fd_sc_hd__a211o_1 _5128_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[68] ),
+    .A2(_2116_),
+    .B1(_2102_),
+    .C1(_2121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2162_));
- sky130_fd_sc_hd__o221a_1 _5087_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[77] ),
-    .A2(_2162_),
-    .B1(_2155_),
-    .B2(_2148_),
-    .C1(_2149_),
+ sky130_fd_sc_hd__mux2_1 _5129_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[76] ),
+    .A1(_2162_),
+    .S(_2156_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2163_));
- sky130_fd_sc_hd__a21o_1 _5088_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[85] ),
-    .A2(_2118_),
-    .B1(_2163_),
+ sky130_fd_sc_hd__clkbuf_1 _5130_ (.A(_2163_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0329_));
- sky130_fd_sc_hd__o221a_1 _5089_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[78] ),
-    .A2(_2162_),
-    .B1(_2131_),
-    .B2(_2148_),
-    .C1(_2149_),
+ sky130_fd_sc_hd__o21ba_1 _5131_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[69] ),
+    .A2(_2085_),
+    .B1_N(_2119_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2164_));
- sky130_fd_sc_hd__a21o_1 _5090_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[86] ),
-    .A2(_2118_),
-    .B1(_2164_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0330_));
- sky130_fd_sc_hd__clkbuf_2 _5091_ (.A(_2123_),
+ sky130_fd_sc_hd__mux2_1 _5132_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[77] ),
+    .A1(_2164_),
+    .S(_2156_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2165_));
- sky130_fd_sc_hd__o21a_1 _5092_ (.A1(\u_uart2wb.reg_rdata[17] ),
+ sky130_fd_sc_hd__clkbuf_1 _5133_ (.A(_2165_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0330_));
+ sky130_fd_sc_hd__nor2_1 _5134_ (.A(_2153_),
+    .B(_2041_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2166_));
+ sky130_fd_sc_hd__clkbuf_2 _5135_ (.A(_2166_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2167_));
+ sky130_fd_sc_hd__clkbuf_2 _5136_ (.A(_2140_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2168_));
+ sky130_fd_sc_hd__clkbuf_2 _5137_ (.A(_2136_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2169_));
+ sky130_fd_sc_hd__a211o_1 _5138_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[70] ),
+    .A2(_2168_),
+    .B1(_2169_),
+    .C1(_2119_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2170_));
+ sky130_fd_sc_hd__clkbuf_2 _5139_ (.A(_2132_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2171_));
+ sky130_fd_sc_hd__o32a_1 _5140_ (.A1(_2124_),
+    .A2(_2167_),
+    .A3(_2170_),
+    .B1(_2171_),
+    .B2(\u_uart2wb.u_msg.TxMsgBuf[78] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0331_));
+ sky130_fd_sc_hd__buf_2 _5141_ (.A(_2067_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2172_));
+ sky130_fd_sc_hd__clkbuf_2 _5142_ (.A(_2146_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2173_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5143_ (.A(\u_uart2wb.reg_rdata[8] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2174_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5144_ (.A(\u_uart2wb.reg_rdata[11] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2175_));
+ sky130_fd_sc_hd__o21a_2 _5145_ (.A1(\u_uart2wb.reg_rdata[9] ),
+    .A2(\u_uart2wb.reg_rdata[10] ),
+    .B1(_2175_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2176_));
+ sky130_fd_sc_hd__or2_1 _5146_ (.A(_2174_),
+    .B(_2176_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2177_));
+ sky130_fd_sc_hd__nand2_1 _5147_ (.A(_2174_),
+    .B(_2176_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2178_));
+ sky130_fd_sc_hd__clkbuf_2 _5148_ (.A(_2139_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2179_));
+ sky130_fd_sc_hd__a32o_1 _5149_ (.A1(_2173_),
+    .A2(_2177_),
+    .A3(_2178_),
+    .B1(_2179_),
+    .B2(\u_uart2wb.u_msg.TxMsgBuf[72] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2180_));
+ sky130_fd_sc_hd__or3_1 _5150_ (.A(_2172_),
+    .B(_2138_),
+    .C(_2180_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2181_));
+ sky130_fd_sc_hd__o21a_1 _5151_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[80] ),
+    .A2(_2134_),
+    .B1(_2181_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0332_));
+ sky130_fd_sc_hd__buf_2 _5152_ (.A(_2136_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2182_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5153_ (.A(\u_uart2wb.reg_rdata[10] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2183_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5154_ (.A(\u_uart2wb.reg_rdata[9] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2184_));
+ sky130_fd_sc_hd__nor2_1 _5155_ (.A(_2184_),
+    .B(\u_uart2wb.reg_rdata[8] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2185_));
+ sky130_fd_sc_hd__inv_2 _5156_ (.A(\u_uart2wb.reg_rdata[11] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2186_));
+ sky130_fd_sc_hd__o21a_1 _5157_ (.A1(\u_uart2wb.reg_rdata[8] ),
+    .A2(_2186_),
+    .B1(\u_uart2wb.reg_rdata[9] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2187_));
+ sky130_fd_sc_hd__a31o_1 _5158_ (.A1(_2183_),
+    .A2(_2175_),
+    .A3(_2185_),
+    .B1(_2187_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2188_));
+ sky130_fd_sc_hd__a22o_1 _5159_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[73] ),
+    .A2(_2140_),
+    .B1(_2188_),
+    .B2(_2148_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2189_));
+ sky130_fd_sc_hd__or3_1 _5160_ (.A(_1935_),
+    .B(_2182_),
+    .C(_2189_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2190_));
+ sky130_fd_sc_hd__o21a_1 _5161_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[81] ),
+    .A2(_2134_),
+    .B1(_2190_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0333_));
+ sky130_fd_sc_hd__clkbuf_2 _5162_ (.A(_2137_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2191_));
+ sky130_fd_sc_hd__clkbuf_2 _5163_ (.A(_2191_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2192_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5164_ (.A(_2046_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2193_));
+ sky130_fd_sc_hd__clkbuf_2 _5165_ (.A(_2193_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2194_));
+ sky130_fd_sc_hd__o31a_1 _5166_ (.A1(_2184_),
+    .A2(_2174_),
+    .A3(_2186_),
+    .B1(_2183_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2195_));
+ sky130_fd_sc_hd__clkbuf_2 _5167_ (.A(_2120_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2196_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5168_ (.A(_2196_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2197_));
+ sky130_fd_sc_hd__clkbuf_2 _5169_ (.A(_2197_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2198_));
+ sky130_fd_sc_hd__o221a_1 _5170_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[74] ),
+    .A2(_2194_),
+    .B1(_2195_),
+    .B2(_2198_),
+    .C1(_2171_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2199_));
+ sky130_fd_sc_hd__a21o_1 _5171_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[82] ),
+    .A2(_2192_),
+    .B1(_2199_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0334_));
+ sky130_fd_sc_hd__clkbuf_2 _5172_ (.A(_2055_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2200_));
+ sky130_fd_sc_hd__and4bb_1 _5173_ (.A_N(_2184_),
+    .B_N(_2183_),
+    .C(_2175_),
+    .D(_1920_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2201_));
+ sky130_fd_sc_hd__a211o_1 _5174_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[75] ),
+    .A2(_2200_),
+    .B1(_2201_),
+    .C1(_1935_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2202_));
+ sky130_fd_sc_hd__buf_2 _5175_ (.A(_2129_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2203_));
+ sky130_fd_sc_hd__mux2_1 _5176_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[83] ),
+    .A1(_2202_),
+    .S(_2203_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2204_));
+ sky130_fd_sc_hd__clkbuf_1 _5177_ (.A(_2204_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0335_));
+ sky130_fd_sc_hd__inv_2 _5178_ (.A(_2176_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2205_));
+ sky130_fd_sc_hd__buf_2 _5179_ (.A(_2151_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2206_));
+ sky130_fd_sc_hd__a221o_1 _5180_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[76] ),
+    .A2(_2179_),
+    .B1(_2205_),
+    .B2(_2206_),
+    .C1(_2172_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2207_));
+ sky130_fd_sc_hd__mux2_1 _5181_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[84] ),
+    .A1(_2207_),
+    .S(_2203_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2208_));
+ sky130_fd_sc_hd__clkbuf_1 _5182_ (.A(_2208_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0336_));
+ sky130_fd_sc_hd__clkbuf_2 _5183_ (.A(_2132_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2209_));
+ sky130_fd_sc_hd__o221a_1 _5184_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[77] ),
+    .A2(_2194_),
+    .B1(_2205_),
+    .B2(_2198_),
+    .C1(_2209_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2210_));
+ sky130_fd_sc_hd__a21o_1 _5185_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[85] ),
+    .A2(_2192_),
+    .B1(_2210_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0337_));
+ sky130_fd_sc_hd__o221a_1 _5186_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[78] ),
+    .A2(_2194_),
+    .B1(_2176_),
+    .B2(_2198_),
+    .C1(_2209_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2211_));
+ sky130_fd_sc_hd__a21o_1 _5187_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[86] ),
+    .A2(_2192_),
+    .B1(_2211_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0338_));
+ sky130_fd_sc_hd__buf_2 _5188_ (.A(_2166_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2212_));
+ sky130_fd_sc_hd__o21a_1 _5189_ (.A1(\u_uart2wb.reg_rdata[17] ),
     .A2(\u_uart2wb.reg_rdata[18] ),
     .B1(\u_uart2wb.reg_rdata[19] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2166_));
- sky130_fd_sc_hd__and3_1 _5093_ (.A(_1858_),
-    .B(_2051_),
-    .C(_2166_),
+    .X(_2213_));
+ sky130_fd_sc_hd__and3_1 _5190_ (.A(_2098_),
+    .B(_2044_),
+    .C(_2213_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2167_));
- sky130_fd_sc_hd__nor2_1 _5094_ (.A(_2075_),
-    .B(_2166_),
+    .X(_2214_));
+ sky130_fd_sc_hd__nor2_1 _5191_ (.A(_2196_),
+    .B(_2213_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2168_));
- sky130_fd_sc_hd__mux2_1 _5095_ (.A0(_2167_),
-    .A1(_2168_),
+    .Y(_2215_));
+ sky130_fd_sc_hd__mux2_1 _5192_ (.A0(_2214_),
+    .A1(_2215_),
     .S(\u_uart2wb.reg_rdata[16] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2169_));
- sky130_fd_sc_hd__a211o_1 _5096_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[88] ),
-    .A2(_2104_),
-    .B1(_2165_),
-    .C1(_2169_),
+    .X(_2216_));
+ sky130_fd_sc_hd__a211o_1 _5193_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[88] ),
+    .A2(_2200_),
+    .B1(_2212_),
+    .C1(_2216_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2170_));
- sky130_fd_sc_hd__clkbuf_2 _5097_ (.A(_2086_),
+    .X(_2217_));
+ sky130_fd_sc_hd__mux2_1 _5194_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[96] ),
+    .A1(_2217_),
+    .S(_2203_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2171_));
- sky130_fd_sc_hd__mux2_1 _5098_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[96] ),
-    .A1(_2170_),
-    .S(_2171_),
+    .X(_2218_));
+ sky130_fd_sc_hd__clkbuf_1 _5195_ (.A(_2218_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2172_));
- sky130_fd_sc_hd__clkbuf_1 _5099_ (.A(_2172_),
+    .X(_0339_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5196_ (.A(\u_uart2wb.reg_rdata[18] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0331_));
- sky130_fd_sc_hd__clkbuf_1 _5100_ (.A(\u_uart2wb.reg_rdata[18] ),
+    .X(_2219_));
+ sky130_fd_sc_hd__clkbuf_2 _5197_ (.A(_2146_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2173_));
- sky130_fd_sc_hd__clkbuf_2 _5101_ (.A(_2105_),
+    .X(_2220_));
+ sky130_fd_sc_hd__clkbuf_2 _5198_ (.A(_2220_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2174_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5102_ (.A(\u_uart2wb.reg_rdata[17] ),
+    .X(_2221_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5199_ (.A(\u_uart2wb.reg_rdata[17] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2175_));
- sky130_fd_sc_hd__or2b_1 _5103_ (.A(\u_uart2wb.reg_rdata[16] ),
+    .X(_2222_));
+ sky130_fd_sc_hd__or2b_1 _5200_ (.A(\u_uart2wb.reg_rdata[16] ),
     .B_N(\u_uart2wb.reg_rdata[19] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2176_));
- sky130_fd_sc_hd__nor2_1 _5104_ (.A(_2175_),
-    .B(_2176_),
+    .X(_2223_));
+ sky130_fd_sc_hd__nor2_1 _5201_ (.A(_2222_),
+    .B(_2223_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2177_));
- sky130_fd_sc_hd__buf_2 _5105_ (.A(_2005_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2178_));
- sky130_fd_sc_hd__a32o_1 _5106_ (.A1(_2175_),
-    .A2(_2105_),
-    .A3(_2176_),
-    .B1(_2178_),
+    .Y(_2224_));
+ sky130_fd_sc_hd__a32o_1 _5202_ (.A1(_2222_),
+    .A2(_2151_),
+    .A3(_2223_),
+    .B1(_2057_),
     .B2(\u_uart2wb.u_msg.TxMsgBuf[89] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2179_));
- sky130_fd_sc_hd__a31o_1 _5107_ (.A1(_2173_),
-    .A2(_2174_),
-    .A3(_2177_),
-    .B1(_2179_),
+    .X(_2225_));
+ sky130_fd_sc_hd__a31o_1 _5203_ (.A1(_2219_),
+    .A2(_2221_),
+    .A3(_2224_),
+    .B1(_2225_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2180_));
- sky130_fd_sc_hd__mux2_1 _5108_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[97] ),
-    .A1(_2180_),
-    .S(_2171_),
+    .X(_2226_));
+ sky130_fd_sc_hd__mux2_1 _5204_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[97] ),
+    .A1(_2226_),
+    .S(_2203_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2181_));
- sky130_fd_sc_hd__clkbuf_1 _5109_ (.A(_2181_),
+    .X(_2227_));
+ sky130_fd_sc_hd__clkbuf_1 _5205_ (.A(_2227_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0332_));
- sky130_fd_sc_hd__clkbuf_2 _5110_ (.A(_2006_),
+    .X(_0340_));
+ sky130_fd_sc_hd__and3b_1 _5206_ (.A_N(_2224_),
+    .B(_2147_),
+    .C(_2219_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2182_));
- sky130_fd_sc_hd__and3b_1 _5111_ (.A_N(_2177_),
-    .B(_2156_),
-    .C(_2173_),
+    .X(_2228_));
+ sky130_fd_sc_hd__a211o_1 _5207_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[90] ),
+    .A2(_2200_),
+    .B1(_2154_),
+    .C1(_2228_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2183_));
- sky130_fd_sc_hd__a211o_1 _5112_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[90] ),
-    .A2(_2182_),
-    .B1(_2107_),
-    .C1(_2183_),
+    .X(_2229_));
+ sky130_fd_sc_hd__clkbuf_2 _5208_ (.A(_2129_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2184_));
- sky130_fd_sc_hd__mux2_1 _5113_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[98] ),
-    .A1(_2184_),
-    .S(_2171_),
+    .X(_2230_));
+ sky130_fd_sc_hd__mux2_1 _5209_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[98] ),
+    .A1(_2229_),
+    .S(_2230_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2185_));
- sky130_fd_sc_hd__clkbuf_1 _5114_ (.A(_2185_),
+    .X(_2231_));
+ sky130_fd_sc_hd__clkbuf_1 _5210_ (.A(_2231_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0333_));
- sky130_fd_sc_hd__and4bb_1 _5115_ (.A_N(_2175_),
-    .B_N(_2173_),
+    .X(_0341_));
+ sky130_fd_sc_hd__and4bb_1 _5211_ (.A_N(_2222_),
+    .B_N(_2219_),
     .C(\u_uart2wb.reg_rdata[19] ),
-    .D(_2156_),
+    .D(_2147_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2186_));
- sky130_fd_sc_hd__a211o_1 _5116_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[91] ),
-    .A2(_2182_),
-    .B1(_2107_),
-    .C1(_2186_),
+    .X(_2232_));
+ sky130_fd_sc_hd__a211o_1 _5212_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[91] ),
+    .A2(_2200_),
+    .B1(_2154_),
+    .C1(_2232_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2187_));
- sky130_fd_sc_hd__mux2_1 _5117_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[99] ),
-    .A1(_2187_),
-    .S(_2171_),
+    .X(_2233_));
+ sky130_fd_sc_hd__mux2_1 _5213_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[99] ),
+    .A1(_2233_),
+    .S(_2230_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2188_));
- sky130_fd_sc_hd__clkbuf_1 _5118_ (.A(_2188_),
+    .X(_2234_));
+ sky130_fd_sc_hd__clkbuf_1 _5214_ (.A(_2234_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0334_));
- sky130_fd_sc_hd__a211o_1 _5119_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[92] ),
-    .A2(_2182_),
-    .B1(_2058_),
-    .C1(_2168_),
+    .X(_0342_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5215_ (.A(_2055_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2189_));
- sky130_fd_sc_hd__buf_2 _5120_ (.A(_2086_),
+    .X(_2235_));
+ sky130_fd_sc_hd__a211o_1 _5216_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[92] ),
+    .A2(_2235_),
+    .B1(_2102_),
+    .C1(_2215_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2190_));
- sky130_fd_sc_hd__mux2_1 _5121_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[100] ),
-    .A1(_2189_),
-    .S(_2190_),
+    .X(_2236_));
+ sky130_fd_sc_hd__mux2_1 _5217_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[100] ),
+    .A1(_2236_),
+    .S(_2230_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2191_));
- sky130_fd_sc_hd__clkbuf_1 _5122_ (.A(_2191_),
+    .X(_2237_));
+ sky130_fd_sc_hd__clkbuf_1 _5218_ (.A(_2237_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0335_));
- sky130_fd_sc_hd__o21ba_1 _5123_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[93] ),
-    .A2(_2161_),
-    .B1_N(_2167_),
+    .X(_0343_));
+ sky130_fd_sc_hd__o21ba_1 _5219_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[93] ),
+    .A2(_2193_),
+    .B1_N(_2214_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2192_));
- sky130_fd_sc_hd__mux2_1 _5124_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[101] ),
-    .A1(_2192_),
-    .S(_2190_),
+    .X(_2238_));
+ sky130_fd_sc_hd__mux2_1 _5220_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[101] ),
+    .A1(_2238_),
+    .S(_2230_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2193_));
- sky130_fd_sc_hd__clkbuf_1 _5125_ (.A(_2193_),
+    .X(_2239_));
+ sky130_fd_sc_hd__clkbuf_1 _5221_ (.A(_2239_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0336_));
- sky130_fd_sc_hd__or2_1 _5126_ (.A(_2055_),
-    .B(_2123_),
+    .X(_0344_));
+ sky130_fd_sc_hd__or2_1 _5222_ (.A(_2099_),
+    .B(_2166_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2194_));
- sky130_fd_sc_hd__a211o_1 _5127_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[94] ),
-    .A2(_2182_),
-    .B1(_2167_),
-    .C1(_2194_),
+    .X(_2240_));
+ sky130_fd_sc_hd__a211o_1 _5223_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[94] ),
+    .A2(_2235_),
+    .B1(_2214_),
+    .C1(_2240_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2195_));
- sky130_fd_sc_hd__mux2_1 _5128_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[102] ),
-    .A1(_2195_),
-    .S(_2190_),
+    .X(_2241_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5224_ (.A(_2127_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2196_));
- sky130_fd_sc_hd__clkbuf_1 _5129_ (.A(_2196_),
+    .X(_2242_));
+ sky130_fd_sc_hd__clkbuf_2 _5225_ (.A(_2242_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0337_));
- sky130_fd_sc_hd__clkbuf_2 _5130_ (.A(_2006_),
+    .X(_2243_));
+ sky130_fd_sc_hd__mux2_1 _5226_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[102] ),
+    .A1(_2241_),
+    .S(_2243_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2197_));
- sky130_fd_sc_hd__o21ai_4 _5131_ (.A1(\u_uart2wb.reg_rdata[21] ),
+    .X(_2244_));
+ sky130_fd_sc_hd__clkbuf_1 _5227_ (.A(_2244_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0345_));
+ sky130_fd_sc_hd__o21ai_4 _5228_ (.A1(\u_uart2wb.reg_rdata[21] ),
     .A2(\u_uart2wb.reg_rdata[22] ),
     .B1(\u_uart2wb.reg_rdata[23] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2198_));
- sky130_fd_sc_hd__nor2_1 _5132_ (.A(_2079_),
-    .B(_2198_),
+    .Y(_2245_));
+ sky130_fd_sc_hd__nor2_1 _5229_ (.A(_2196_),
+    .B(_2245_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2199_));
- sky130_fd_sc_hd__and3_1 _5133_ (.A(_1858_),
-    .B(_2051_),
-    .C(_2198_),
+    .Y(_2246_));
+ sky130_fd_sc_hd__and3_1 _5230_ (.A(_1909_),
+    .B(_2153_),
+    .C(_2245_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2200_));
- sky130_fd_sc_hd__mux2_1 _5134_ (.A0(_2199_),
-    .A1(_2200_),
+    .X(_2247_));
+ sky130_fd_sc_hd__mux2_1 _5231_ (.A0(_2246_),
+    .A1(_2247_),
     .S(\u_uart2wb.reg_rdata[20] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2201_));
- sky130_fd_sc_hd__a211o_1 _5135_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[96] ),
-    .A2(_2197_),
-    .B1(_2194_),
-    .C1(_2201_),
+    .X(_2248_));
+ sky130_fd_sc_hd__a211o_1 _5232_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[96] ),
+    .A2(_2235_),
+    .B1(_2240_),
+    .C1(_2248_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2202_));
- sky130_fd_sc_hd__mux2_1 _5136_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[104] ),
-    .A1(_2202_),
-    .S(_2190_),
+    .X(_2249_));
+ sky130_fd_sc_hd__mux2_1 _5233_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[104] ),
+    .A1(_2249_),
+    .S(_2243_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2203_));
- sky130_fd_sc_hd__clkbuf_1 _5137_ (.A(_2203_),
+    .X(_2250_));
+ sky130_fd_sc_hd__clkbuf_1 _5234_ (.A(_2250_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0338_));
- sky130_fd_sc_hd__inv_2 _5138_ (.A(\u_uart2wb.reg_rdata[22] ),
+    .X(_0346_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5235_ (.A(_2054_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2204_));
- sky130_fd_sc_hd__clkbuf_1 _5139_ (.A(\u_uart2wb.reg_rdata[21] ),
+    .X(_2251_));
+ sky130_fd_sc_hd__clkbuf_2 _5236_ (.A(_2251_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2205_));
- sky130_fd_sc_hd__inv_2 _5140_ (.A(\u_uart2wb.reg_rdata[23] ),
+    .X(_2252_));
+ sky130_fd_sc_hd__inv_2 _5237_ (.A(\u_uart2wb.reg_rdata[22] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2206_));
- sky130_fd_sc_hd__or3_1 _5141_ (.A(_2205_),
+    .Y(_2253_));
+ sky130_fd_sc_hd__clkbuf_1 _5238_ (.A(\u_uart2wb.reg_rdata[21] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2254_));
+ sky130_fd_sc_hd__inv_2 _5239_ (.A(\u_uart2wb.reg_rdata[23] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2255_));
+ sky130_fd_sc_hd__or3_1 _5240_ (.A(_2254_),
     .B(\u_uart2wb.reg_rdata[20] ),
-    .C(_2206_),
+    .C(_2255_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2207_));
- sky130_fd_sc_hd__o21ai_1 _5142_ (.A1(\u_uart2wb.reg_rdata[20] ),
-    .A2(_2206_),
-    .B1(_2205_),
+    .X(_2256_));
+ sky130_fd_sc_hd__o21ai_1 _5241_ (.A1(\u_uart2wb.reg_rdata[20] ),
+    .A2(_2255_),
+    .B1(_2254_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2208_));
- sky130_fd_sc_hd__o21ai_1 _5143_ (.A1(_2204_),
-    .A2(_2207_),
-    .B1(_2208_),
+    .Y(_2257_));
+ sky130_fd_sc_hd__o21ai_1 _5242_ (.A1(_2253_),
+    .A2(_2256_),
+    .B1(_2257_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2209_));
- sky130_fd_sc_hd__a221o_1 _5144_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[97] ),
-    .A2(_2151_),
-    .B1(_2209_),
-    .B2(_2157_),
-    .C1(_2055_),
+    .Y(_2258_));
+ sky130_fd_sc_hd__a221o_1 _5243_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[97] ),
+    .A2(_2252_),
+    .B1(_2258_),
+    .B2(_2206_),
+    .C1(_2099_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2210_));
- sky130_fd_sc_hd__buf_2 _5145_ (.A(_2084_),
+    .X(_2259_));
+ sky130_fd_sc_hd__mux2_1 _5244_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[105] ),
+    .A1(_2259_),
+    .S(_2243_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2211_));
- sky130_fd_sc_hd__clkbuf_2 _5146_ (.A(_2211_),
+    .X(_2260_));
+ sky130_fd_sc_hd__clkbuf_1 _5245_ (.A(_2260_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2212_));
- sky130_fd_sc_hd__mux2_1 _5147_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[105] ),
-    .A1(_2210_),
-    .S(_2212_),
+    .X(_0347_));
+ sky130_fd_sc_hd__a211o_1 _5246_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[98] ),
+    .A2(_2251_),
+    .B1(_2067_),
+    .C1(_2166_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2213_));
- sky130_fd_sc_hd__clkbuf_1 _5148_ (.A(_2213_),
+    .X(_2261_));
+ sky130_fd_sc_hd__a31o_1 _5247_ (.A1(\u_uart2wb.reg_rdata[22] ),
+    .A2(_2221_),
+    .A3(_2256_),
+    .B1(_2261_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0339_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5149_ (.A(_2093_),
+    .X(_2262_));
+ sky130_fd_sc_hd__mux2_1 _5248_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[106] ),
+    .A1(_2262_),
+    .S(_2243_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2214_));
- sky130_fd_sc_hd__buf_2 _5150_ (.A(_1870_),
+    .X(_2263_));
+ sky130_fd_sc_hd__clkbuf_1 _5249_ (.A(_2263_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2215_));
- sky130_fd_sc_hd__a32o_1 _5151_ (.A1(\u_uart2wb.reg_rdata[22] ),
-    .A2(_2215_),
-    .A3(_2207_),
-    .B1(_2009_),
-    .B2(\u_uart2wb.u_msg.TxMsgBuf[98] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2216_));
- sky130_fd_sc_hd__or4_1 _5152_ (.A(_2025_),
-    .B(_2214_),
-    .C(_2124_),
-    .D(_2216_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2217_));
- sky130_fd_sc_hd__o21a_1 _5153_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[106] ),
-    .A2(_2091_),
-    .B1(_2217_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0340_));
- sky130_fd_sc_hd__and4b_1 _5154_ (.A_N(_2205_),
-    .B(_2204_),
+    .X(_0348_));
+ sky130_fd_sc_hd__and4b_1 _5250_ (.A_N(_2254_),
+    .B(_2253_),
     .C(\u_uart2wb.reg_rdata[23] ),
-    .D(_2100_),
+    .D(_2147_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2218_));
- sky130_fd_sc_hd__a211o_1 _5155_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[99] ),
-    .A2(_2197_),
-    .B1(_2165_),
-    .C1(_2218_),
+    .X(_2264_));
+ sky130_fd_sc_hd__a211o_1 _5251_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[99] ),
+    .A2(_2235_),
+    .B1(_2212_),
+    .C1(_2264_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2219_));
- sky130_fd_sc_hd__mux2_1 _5156_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[107] ),
-    .A1(_2219_),
-    .S(_2212_),
+    .X(_2265_));
+ sky130_fd_sc_hd__clkbuf_2 _5252_ (.A(_2242_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2220_));
- sky130_fd_sc_hd__clkbuf_1 _5157_ (.A(_2220_),
+    .X(_2266_));
+ sky130_fd_sc_hd__mux2_1 _5253_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[107] ),
+    .A1(_2265_),
+    .S(_2266_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0341_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5158_ (.A(_2055_),
+    .X(_2267_));
+ sky130_fd_sc_hd__clkbuf_1 _5254_ (.A(_2267_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2221_));
- sky130_fd_sc_hd__a211o_1 _5159_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[100] ),
-    .A2(_2197_),
-    .B1(_2200_),
-    .C1(_2221_),
+    .X(_0349_));
+ sky130_fd_sc_hd__clkbuf_2 _5255_ (.A(_2251_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2222_));
- sky130_fd_sc_hd__mux2_1 _5160_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[108] ),
-    .A1(_2222_),
-    .S(_2212_),
+    .X(_2268_));
+ sky130_fd_sc_hd__clkbuf_2 _5256_ (.A(_2099_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2223_));
- sky130_fd_sc_hd__clkbuf_1 _5161_ (.A(_2223_),
+    .X(_2269_));
+ sky130_fd_sc_hd__a211o_1 _5257_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[100] ),
+    .A2(_2268_),
+    .B1(_2247_),
+    .C1(_2269_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0342_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5162_ (.A(_2095_),
+    .X(_2270_));
+ sky130_fd_sc_hd__mux2_1 _5258_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[108] ),
+    .A1(_2270_),
+    .S(_2266_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2224_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5163_ (.A(_2089_),
+    .X(_2271_));
+ sky130_fd_sc_hd__clkbuf_1 _5259_ (.A(_2271_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2225_));
- sky130_fd_sc_hd__o221a_1 _5164_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[101] ),
-    .A2(_2162_),
-    .B1(_2198_),
-    .B2(_2148_),
-    .C1(_2225_),
+    .X(_0350_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5260_ (.A(_2193_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2226_));
- sky130_fd_sc_hd__a21o_1 _5165_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[109] ),
-    .A2(_2224_),
-    .B1(_2226_),
+    .X(_2272_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5261_ (.A(_2197_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0343_));
- sky130_fd_sc_hd__a211o_1 _5166_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[102] ),
-    .A2(_2027_),
-    .B1(_2214_),
-    .C1(_2199_),
+    .X(_2273_));
+ sky130_fd_sc_hd__o221a_1 _5262_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[101] ),
+    .A2(_2272_),
+    .B1(_2245_),
+    .B2(_2273_),
+    .C1(_2209_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2227_));
- sky130_fd_sc_hd__o32a_1 _5167_ (.A1(_2122_),
-    .A2(_2124_),
-    .A3(_2227_),
-    .B1(_2149_),
+    .X(_2274_));
+ sky130_fd_sc_hd__a21o_1 _5263_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[109] ),
+    .A2(_2192_),
+    .B1(_2274_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0351_));
+ sky130_fd_sc_hd__a211o_1 _5264_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[102] ),
+    .A2(_2168_),
+    .B1(_2169_),
+    .C1(_2246_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2275_));
+ sky130_fd_sc_hd__o32a_1 _5265_ (.A1(_2124_),
+    .A2(_2167_),
+    .A3(_2275_),
+    .B1(_2171_),
     .B2(\u_uart2wb.u_msg.TxMsgBuf[110] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0344_));
- sky130_fd_sc_hd__clkbuf_2 _5168_ (.A(\u_uart2wb.reg_rdata[24] ),
+    .X(_0352_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5266_ (.A(_2191_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2228_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5169_ (.A(\u_uart2wb.reg_rdata[26] ),
+    .X(_2276_));
+ sky130_fd_sc_hd__clkbuf_2 _5267_ (.A(\u_uart2wb.reg_rdata[24] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2229_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5170_ (.A(\u_uart2wb.reg_rdata[27] ),
+    .X(_2277_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5268_ (.A(\u_uart2wb.reg_rdata[26] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2230_));
- sky130_fd_sc_hd__o21ai_1 _5171_ (.A1(\u_uart2wb.reg_rdata[25] ),
-    .A2(_2229_),
-    .B1(_2230_),
+    .X(_2278_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5269_ (.A(\u_uart2wb.reg_rdata[27] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2231_));
- sky130_fd_sc_hd__clkbuf_2 _5172_ (.A(_2231_),
+    .X(_2279_));
+ sky130_fd_sc_hd__o21ai_1 _5270_ (.A1(\u_uart2wb.reg_rdata[25] ),
+    .A2(_2278_),
+    .B1(_2279_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2232_));
- sky130_fd_sc_hd__nor2_1 _5173_ (.A(_2228_),
-    .B(_2232_),
+    .Y(_2280_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5271_ (.A(_2280_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2233_));
- sky130_fd_sc_hd__a21o_1 _5174_ (.A1(_2228_),
-    .A2(_2232_),
-    .B1(_2147_),
+    .X(_2281_));
+ sky130_fd_sc_hd__nor2_1 _5272_ (.A(_2277_),
+    .B(_2281_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2234_));
- sky130_fd_sc_hd__o221a_1 _5175_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[104] ),
-    .A2(_2162_),
-    .B1(_2233_),
-    .B2(_2234_),
-    .C1(_2225_),
+    .Y(_2282_));
+ sky130_fd_sc_hd__buf_2 _5273_ (.A(_2158_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2235_));
- sky130_fd_sc_hd__a21o_1 _5176_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[112] ),
-    .A2(_2224_),
-    .B1(_2235_),
+    .X(_2283_));
+ sky130_fd_sc_hd__a21o_1 _5274_ (.A1(_2277_),
+    .A2(_2281_),
+    .B1(_2283_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0345_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5177_ (.A(\u_uart2wb.reg_rdata[25] ),
+    .X(_2284_));
+ sky130_fd_sc_hd__o221a_1 _5275_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[104] ),
+    .A2(_2272_),
+    .B1(_2282_),
+    .B2(_2284_),
+    .C1(_2209_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2236_));
- sky130_fd_sc_hd__or2b_1 _5178_ (.A(\u_uart2wb.reg_rdata[24] ),
-    .B_N(_2230_),
+    .X(_2285_));
+ sky130_fd_sc_hd__a21o_1 _5276_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[112] ),
+    .A2(_2276_),
+    .B1(_2285_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2237_));
- sky130_fd_sc_hd__and4bb_1 _5179_ (.A_N(\u_uart2wb.reg_rdata[25] ),
-    .B_N(_2228_),
+    .X(_0353_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5277_ (.A(\u_uart2wb.reg_rdata[25] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2286_));
+ sky130_fd_sc_hd__or2b_1 _5278_ (.A(\u_uart2wb.reg_rdata[24] ),
+    .B_N(_2279_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2287_));
+ sky130_fd_sc_hd__and4bb_1 _5279_ (.A_N(\u_uart2wb.reg_rdata[25] ),
+    .B_N(_2277_),
     .C(\u_uart2wb.reg_rdata[26] ),
     .D(\u_uart2wb.reg_rdata[27] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2238_));
- sky130_fd_sc_hd__a21o_1 _5180_ (.A1(_2236_),
-    .A2(_2237_),
-    .B1(_2238_),
+    .X(_2288_));
+ sky130_fd_sc_hd__a21o_1 _5280_ (.A1(_2286_),
+    .A2(_2287_),
+    .B1(_2288_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2239_));
- sky130_fd_sc_hd__clkbuf_2 _5181_ (.A(_2156_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2240_));
- sky130_fd_sc_hd__a221o_1 _5182_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[105] ),
-    .A2(_2151_),
-    .B1(_2239_),
-    .B2(_2240_),
-    .C1(_2165_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2241_));
- sky130_fd_sc_hd__mux2_1 _5183_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[113] ),
-    .A1(_2241_),
-    .S(_2212_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2242_));
- sky130_fd_sc_hd__clkbuf_1 _5184_ (.A(_2242_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0346_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5185_ (.A(_2161_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2243_));
- sky130_fd_sc_hd__o21a_1 _5186_ (.A1(_2236_),
-    .A2(_2237_),
-    .B1(_2229_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2244_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5187_ (.A(_2110_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2245_));
- sky130_fd_sc_hd__o221a_1 _5188_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[106] ),
-    .A2(_2243_),
-    .B1(_2244_),
-    .B2(_2245_),
-    .C1(_2225_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2246_));
- sky130_fd_sc_hd__a21o_1 _5189_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[114] ),
-    .A2(_2224_),
-    .B1(_2246_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0347_));
- sky130_fd_sc_hd__nor2_1 _5190_ (.A(_2236_),
-    .B(_2229_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2247_));
- sky130_fd_sc_hd__a22o_1 _5191_ (.A1(_2004_),
-    .A2(_1881_),
-    .B1(_2178_),
-    .B2(\u_uart2wb.u_msg.TxMsgBuf[107] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2248_));
- sky130_fd_sc_hd__a31o_1 _5192_ (.A1(_2230_),
-    .A2(_2174_),
-    .A3(_2247_),
-    .B1(_2248_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2249_));
- sky130_fd_sc_hd__buf_2 _5193_ (.A(_2211_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2250_));
- sky130_fd_sc_hd__mux2_1 _5194_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[115] ),
-    .A1(_2249_),
-    .S(_2250_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2251_));
- sky130_fd_sc_hd__clkbuf_1 _5195_ (.A(_2251_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0348_));
- sky130_fd_sc_hd__clkbuf_2 _5196_ (.A(_2120_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2252_));
- sky130_fd_sc_hd__clkbuf_2 _5197_ (.A(_2215_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2253_));
- sky130_fd_sc_hd__a221o_1 _5198_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[108] ),
-    .A2(_2027_),
-    .B1(_2232_),
-    .B2(_2253_),
-    .C1(_2117_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2254_));
- sky130_fd_sc_hd__o21a_1 _5199_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[116] ),
+    .X(_2289_));
+ sky130_fd_sc_hd__a221o_1 _5281_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[105] ),
     .A2(_2252_),
-    .B1(_2254_),
+    .B1(_2289_),
+    .B2(_2206_),
+    .C1(_2212_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0349_));
- sky130_fd_sc_hd__o221a_1 _5200_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[109] ),
-    .A2(_2243_),
-    .B1(_2232_),
-    .B2(_2245_),
-    .C1(_2225_),
+    .X(_2290_));
+ sky130_fd_sc_hd__mux2_1 _5282_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[113] ),
+    .A1(_2290_),
+    .S(_2266_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2255_));
- sky130_fd_sc_hd__a21o_1 _5201_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[117] ),
-    .A2(_2224_),
-    .B1(_2255_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0350_));
- sky130_fd_sc_hd__o2bb2a_1 _5202_ (.A1_N(_2174_),
-    .A2_N(_2231_),
-    .B1(_2035_),
-    .B2(\u_uart2wb.u_msg.TxMsgBuf[110] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2256_));
- sky130_fd_sc_hd__mux2_1 _5203_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[118] ),
-    .A1(_2256_),
-    .S(_2250_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2257_));
- sky130_fd_sc_hd__clkbuf_1 _5204_ (.A(_2257_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0351_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5205_ (.A(_2178_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2258_));
- sky130_fd_sc_hd__clkbuf_2 _5206_ (.A(_2258_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2259_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5207_ (.A(_2094_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2260_));
- sky130_fd_sc_hd__a211o_1 _5208_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[48] ),
-    .A2(_2259_),
-    .B1(_2025_),
-    .C1(_2260_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2261_));
- sky130_fd_sc_hd__o21a_1 _5209_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[56] ),
-    .A2(_2252_),
-    .B1(_2261_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0352_));
- sky130_fd_sc_hd__a2111o_1 _5210_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[49] ),
-    .A2(_2027_),
-    .B1(_2128_),
-    .C1(_2071_),
-    .D1(_2253_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2262_));
- sky130_fd_sc_hd__o22a_1 _5211_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[57] ),
-    .A2(_2127_),
-    .B1(_2194_),
-    .B2(_2262_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0353_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5212_ (.A(_2007_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2263_));
- sky130_fd_sc_hd__a221o_1 _5213_ (.A1(_2004_),
-    .A2(_1881_),
-    .B1(_2263_),
-    .B2(\u_uart2wb.u_msg.TxMsgBuf[50] ),
-    .C1(_2117_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2264_));
- sky130_fd_sc_hd__o21a_1 _5214_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[58] ),
-    .A2(_2252_),
-    .B1(_2264_),
+    .X(_2291_));
+ sky130_fd_sc_hd__clkbuf_1 _5283_ (.A(_2291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0354_));
- sky130_fd_sc_hd__a211o_1 _5215_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[51] ),
-    .A2(_2197_),
-    .B1(_2057_),
-    .C1(_2174_),
+ sky130_fd_sc_hd__o21a_1 _5284_ (.A1(_2286_),
+    .A2(_2287_),
+    .B1(_2278_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2265_));
- sky130_fd_sc_hd__mux2_1 _5216_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[59] ),
-    .A1(_2265_),
-    .S(_2250_),
+    .X(_2292_));
+ sky130_fd_sc_hd__clkbuf_2 _5285_ (.A(_2132_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2266_));
- sky130_fd_sc_hd__clkbuf_1 _5217_ (.A(_2266_),
+    .X(_2293_));
+ sky130_fd_sc_hd__o221a_1 _5286_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[106] ),
+    .A2(_2272_),
+    .B1(_2292_),
+    .B2(_2273_),
+    .C1(_2293_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2294_));
+ sky130_fd_sc_hd__a21o_1 _5287_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[114] ),
+    .A2(_2276_),
+    .B1(_2294_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0355_));
- sky130_fd_sc_hd__a211o_1 _5218_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[52] ),
-    .A2(_2263_),
-    .B1(_2260_),
-    .C1(_2058_),
+ sky130_fd_sc_hd__nand2_1 _5288_ (.A(_2109_),
+    .B(_2040_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2267_));
- sky130_fd_sc_hd__o21a_1 _5219_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[60] ),
-    .A2(_2252_),
-    .B1(_2267_),
+    .Y(_2295_));
+ sky130_fd_sc_hd__nor2_1 _5289_ (.A(_2286_),
+    .B(_2278_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2296_));
+ sky130_fd_sc_hd__buf_2 _5290_ (.A(_2139_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2297_));
+ sky130_fd_sc_hd__a32o_1 _5291_ (.A1(_2279_),
+    .A2(_2173_),
+    .A3(_2296_),
+    .B1(_2297_),
+    .B2(\u_uart2wb.u_msg.TxMsgBuf[107] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2298_));
+ sky130_fd_sc_hd__or4_1 _5292_ (.A(_2169_),
+    .B(_2167_),
+    .C(_2295_),
+    .D(_2298_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2299_));
+ sky130_fd_sc_hd__o21a_1 _5293_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[115] ),
+    .A2(_2134_),
+    .B1(_2299_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0356_));
- sky130_fd_sc_hd__clkbuf_2 _5220_ (.A(_2120_),
+ sky130_fd_sc_hd__clkbuf_2 _5294_ (.A(_2133_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2268_));
- sky130_fd_sc_hd__a211o_1 _5221_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[53] ),
-    .A2(_2263_),
-    .B1(_1991_),
-    .C1(_2260_),
+    .X(_2300_));
+ sky130_fd_sc_hd__buf_2 _5295_ (.A(_2220_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2269_));
- sky130_fd_sc_hd__o21a_1 _5222_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[61] ),
-    .A2(_2268_),
-    .B1(_2269_),
+    .X(_2301_));
+ sky130_fd_sc_hd__a221o_1 _5296_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[108] ),
+    .A2(_2168_),
+    .B1(_2281_),
+    .B2(_2301_),
+    .C1(_2191_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2302_));
+ sky130_fd_sc_hd__o21a_1 _5297_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[116] ),
+    .A2(_2300_),
+    .B1(_2302_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0357_));
- sky130_fd_sc_hd__buf_2 _5223_ (.A(_2134_),
+ sky130_fd_sc_hd__o221a_1 _5298_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[109] ),
+    .A2(_2272_),
+    .B1(_2281_),
+    .B2(_2273_),
+    .C1(_2293_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2270_));
- sky130_fd_sc_hd__a211o_1 _5224_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[54] ),
-    .A2(_2270_),
-    .B1(_2158_),
-    .C1(_2124_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2271_));
- sky130_fd_sc_hd__mux2_1 _5225_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[62] ),
-    .A1(_2271_),
-    .S(_2250_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2272_));
- sky130_fd_sc_hd__clkbuf_1 _5226_ (.A(_2272_),
+    .X(_2303_));
+ sky130_fd_sc_hd__a21o_1 _5299_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[117] ),
+    .A2(_2276_),
+    .B1(_2303_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0358_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5227_ (.A(\u_uart2wb.reg_rdata[28] ),
+ sky130_fd_sc_hd__o2bb2a_1 _5300_ (.A1_N(_2221_),
+    .A2_N(_2280_),
+    .B1(_2073_),
+    .B2(\u_uart2wb.u_msg.TxMsgBuf[110] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2273_));
- sky130_fd_sc_hd__o21a_2 _5228_ (.A1(\u_uart2wb.reg_rdata[29] ),
+    .X(_2304_));
+ sky130_fd_sc_hd__mux2_1 _5301_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[118] ),
+    .A1(_2304_),
+    .S(_2266_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2305_));
+ sky130_fd_sc_hd__clkbuf_1 _5302_ (.A(_2305_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0359_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5303_ (.A(_2057_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2306_));
+ sky130_fd_sc_hd__clkbuf_2 _5304_ (.A(_2306_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2307_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5305_ (.A(_2137_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2308_));
+ sky130_fd_sc_hd__a211o_1 _5306_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[48] ),
+    .A2(_2307_),
+    .B1(_2172_),
+    .C1(_2308_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2309_));
+ sky130_fd_sc_hd__o21a_1 _5307_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[56] ),
+    .A2(_2300_),
+    .B1(_2309_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0360_));
+ sky130_fd_sc_hd__a2111o_1 _5308_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[49] ),
+    .A2(_2168_),
+    .B1(_2182_),
+    .C1(_2114_),
+    .D1(_2301_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2310_));
+ sky130_fd_sc_hd__o22a_1 _5309_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[57] ),
+    .A2(_2171_),
+    .B1(_2240_),
+    .B2(_2310_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0361_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5310_ (.A(_2056_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2311_));
+ sky130_fd_sc_hd__a221o_1 _5311_ (.A1(_1918_),
+    .A2(_1934_),
+    .B1(_2311_),
+    .B2(\u_uart2wb.u_msg.TxMsgBuf[50] ),
+    .C1(_2191_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2312_));
+ sky130_fd_sc_hd__o21a_1 _5312_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[58] ),
+    .A2(_2300_),
+    .B1(_2312_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0362_));
+ sky130_fd_sc_hd__a211o_1 _5313_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[51] ),
+    .A2(_2268_),
+    .B1(_2101_),
+    .C1(_2301_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2313_));
+ sky130_fd_sc_hd__clkbuf_2 _5314_ (.A(_2242_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2314_));
+ sky130_fd_sc_hd__mux2_1 _5315_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[59] ),
+    .A1(_2313_),
+    .S(_2314_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2315_));
+ sky130_fd_sc_hd__clkbuf_1 _5316_ (.A(_2315_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0363_));
+ sky130_fd_sc_hd__a211o_1 _5317_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[52] ),
+    .A2(_2311_),
+    .B1(_2308_),
+    .C1(_2102_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2316_));
+ sky130_fd_sc_hd__o21a_1 _5318_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[60] ),
+    .A2(_2300_),
+    .B1(_2316_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0364_));
+ sky130_fd_sc_hd__buf_2 _5319_ (.A(_2133_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2317_));
+ sky130_fd_sc_hd__a211o_1 _5320_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[53] ),
+    .A2(_2311_),
+    .B1(_2042_),
+    .C1(_2308_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2318_));
+ sky130_fd_sc_hd__o21a_1 _5321_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[61] ),
+    .A2(_2317_),
+    .B1(_2318_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0365_));
+ sky130_fd_sc_hd__a211o_1 _5322_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[54] ),
+    .A2(_2268_),
+    .B1(_2172_),
+    .C1(_2167_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2319_));
+ sky130_fd_sc_hd__mux2_1 _5323_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[62] ),
+    .A1(_2319_),
+    .S(_2314_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2320_));
+ sky130_fd_sc_hd__clkbuf_1 _5324_ (.A(_2320_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0366_));
+ sky130_fd_sc_hd__clkbuf_2 _5325_ (.A(\u_uart2wb.reg_rdata[28] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2321_));
+ sky130_fd_sc_hd__o21a_1 _5326_ (.A1(\u_uart2wb.reg_rdata[29] ),
     .A2(\u_uart2wb.reg_rdata[30] ),
     .B1(\u_uart2wb.reg_rdata[31] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2274_));
- sky130_fd_sc_hd__a21oi_1 _5229_ (.A1(_2273_),
-    .A2(_2274_),
-    .B1(_2079_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2275_));
- sky130_fd_sc_hd__o21a_1 _5230_ (.A1(_2273_),
-    .A2(_2274_),
-    .B1(_2275_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2276_));
- sky130_fd_sc_hd__a2111o_1 _5231_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[112] ),
-    .A2(_2026_),
-    .B1(_2020_),
-    .C1(_2165_),
-    .D1(_2276_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2277_));
- sky130_fd_sc_hd__clkbuf_2 _5232_ (.A(_2211_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2278_));
- sky130_fd_sc_hd__mux2_1 _5233_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[120] ),
-    .A1(_2277_),
-    .S(_2278_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2279_));
- sky130_fd_sc_hd__clkbuf_1 _5234_ (.A(_2279_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0359_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5235_ (.A(_2095_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2280_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5236_ (.A(\u_uart2wb.reg_rdata[29] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2281_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5237_ (.A(\u_uart2wb.reg_rdata[31] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2282_));
- sky130_fd_sc_hd__or2b_1 _5238_ (.A(\u_uart2wb.reg_rdata[28] ),
-    .B_N(_2282_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2283_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5239_ (.A(\u_uart2wb.reg_rdata[30] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2284_));
- sky130_fd_sc_hd__and4bb_1 _5240_ (.A_N(_2281_),
-    .B_N(_2273_),
-    .C(_2282_),
-    .D(_2284_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2285_));
- sky130_fd_sc_hd__a211o_1 _5241_ (.A1(_2281_),
-    .A2(_2283_),
-    .B1(_2285_),
-    .C1(_2147_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2286_));
- sky130_fd_sc_hd__o211a_1 _5242_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[113] ),
-    .A2(_2119_),
-    .B1(_2087_),
-    .C1(_2286_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2287_));
- sky130_fd_sc_hd__a21o_1 _5243_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[121] ),
-    .A2(_2280_),
-    .B1(_2287_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0360_));
- sky130_fd_sc_hd__o211a_1 _5244_ (.A1(\u_uart2wb.reg_rdata[29] ),
-    .A2(_2283_),
-    .B1(_2215_),
-    .C1(_2284_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2288_));
- sky130_fd_sc_hd__a211o_1 _5245_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[114] ),
-    .A2(_2270_),
-    .B1(_2029_),
-    .C1(_2288_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2289_));
- sky130_fd_sc_hd__mux2_1 _5246_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[122] ),
-    .A1(_2289_),
-    .S(_2278_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2290_));
- sky130_fd_sc_hd__clkbuf_1 _5247_ (.A(_2290_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0361_));
- sky130_fd_sc_hd__nor2_1 _5248_ (.A(_2281_),
-    .B(_2284_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2291_));
- sky130_fd_sc_hd__a32o_1 _5249_ (.A1(_2282_),
-    .A2(_2157_),
-    .A3(_2291_),
-    .B1(_2007_),
-    .B2(\u_uart2wb.u_msg.TxMsgBuf[115] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2292_));
- sky130_fd_sc_hd__mux2_1 _5250_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[123] ),
-    .A1(_2292_),
-    .S(_2278_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2293_));
- sky130_fd_sc_hd__clkbuf_1 _5251_ (.A(_2293_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0362_));
- sky130_fd_sc_hd__nor2_1 _5252_ (.A(_2076_),
-    .B(_2274_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2294_));
- sky130_fd_sc_hd__a211o_1 _5253_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[116] ),
-    .A2(_2270_),
-    .B1(_2057_),
-    .C1(_2294_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2295_));
- sky130_fd_sc_hd__mux2_1 _5254_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[124] ),
-    .A1(_2295_),
-    .S(_2278_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2296_));
- sky130_fd_sc_hd__clkbuf_1 _5255_ (.A(_2296_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0363_));
- sky130_fd_sc_hd__a2111o_1 _5256_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[117] ),
-    .A2(_2026_),
-    .B1(_2056_),
-    .C1(_2158_),
-    .D1(_2294_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2297_));
- sky130_fd_sc_hd__clkbuf_4 _5257_ (.A(_2211_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2298_));
- sky130_fd_sc_hd__mux2_1 _5258_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[125] ),
-    .A1(_2297_),
-    .S(_2298_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2299_));
- sky130_fd_sc_hd__clkbuf_1 _5259_ (.A(_2299_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0364_));
- sky130_fd_sc_hd__o221a_1 _5260_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[118] ),
-    .A2(_2243_),
-    .B1(_2274_),
-    .B2(_2245_),
-    .C1(_2090_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2300_));
- sky130_fd_sc_hd__a21o_1 _5261_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[126] ),
-    .A2(_2280_),
-    .B1(_2300_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0365_));
- sky130_fd_sc_hd__nand2_4 _5262_ (.A(_1658_),
-    .B(_2178_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2301_));
- sky130_fd_sc_hd__clkbuf_2 _5263_ (.A(_2301_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2302_));
- sky130_fd_sc_hd__mux2_1 _5264_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[120] ),
-    .A1(\u_uart2wb.tx_data[0] ),
-    .S(_2302_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2303_));
- sky130_fd_sc_hd__clkbuf_1 _5265_ (.A(_2303_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0366_));
- sky130_fd_sc_hd__mux2_1 _5266_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[121] ),
-    .A1(\u_uart2wb.tx_data[1] ),
-    .S(_2302_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2304_));
- sky130_fd_sc_hd__clkbuf_1 _5267_ (.A(_2304_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0367_));
- sky130_fd_sc_hd__mux2_1 _5268_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[122] ),
-    .A1(\u_uart2wb.tx_data[2] ),
-    .S(_2302_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2305_));
- sky130_fd_sc_hd__clkbuf_1 _5269_ (.A(_2305_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0368_));
- sky130_fd_sc_hd__mux2_1 _5270_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[123] ),
-    .A1(\u_uart2wb.tx_data[3] ),
-    .S(_2302_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2306_));
- sky130_fd_sc_hd__clkbuf_1 _5271_ (.A(_2306_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0369_));
- sky130_fd_sc_hd__mux2_1 _5272_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[124] ),
-    .A1(\u_uart2wb.tx_data[4] ),
-    .S(_2301_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2307_));
- sky130_fd_sc_hd__clkbuf_1 _5273_ (.A(_2307_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0370_));
- sky130_fd_sc_hd__mux2_1 _5274_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[125] ),
-    .A1(\u_uart2wb.tx_data[5] ),
-    .S(_2301_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2308_));
- sky130_fd_sc_hd__clkbuf_1 _5275_ (.A(_2308_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0371_));
- sky130_fd_sc_hd__mux2_1 _5276_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[126] ),
-    .A1(\u_uart2wb.tx_data[6] ),
-    .S(_2301_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2309_));
- sky130_fd_sc_hd__clkbuf_1 _5277_ (.A(_2309_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0372_));
- sky130_fd_sc_hd__buf_2 _5278_ (.A(\u_uart2wb.reg_rdata[12] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2310_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5279_ (.A(\u_uart2wb.reg_rdata[13] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2311_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5280_ (.A(\u_uart2wb.reg_rdata[15] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2312_));
- sky130_fd_sc_hd__o21ai_2 _5281_ (.A1(_2311_),
-    .A2(\u_uart2wb.reg_rdata[14] ),
-    .B1(_2312_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2313_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5282_ (.A(_2313_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2314_));
- sky130_fd_sc_hd__nor2_1 _5283_ (.A(_2310_),
-    .B(_2314_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2315_));
- sky130_fd_sc_hd__a21o_1 _5284_ (.A1(_2310_),
-    .A2(_2314_),
-    .B1(_2147_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2316_));
- sky130_fd_sc_hd__o221a_1 _5285_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[80] ),
-    .A2(_2243_),
-    .B1(_2315_),
-    .B2(_2316_),
-    .C1(_2090_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2317_));
- sky130_fd_sc_hd__a21o_1 _5286_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[88] ),
-    .A2(_2280_),
-    .B1(_2317_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0373_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5287_ (.A(_2134_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2318_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5288_ (.A(\u_uart2wb.reg_rdata[14] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2319_));
- sky130_fd_sc_hd__nor2_1 _5289_ (.A(\u_uart2wb.reg_rdata[13] ),
-    .B(\u_uart2wb.reg_rdata[12] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2320_));
- sky130_fd_sc_hd__inv_2 _5290_ (.A(\u_uart2wb.reg_rdata[15] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2321_));
- sky130_fd_sc_hd__o21a_1 _5291_ (.A1(\u_uart2wb.reg_rdata[12] ),
-    .A2(_2321_),
-    .B1(\u_uart2wb.reg_rdata[13] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
     .X(_2322_));
- sky130_fd_sc_hd__a31o_1 _5292_ (.A1(_2319_),
-    .A2(_2312_),
-    .A3(_2320_),
-    .B1(_2322_),
+ sky130_fd_sc_hd__a21oi_1 _5327_ (.A1(_2321_),
+    .A2(_2322_),
+    .B1(_2196_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2323_));
- sky130_fd_sc_hd__a221o_1 _5293_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[81] ),
-    .A2(_2318_),
+    .Y(_2323_));
+ sky130_fd_sc_hd__o21a_1 _5328_ (.A1(_2321_),
+    .A2(_2322_),
     .B1(_2323_),
-    .B2(_2240_),
-    .C1(_2122_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2324_));
- sky130_fd_sc_hd__mux2_1 _5294_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[89] ),
-    .A1(_2324_),
-    .S(_2298_),
+ sky130_fd_sc_hd__a2111o_1 _5329_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[112] ),
+    .A2(_2140_),
+    .B1(_2068_),
+    .C1(_2212_),
+    .D1(_2324_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2325_));
- sky130_fd_sc_hd__clkbuf_1 _5295_ (.A(_2325_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0374_));
- sky130_fd_sc_hd__o311a_1 _5296_ (.A1(_2311_),
-    .A2(_2310_),
-    .A3(_2321_),
-    .B1(_2105_),
-    .C1(_2319_),
+ sky130_fd_sc_hd__mux2_1 _5330_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[120] ),
+    .A1(_2325_),
+    .S(_2314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2326_));
- sky130_fd_sc_hd__a211o_1 _5297_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[82] ),
-    .A2(_2270_),
-    .B1(_2326_),
-    .C1(_2221_),
+ sky130_fd_sc_hd__clkbuf_1 _5331_ (.A(_2326_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0367_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5332_ (.A(\u_uart2wb.reg_rdata[29] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2327_));
- sky130_fd_sc_hd__mux2_1 _5298_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[90] ),
-    .A1(_2327_),
-    .S(_2298_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5333_ (.A(\u_uart2wb.reg_rdata[31] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2328_));
- sky130_fd_sc_hd__clkbuf_1 _5299_ (.A(_2328_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0375_));
- sky130_fd_sc_hd__and4bb_1 _5300_ (.A_N(_2311_),
-    .B_N(_2319_),
-    .C(_2312_),
-    .D(_2100_),
+ sky130_fd_sc_hd__or2b_1 _5334_ (.A(\u_uart2wb.reg_rdata[28] ),
+    .B_N(_2328_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2329_));
- sky130_fd_sc_hd__a211o_1 _5301_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[83] ),
-    .A2(_2135_),
-    .B1(_2329_),
-    .C1(_2221_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5335_ (.A(\u_uart2wb.reg_rdata[30] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2330_));
- sky130_fd_sc_hd__mux2_1 _5302_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[91] ),
-    .A1(_2330_),
-    .S(_2298_),
+ sky130_fd_sc_hd__and4bb_1 _5336_ (.A_N(_2327_),
+    .B_N(_2321_),
+    .C(_2328_),
+    .D(_2330_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2331_));
- sky130_fd_sc_hd__clkbuf_1 _5303_ (.A(_2331_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0376_));
- sky130_fd_sc_hd__a221o_1 _5304_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[84] ),
-    .A2(_2318_),
-    .B1(_2313_),
-    .B2(_2240_),
-    .C1(_2158_),
+ sky130_fd_sc_hd__a211o_1 _5337_ (.A1(_2327_),
+    .A2(_2329_),
+    .B1(_2331_),
+    .C1(_2283_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2332_));
- sky130_fd_sc_hd__buf_2 _5305_ (.A(_2085_),
+ sky130_fd_sc_hd__o211a_1 _5338_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[113] ),
+    .A2(_2194_),
+    .B1(_2130_),
+    .C1(_2332_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2333_));
- sky130_fd_sc_hd__mux2_1 _5306_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[92] ),
-    .A1(_2332_),
-    .S(_2333_),
+ sky130_fd_sc_hd__a21o_1 _5339_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[121] ),
+    .A2(_2276_),
+    .B1(_2333_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0368_));
+ sky130_fd_sc_hd__o211a_1 _5340_ (.A1(\u_uart2wb.reg_rdata[29] ),
+    .A2(_2329_),
+    .B1(_2220_),
+    .C1(_2330_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2334_));
- sky130_fd_sc_hd__clkbuf_1 _5307_ (.A(_2334_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0377_));
- sky130_fd_sc_hd__o221a_1 _5308_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[85] ),
-    .A2(_2036_),
-    .B1(_2314_),
-    .B2(_2245_),
-    .C1(_2090_),
+ sky130_fd_sc_hd__a211o_1 _5341_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[114] ),
+    .A2(_2268_),
+    .B1(_2063_),
+    .C1(_2334_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2335_));
- sky130_fd_sc_hd__a21o_1 _5309_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[93] ),
-    .A2(_2280_),
-    .B1(_2335_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0378_));
- sky130_fd_sc_hd__o2bb2a_1 _5310_ (.A1_N(_2157_),
-    .A2_N(_2314_),
-    .B1(_2035_),
-    .B2(\u_uart2wb.u_msg.TxMsgBuf[86] ),
+ sky130_fd_sc_hd__mux2_1 _5342_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[122] ),
+    .A1(_2335_),
+    .S(_2314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2336_));
- sky130_fd_sc_hd__mux2_1 _5311_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[94] ),
-    .A1(_2336_),
-    .S(_2333_),
+ sky130_fd_sc_hd__clkbuf_1 _5343_ (.A(_2336_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2337_));
- sky130_fd_sc_hd__clkbuf_1 _5312_ (.A(_2337_),
+    .X(_0369_));
+ sky130_fd_sc_hd__nor2_1 _5344_ (.A(_2327_),
+    .B(_2330_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0379_));
- sky130_fd_sc_hd__nand2_1 _5313_ (.A(_0890_),
-    .B(_0891_),
+    .Y(_2337_));
+ sky130_fd_sc_hd__a32o_1 _5345_ (.A1(_2328_),
+    .A2(_2206_),
+    .A3(_2337_),
+    .B1(_2056_),
+    .B2(\u_uart2wb.u_msg.TxMsgBuf[115] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2338_));
- sky130_fd_sc_hd__o21ai_2 _5314_ (.A1(_0890_),
-    .A2(\u_uart2wb.u_core.u_txfsm.txstate[3] ),
-    .B1(_2338_),
+    .X(_2338_));
+ sky130_fd_sc_hd__buf_2 _5346_ (.A(_2242_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2339_));
- sky130_fd_sc_hd__nor2_1 _5315_ (.A(_0883_),
-    .B(_2339_),
+    .X(_2339_));
+ sky130_fd_sc_hd__mux2_1 _5347_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[123] ),
+    .A1(_2338_),
+    .S(_2339_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2340_));
- sky130_fd_sc_hd__a22o_1 _5316_ (.A1(_0903_),
-    .A2(_0905_),
-    .B1(_2340_),
-    .B2(_0899_),
+    .X(_2340_));
+ sky130_fd_sc_hd__clkbuf_1 _5348_ (.A(_2340_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0370_));
+ sky130_fd_sc_hd__clkbuf_2 _5349_ (.A(_2251_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2341_));
- sky130_fd_sc_hd__o21ba_1 _5317_ (.A1(_0899_),
-    .A2(_2340_),
-    .B1_N(_2341_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0380_));
- sky130_fd_sc_hd__nand2_1 _5318_ (.A(_0898_),
-    .B(_0900_),
+ sky130_fd_sc_hd__nor2_1 _5350_ (.A(_2197_),
+    .B(_2322_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_2342_));
- sky130_fd_sc_hd__a211o_1 _5319_ (.A1(_0895_),
-    .A2(_2342_),
-    .B1(_2339_),
-    .C1(_0884_),
+ sky130_fd_sc_hd__a211o_1 _5351_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[116] ),
+    .A2(_2341_),
+    .B1(_2101_),
+    .C1(_2342_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2343_));
- sky130_fd_sc_hd__a21o_1 _5320_ (.A1(_0899_),
-    .A2(_2340_),
-    .B1(_0900_),
+ sky130_fd_sc_hd__mux2_1 _5352_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[124] ),
+    .A1(_2343_),
+    .S(_2339_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2344_));
- sky130_fd_sc_hd__and2_1 _5321_ (.A(_2343_),
-    .B(_2344_),
+ sky130_fd_sc_hd__clkbuf_1 _5353_ (.A(_2344_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0371_));
+ sky130_fd_sc_hd__a211o_1 _5354_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[117] ),
+    .A2(_2341_),
+    .B1(_2295_),
+    .C1(_2342_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2345_));
- sky130_fd_sc_hd__clkbuf_1 _5322_ (.A(_2345_),
+ sky130_fd_sc_hd__mux2_1 _5355_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[125] ),
+    .A1(_2345_),
+    .S(_2339_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0381_));
- sky130_fd_sc_hd__nor3_1 _5323_ (.A(_0903_),
-    .B(_0897_),
-    .C(_2342_),
+    .X(_2346_));
+ sky130_fd_sc_hd__clkbuf_1 _5356_ (.A(_2346_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2346_));
- sky130_fd_sc_hd__a22o_1 _5324_ (.A1(_0897_),
-    .A2(_2343_),
-    .B1(_2346_),
-    .B2(_2340_),
+    .X(_0372_));
+ sky130_fd_sc_hd__buf_2 _5357_ (.A(_2137_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0382_));
- sky130_fd_sc_hd__nor4b_4 _5325_ (.A(_1886_),
-    .B(\u_uart2wb.u_msg.State[0] ),
-    .C(_1987_),
-    .D_N(_1866_),
+    .X(_2347_));
+ sky130_fd_sc_hd__clkbuf_2 _5358_ (.A(_2193_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2347_));
- sky130_fd_sc_hd__nand2_1 _5326_ (.A(\u_uart2wb.rx_wr ),
-    .B(_2347_),
+    .X(_2348_));
+ sky130_fd_sc_hd__o221a_1 _5359_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[118] ),
+    .A2(_2348_),
+    .B1(_2322_),
+    .B2(_2273_),
+    .C1(_2293_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2348_));
- sky130_fd_sc_hd__nand2_1 _5327_ (.A(_1665_),
-    .B(_2348_),
+    .X(_2349_));
+ sky130_fd_sc_hd__a21o_1 _5360_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[126] ),
+    .A2(_2347_),
+    .B1(_2349_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2349_));
- sky130_fd_sc_hd__or2_1 _5328_ (.A(\u_uart2wb.u_msg.RxMsgCnt[4] ),
-    .B(\u_uart2wb.u_msg.RxMsgCnt[1] ),
+    .X(_0373_));
+ sky130_fd_sc_hd__nand2_4 _5361_ (.A(_1715_),
+    .B(_2057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2350_));
- sky130_fd_sc_hd__or4_1 _5329_ (.A(\u_uart2wb.u_msg.RxMsgCnt[0] ),
-    .B(\u_uart2wb.u_msg.RxMsgCnt[3] ),
-    .C(\u_uart2wb.u_msg.RxMsgCnt[2] ),
-    .D(_2350_),
+    .Y(_2350_));
+ sky130_fd_sc_hd__clkbuf_2 _5362_ (.A(_2350_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2351_));
- sky130_fd_sc_hd__clkbuf_2 _5330_ (.A(\u_uart2wb.rx_wr ),
+ sky130_fd_sc_hd__mux2_1 _5363_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[120] ),
+    .A1(\u_uart2wb.tx_data[0] ),
+    .S(_2351_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2352_));
- sky130_fd_sc_hd__o21ai_1 _5331_ (.A1(_1663_),
-    .A2(_2351_),
-    .B1(_2352_),
+ sky130_fd_sc_hd__clkbuf_1 _5364_ (.A(_2352_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2353_));
- sky130_fd_sc_hd__nor4_4 _5332_ (.A(\u_uart2wb.u_msg.RxMsgCnt[0] ),
-    .B(\u_uart2wb.u_msg.RxMsgCnt[3] ),
-    .C(\u_uart2wb.u_msg.RxMsgCnt[2] ),
-    .D(_2350_),
+    .X(_0374_));
+ sky130_fd_sc_hd__mux2_1 _5365_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[121] ),
+    .A1(\u_uart2wb.tx_data[1] ),
+    .S(_2351_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2354_));
- sky130_fd_sc_hd__a211oi_1 _5333_ (.A1(_1663_),
-    .A2(_1670_),
-    .B1(_2348_),
-    .C1(_2354_),
+    .X(_2353_));
+ sky130_fd_sc_hd__clkbuf_1 _5366_ (.A(_2353_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2355_));
- sky130_fd_sc_hd__a21o_1 _5334_ (.A1(_2349_),
-    .A2(_2353_),
-    .B1(_2355_),
+    .X(_0375_));
+ sky130_fd_sc_hd__mux2_1 _5367_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[122] ),
+    .A1(\u_uart2wb.tx_data[2] ),
+    .S(_2351_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2354_));
+ sky130_fd_sc_hd__clkbuf_1 _5368_ (.A(_2354_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0376_));
+ sky130_fd_sc_hd__mux2_1 _5369_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[123] ),
+    .A1(\u_uart2wb.tx_data[3] ),
+    .S(_2351_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2355_));
+ sky130_fd_sc_hd__clkbuf_1 _5370_ (.A(_2355_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0377_));
+ sky130_fd_sc_hd__mux2_1 _5371_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[124] ),
+    .A1(\u_uart2wb.tx_data[4] ),
+    .S(_2350_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2356_));
- sky130_fd_sc_hd__clkbuf_1 _5335_ (.A(_1670_),
+ sky130_fd_sc_hd__clkbuf_1 _5372_ (.A(_2356_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0378_));
+ sky130_fd_sc_hd__mux2_1 _5373_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[125] ),
+    .A1(\u_uart2wb.tx_data[5] ),
+    .S(_2350_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2357_));
- sky130_fd_sc_hd__and3b_1 _5336_ (.A_N(_1667_),
-    .B(_2357_),
-    .C(_2349_),
+ sky130_fd_sc_hd__clkbuf_1 _5374_ (.A(_2357_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0379_));
+ sky130_fd_sc_hd__mux2_1 _5375_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[126] ),
+    .A1(\u_uart2wb.tx_data[6] ),
+    .S(_2350_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2358_));
- sky130_fd_sc_hd__or2b_4 _5337_ (.A(_2356_),
-    .B_N(_2358_),
+ sky130_fd_sc_hd__clkbuf_1 _5376_ (.A(_2358_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0380_));
+ sky130_fd_sc_hd__clkbuf_2 _5377_ (.A(\u_uart2wb.reg_rdata[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2359_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5338_ (.A(_2359_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5378_ (.A(\u_uart2wb.reg_rdata[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2360_));
- sky130_fd_sc_hd__clkbuf_1 _5339_ (.A(_2360_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5379_ (.A(\u_uart2wb.reg_rdata[15] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2361_));
- sky130_fd_sc_hd__nor3b_1 _5340_ (.A(_1886_),
-    .B(_1987_),
-    .C_N(_1986_),
+ sky130_fd_sc_hd__o21ai_1 _5380_ (.A1(_2360_),
+    .A2(\u_uart2wb.reg_rdata[14] ),
+    .B1(_2361_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_2362_));
- sky130_fd_sc_hd__clkbuf_2 _5341_ (.A(_2362_),
+ sky130_fd_sc_hd__clkbuf_2 _5381_ (.A(_2362_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2363_));
- sky130_fd_sc_hd__and4b_4 _5342_ (.A_N(_2356_),
-    .B(_1859_),
-    .C(_2363_),
-    .D(_2358_),
+ sky130_fd_sc_hd__nor2_1 _5382_ (.A(_2359_),
+    .B(_2363_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2364_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5343_ (.A(_2364_),
+    .Y(_2364_));
+ sky130_fd_sc_hd__a21o_1 _5383_ (.A1(_2359_),
+    .A2(_2363_),
+    .B1(_2283_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2365_));
- sky130_fd_sc_hd__clkbuf_1 _5344_ (.A(_2365_),
+ sky130_fd_sc_hd__o221a_1 _5384_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[80] ),
+    .A2(_2348_),
+    .B1(_2364_),
+    .B2(_2365_),
+    .C1(_2293_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2366_));
- sky130_fd_sc_hd__inv_2 _5345_ (.A(_1681_),
+ sky130_fd_sc_hd__a21o_1 _5385_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[88] ),
+    .A2(_2347_),
+    .B1(_2366_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2367_));
- sky130_fd_sc_hd__clkbuf_1 _5346_ (.A(_2367_),
+    .X(_0381_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5386_ (.A(\u_uart2wb.reg_rdata[14] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2368_));
- sky130_fd_sc_hd__nor3b_1 _5347_ (.A(_1690_),
-    .B(_1689_),
-    .C_N(\u_uart2wb.rx_data[4] ),
+    .X(_2367_));
+ sky130_fd_sc_hd__nor2_1 _5387_ (.A(\u_uart2wb.reg_rdata[13] ),
+    .B(\u_uart2wb.reg_rdata[12] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2368_));
+ sky130_fd_sc_hd__inv_2 _5388_ (.A(\u_uart2wb.reg_rdata[15] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_2369_));
- sky130_fd_sc_hd__and3_1 _5348_ (.A(_2368_),
-    .B(_1687_),
-    .C(_2369_),
+ sky130_fd_sc_hd__o21a_1 _5389_ (.A1(\u_uart2wb.reg_rdata[12] ),
+    .A2(_2369_),
+    .B1(\u_uart2wb.reg_rdata[13] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2370_));
- sky130_fd_sc_hd__nor3b_1 _5349_ (.A(_1683_),
-    .B(\u_uart2wb.rx_data[7] ),
-    .C_N(\u_uart2wb.rx_data[6] ),
+ sky130_fd_sc_hd__a31o_1 _5390_ (.A1(_2367_),
+    .A2(_2361_),
+    .A3(_2368_),
+    .B1(_2370_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2371_));
- sky130_fd_sc_hd__and4b_1 _5350_ (.A_N(_1676_),
-    .B(_2367_),
-    .C(_1659_),
-    .D(_2371_),
+    .X(_2371_));
+ sky130_fd_sc_hd__a22o_1 _5391_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[81] ),
+    .A2(_2297_),
+    .B1(_2371_),
+    .B2(_2173_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2372_));
- sky130_fd_sc_hd__and4b_1 _5351_ (.A_N(_1676_),
-    .B(_1686_),
-    .C(_1669_),
-    .D(_2369_),
+ sky130_fd_sc_hd__or3_1 _5392_ (.A(_2124_),
+    .B(_2182_),
+    .C(_2372_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2373_));
- sky130_fd_sc_hd__mux2_1 _5352_ (.A0(_2372_),
-    .A1(_2373_),
-    .S(_1656_),
+ sky130_fd_sc_hd__o21a_1 _5393_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[89] ),
+    .A2(_2317_),
+    .B1(_2373_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0382_));
+ sky130_fd_sc_hd__o311a_1 _5394_ (.A1(_2360_),
+    .A2(_2359_),
+    .A3(_2369_),
+    .B1(_2151_),
+    .C1(_2367_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2374_));
- sky130_fd_sc_hd__inv_2 _5353_ (.A(_1659_),
+ sky130_fd_sc_hd__a211o_1 _5395_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[82] ),
+    .A2(_2341_),
+    .B1(_2374_),
+    .C1(_2269_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2375_));
- sky130_fd_sc_hd__and4_1 _5354_ (.A(_2368_),
-    .B(_2375_),
-    .C(_1668_),
-    .D(_2371_),
+    .X(_2375_));
+ sky130_fd_sc_hd__mux2_1 _5396_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[90] ),
+    .A1(_2375_),
+    .S(_2339_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2376_));
- sky130_fd_sc_hd__a211o_1 _5355_ (.A1(\u_uart2wb.rx_data[0] ),
-    .A2(_2373_),
-    .B1(_2376_),
-    .C1(_2372_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2377_));
- sky130_fd_sc_hd__or3b_1 _5356_ (.A(_1690_),
-    .B(_1689_),
-    .C_N(_1683_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2378_));
- sky130_fd_sc_hd__or4_1 _5357_ (.A(_2368_),
-    .B(_1679_),
-    .C(_1660_),
-    .D(_2378_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2379_));
- sky130_fd_sc_hd__or3b_4 _5358_ (.A(_2378_),
-    .B(_1681_),
-    .C_N(_1686_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2380_));
- sky130_fd_sc_hd__and3_1 _5359_ (.A(_2368_),
-    .B(_2375_),
-    .C(_2371_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2381_));
- sky130_fd_sc_hd__nand2_1 _5360_ (.A(_1656_),
-    .B(_2381_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2382_));
- sky130_fd_sc_hd__and4b_1 _5361_ (.A_N(_2377_),
-    .B(_2379_),
-    .C(_2380_),
-    .D(_2382_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2383_));
- sky130_fd_sc_hd__a2111o_4 _5362_ (.A1(_1657_),
-    .A2(_2370_),
-    .B1(_2374_),
-    .C1(_2376_),
-    .D1(_2383_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2384_));
- sky130_fd_sc_hd__a22o_1 _5363_ (.A1(\u_uart2wb.reg_addr[0] ),
-    .A2(_2361_),
-    .B1(_2366_),
-    .B2(_2384_),
+ sky130_fd_sc_hd__clkbuf_1 _5397_ (.A(_2376_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0383_));
- sky130_fd_sc_hd__nor2_1 _5364_ (.A(_1677_),
-    .B(_2382_),
+ sky130_fd_sc_hd__and4bb_1 _5398_ (.A_N(_2360_),
+    .B_N(_2367_),
+    .C(_2361_),
+    .D(_1920_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2385_));
- sky130_fd_sc_hd__a221o_1 _5365_ (.A1(_1676_),
-    .A2(_2370_),
-    .B1(_2372_),
-    .B2(_1656_),
-    .C1(_2376_),
+    .X(_2377_));
+ sky130_fd_sc_hd__a211o_1 _5399_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[83] ),
+    .A2(_2341_),
+    .B1(_2377_),
+    .C1(_2269_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2386_));
- sky130_fd_sc_hd__or3_4 _5366_ (.A(_2383_),
-    .B(_2385_),
-    .C(_2386_),
+    .X(_2378_));
+ sky130_fd_sc_hd__clkbuf_2 _5400_ (.A(_2128_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2387_));
- sky130_fd_sc_hd__a22o_1 _5367_ (.A1(\u_uart2wb.reg_addr[1] ),
-    .A2(_2361_),
-    .B1(_2366_),
-    .B2(_2387_),
+    .X(_2379_));
+ sky130_fd_sc_hd__mux2_1 _5401_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[91] ),
+    .A1(_2378_),
+    .S(_2379_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2380_));
+ sky130_fd_sc_hd__clkbuf_1 _5402_ (.A(_2380_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0384_));
- sky130_fd_sc_hd__a311o_4 _5368_ (.A1(_1657_),
-    .A2(_1677_),
-    .A3(_2381_),
-    .B1(_2383_),
-    .C1(_1679_),
+ sky130_fd_sc_hd__a221o_1 _5403_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[84] ),
+    .A2(_2252_),
+    .B1(_2362_),
+    .B2(_2148_),
+    .C1(_2067_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2388_));
- sky130_fd_sc_hd__a22o_1 _5369_ (.A1(\u_uart2wb.reg_addr[2] ),
-    .A2(_2361_),
-    .B1(_2366_),
-    .B2(_2388_),
+    .X(_2381_));
+ sky130_fd_sc_hd__mux2_1 _5404_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[92] ),
+    .A1(_2381_),
+    .S(_2379_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2382_));
+ sky130_fd_sc_hd__clkbuf_1 _5405_ (.A(_2382_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0385_));
- sky130_fd_sc_hd__a22o_1 _5370_ (.A1(\u_uart2wb.reg_addr[3] ),
-    .A2(_2361_),
-    .B1(_2366_),
-    .B2(_2380_),
+ sky130_fd_sc_hd__o221a_1 _5406_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[85] ),
+    .A2(_2348_),
+    .B1(_2363_),
+    .B2(_2283_),
+    .C1(_2133_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2383_));
+ sky130_fd_sc_hd__a21o_1 _5407_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[93] ),
+    .A2(_2347_),
+    .B1(_2383_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0386_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5371_ (.A(_2360_),
+ sky130_fd_sc_hd__o2bb2a_1 _5408_ (.A1_N(_2221_),
+    .A2_N(_2363_),
+    .B1(_2073_),
+    .B2(\u_uart2wb.u_msg.TxMsgBuf[86] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2389_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5372_ (.A(_2365_),
+    .X(_2384_));
+ sky130_fd_sc_hd__mux2_1 _5409_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[94] ),
+    .A1(_2384_),
+    .S(_2379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2390_));
- sky130_fd_sc_hd__a22o_1 _5373_ (.A1(\u_uart2wb.reg_addr[4] ),
-    .A2(_2389_),
-    .B1(_2390_),
-    .B2(\u_uart2wb.reg_addr[0] ),
+    .X(_2385_));
+ sky130_fd_sc_hd__clkbuf_1 _5410_ (.A(_2385_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0387_));
- sky130_fd_sc_hd__a22o_1 _5374_ (.A1(\u_uart2wb.reg_addr[5] ),
-    .A2(_2389_),
-    .B1(_2390_),
-    .B2(\u_uart2wb.reg_addr[1] ),
+ sky130_fd_sc_hd__nand2_1 _5411_ (.A(_0896_),
+    .B(_0897_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2386_));
+ sky130_fd_sc_hd__o21ai_1 _5412_ (.A1(_0896_),
+    .A2(\u_uart2wb.u_core.u_txfsm.txstate[3] ),
+    .B1(_2386_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2387_));
+ sky130_fd_sc_hd__nor2_1 _5413_ (.A(_0889_),
+    .B(_2387_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2388_));
+ sky130_fd_sc_hd__a22o_1 _5414_ (.A1(_0909_),
+    .A2(_0911_),
+    .B1(_2388_),
+    .B2(_0906_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2389_));
+ sky130_fd_sc_hd__o21ba_1 _5415_ (.A1(_0906_),
+    .A2(_2388_),
+    .B1_N(_2389_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0388_));
- sky130_fd_sc_hd__a22o_1 _5375_ (.A1(\u_uart2wb.reg_addr[6] ),
-    .A2(_2389_),
-    .B1(_2390_),
-    .B2(\u_uart2wb.reg_addr[2] ),
+ sky130_fd_sc_hd__nand2_1 _5416_ (.A(_0904_),
+    .B(_0905_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0389_));
- sky130_fd_sc_hd__a22o_1 _5376_ (.A1(\u_uart2wb.reg_addr[7] ),
-    .A2(_2389_),
-    .B1(_2390_),
-    .B2(\u_uart2wb.reg_addr[3] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0390_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5377_ (.A(_2360_),
+    .Y(_2390_));
+ sky130_fd_sc_hd__a211o_1 _5417_ (.A1(_0901_),
+    .A2(_2390_),
+    .B1(_2387_),
+    .C1(_0890_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2391_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5378_ (.A(_2365_),
+ sky130_fd_sc_hd__a21o_1 _5418_ (.A1(_0906_),
+    .A2(_2388_),
+    .B1(_0904_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2392_));
- sky130_fd_sc_hd__a22o_1 _5379_ (.A1(\u_uart2wb.reg_addr[8] ),
-    .A2(_2391_),
-    .B1(_2392_),
-    .B2(\u_uart2wb.reg_addr[4] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0391_));
- sky130_fd_sc_hd__a22o_1 _5380_ (.A1(\u_uart2wb.reg_addr[9] ),
-    .A2(_2391_),
-    .B1(_2392_),
-    .B2(\u_uart2wb.reg_addr[5] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0392_));
- sky130_fd_sc_hd__a22o_1 _5381_ (.A1(\u_uart2wb.reg_addr[10] ),
-    .A2(_2391_),
-    .B1(_2392_),
-    .B2(\u_uart2wb.reg_addr[6] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0393_));
- sky130_fd_sc_hd__a22o_1 _5382_ (.A1(\u_uart2wb.reg_addr[11] ),
-    .A2(_2391_),
-    .B1(_2392_),
-    .B2(\u_uart2wb.reg_addr[7] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0394_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5383_ (.A(_2360_),
+ sky130_fd_sc_hd__and2_1 _5419_ (.A(_2391_),
+    .B(_2392_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2393_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5384_ (.A(_2365_),
+ sky130_fd_sc_hd__clkbuf_1 _5420_ (.A(_2393_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2394_));
- sky130_fd_sc_hd__a22o_1 _5385_ (.A1(\u_uart2wb.reg_addr[12] ),
-    .A2(_2393_),
+    .X(_0389_));
+ sky130_fd_sc_hd__nor3_1 _5421_ (.A(_0909_),
+    .B(_0903_),
+    .C(_2390_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2394_));
+ sky130_fd_sc_hd__a22o_1 _5422_ (.A1(_0903_),
+    .A2(_2391_),
     .B1(_2394_),
-    .B2(\u_uart2wb.reg_addr[8] ),
+    .B2(_2388_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0395_));
- sky130_fd_sc_hd__a22o_1 _5386_ (.A1(\u_uart2wb.reg_addr[13] ),
-    .A2(_2393_),
-    .B1(_2394_),
-    .B2(\u_uart2wb.reg_addr[9] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0396_));
- sky130_fd_sc_hd__a22o_1 _5387_ (.A1(\u_uart2wb.reg_addr[14] ),
-    .A2(_2393_),
-    .B1(_2394_),
-    .B2(\u_uart2wb.reg_addr[10] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0397_));
- sky130_fd_sc_hd__a22o_1 _5388_ (.A1(\u_uart2wb.reg_addr[15] ),
-    .A2(_2393_),
-    .B1(_2394_),
-    .B2(\u_uart2wb.reg_addr[11] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0398_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5389_ (.A(_2359_),
+    .X(_0390_));
+ sky130_fd_sc_hd__clkbuf_2 _5423_ (.A(\u_uart2wb.rx_wr ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2395_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5390_ (.A(_2364_),
+ sky130_fd_sc_hd__nor4b_4 _5424_ (.A(_1716_),
+    .B(_1717_),
+    .C(_1718_),
+    .D_N(_1719_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2396_));
- sky130_fd_sc_hd__a22o_1 _5391_ (.A1(\u_uart2wb.reg_addr[16] ),
-    .A2(_2395_),
-    .B1(_2396_),
-    .B2(\u_uart2wb.reg_addr[12] ),
+    .Y(_2396_));
+ sky130_fd_sc_hd__nor3b_1 _5425_ (.A(_1717_),
+    .B(_1724_),
+    .C_N(_1725_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0399_));
- sky130_fd_sc_hd__a22o_1 _5392_ (.A1(\u_uart2wb.reg_addr[17] ),
-    .A2(_2395_),
-    .B1(_2396_),
-    .B2(\u_uart2wb.reg_addr[13] ),
+    .Y(_2397_));
+ sky130_fd_sc_hd__nor4b_4 _5426_ (.A(_1914_),
+    .B(\u_uart2wb.u_msg.State[0] ),
+    .C(_1916_),
+    .D_N(_1727_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0400_));
- sky130_fd_sc_hd__a22o_1 _5393_ (.A1(\u_uart2wb.reg_addr[18] ),
-    .A2(_2395_),
-    .B1(_2396_),
-    .B2(\u_uart2wb.reg_addr[14] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0401_));
- sky130_fd_sc_hd__a22o_1 _5394_ (.A1(\u_uart2wb.reg_addr[19] ),
-    .A2(_2395_),
-    .B1(_2396_),
-    .B2(\u_uart2wb.reg_addr[15] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0402_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5395_ (.A(_2359_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2397_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5396_ (.A(_2364_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2398_));
- sky130_fd_sc_hd__a22o_1 _5397_ (.A1(\u_uart2wb.reg_addr[20] ),
-    .A2(_2397_),
-    .B1(_2398_),
-    .B2(\u_uart2wb.reg_addr[16] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0403_));
- sky130_fd_sc_hd__a22o_1 _5398_ (.A1(\u_uart2wb.reg_addr[21] ),
-    .A2(_2397_),
-    .B1(_2398_),
-    .B2(\u_uart2wb.reg_addr[17] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0404_));
- sky130_fd_sc_hd__a22o_1 _5399_ (.A1(\u_uart2wb.reg_addr[22] ),
-    .A2(_2397_),
-    .B1(_2398_),
-    .B2(\u_uart2wb.reg_addr[18] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0405_));
- sky130_fd_sc_hd__a22o_1 _5400_ (.A1(\u_uart2wb.reg_addr[23] ),
-    .A2(_2397_),
-    .B1(_2398_),
-    .B2(\u_uart2wb.reg_addr[19] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0406_));
- sky130_fd_sc_hd__clkbuf_2 _5401_ (.A(_1662_),
+    .Y(_2398_));
+ sky130_fd_sc_hd__or2_1 _5427_ (.A(\u_uart2wb.u_msg.RxMsgCnt[4] ),
+    .B(\u_uart2wb.u_msg.RxMsgCnt[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2399_));
- sky130_fd_sc_hd__and2b_1 _5402_ (.A_N(\u_uart2wb.u_msg.cmd[1] ),
-    .B(\u_uart2wb.u_msg.cmd[0] ),
+ sky130_fd_sc_hd__or4_2 _5428_ (.A(\u_uart2wb.u_msg.RxMsgCnt[0] ),
+    .B(\u_uart2wb.u_msg.RxMsgCnt[3] ),
+    .C(\u_uart2wb.u_msg.RxMsgCnt[2] ),
+    .D(_2399_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2400_));
- sky130_fd_sc_hd__and4b_1 _5403_ (.A_N(\u_uart2wb.u_msg.cmd[4] ),
+ sky130_fd_sc_hd__o211a_1 _5429_ (.A1(_2396_),
+    .A2(_2397_),
+    .B1(_2398_),
+    .C1(_2400_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2401_));
+ sky130_fd_sc_hd__clkbuf_1 _5430_ (.A(\u_uart2wb.u_msg.RxMsgCnt[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2402_));
+ sky130_fd_sc_hd__nor4_1 _5431_ (.A(\u_uart2wb.u_msg.RxMsgCnt[0] ),
+    .B(\u_uart2wb.u_msg.RxMsgCnt[3] ),
+    .C(_2402_),
+    .D(_2399_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2403_));
+ sky130_fd_sc_hd__a21o_1 _5432_ (.A1(\u_uart2wb.rx_wr ),
+    .A2(_2398_),
+    .B1(_1728_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2404_));
+ sky130_fd_sc_hd__and3_1 _5433_ (.A(_2397_),
+    .B(_2403_),
+    .C(_2404_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2405_));
+ sky130_fd_sc_hd__nor2_1 _5434_ (.A(_1915_),
+    .B(_2039_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2406_));
+ sky130_fd_sc_hd__or3b_1 _5435_ (.A(_2107_),
+    .B(_1907_),
+    .C_N(_2406_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2407_));
+ sky130_fd_sc_hd__nor2_1 _5436_ (.A(_1723_),
+    .B(_2407_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2408_));
+ sky130_fd_sc_hd__a211o_1 _5437_ (.A1(_2395_),
+    .A2(_2401_),
+    .B1(_2405_),
+    .C1(_2408_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2409_));
+ sky130_fd_sc_hd__and2_1 _5438_ (.A(_1720_),
+    .B(_2404_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2410_));
+ sky130_fd_sc_hd__or3b_4 _5439_ (.A(_1729_),
+    .B(_2409_),
+    .C_N(_2410_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2411_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5440_ (.A(_2411_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2412_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5441_ (.A(_2412_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2413_));
+ sky130_fd_sc_hd__clkbuf_2 _5442_ (.A(_2398_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2414_));
+ sky130_fd_sc_hd__a211oi_2 _5443_ (.A1(_2395_),
+    .A2(_2401_),
+    .B1(_2405_),
+    .C1(_2408_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2415_));
+ sky130_fd_sc_hd__and4b_4 _5444_ (.A_N(_1730_),
+    .B(_2414_),
+    .C(_2415_),
+    .D(_2410_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2416_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5445_ (.A(_2416_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2417_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5446_ (.A(_2417_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2418_));
+ sky130_fd_sc_hd__or3b_2 _5447_ (.A(_1746_),
+    .B(_1745_),
+    .C_N(_1742_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2419_));
+ sky130_fd_sc_hd__inv_2 _5448_ (.A(_1740_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2420_));
+ sky130_fd_sc_hd__and3b_1 _5449_ (.A_N(_2419_),
+    .B(_2420_),
+    .C(_1716_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2421_));
+ sky130_fd_sc_hd__nor3b_1 _5450_ (.A(_1742_),
+    .B(_1746_),
+    .C_N(_1745_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2422_));
+ sky130_fd_sc_hd__and2b_1 _5451_ (.A_N(_1734_),
+    .B(_1713_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2423_));
+ sky130_fd_sc_hd__and3_1 _5452_ (.A(_1719_),
+    .B(_1725_),
+    .C(_2422_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2424_));
+ sky130_fd_sc_hd__a31o_1 _5453_ (.A1(_2420_),
+    .A2(_2422_),
+    .A3(_2423_),
+    .B1(_2424_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2425_));
+ sky130_fd_sc_hd__nor2_1 _5454_ (.A(_1718_),
+    .B(_2419_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2426_));
+ sky130_fd_sc_hd__nor2_1 _5455_ (.A(_1734_),
+    .B(_1713_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2427_));
+ sky130_fd_sc_hd__and4_1 _5456_ (.A(_2420_),
+    .B(_1737_),
+    .C(_2427_),
+    .D(_2422_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2428_));
+ sky130_fd_sc_hd__a31o_1 _5457_ (.A1(_1744_),
+    .A2(_2423_),
+    .A3(_2426_),
+    .B1(_2428_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2429_));
+ sky130_fd_sc_hd__and2_1 _5458_ (.A(_1725_),
+    .B(_2422_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2430_));
+ sky130_fd_sc_hd__nor3_1 _5459_ (.A(_1718_),
+    .B(_1724_),
+    .C(_2419_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2431_));
+ sky130_fd_sc_hd__a311o_1 _5460_ (.A1(_1734_),
+    .A2(_1713_),
+    .A3(_2430_),
+    .B1(_2431_),
+    .C1(_2421_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2432_));
+ sky130_fd_sc_hd__nor3_2 _5461_ (.A(_2425_),
+    .B(_2429_),
+    .C(_2432_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2433_));
+ sky130_fd_sc_hd__a2111o_4 _5462_ (.A1(_1714_),
+    .A2(_2421_),
+    .B1(_2433_),
+    .C1(_2424_),
+    .D1(_2429_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2434_));
+ sky130_fd_sc_hd__a22o_1 _5463_ (.A1(\u_uart2wb.reg_addr[0] ),
+    .A2(_2413_),
+    .B1(_2418_),
+    .B2(_2434_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0391_));
+ sky130_fd_sc_hd__a211o_2 _5464_ (.A1(_1735_),
+    .A2(_2421_),
+    .B1(_2433_),
+    .C1(_2425_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2435_));
+ sky130_fd_sc_hd__a22o_1 _5465_ (.A1(\u_uart2wb.reg_addr[1] ),
+    .A2(_2413_),
+    .B1(_2418_),
+    .B2(_2435_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0392_));
+ sky130_fd_sc_hd__a311o_4 _5466_ (.A1(_1735_),
+    .A2(_1714_),
+    .A3(_2430_),
+    .B1(_2433_),
+    .C1(_1737_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2436_));
+ sky130_fd_sc_hd__a22o_1 _5467_ (.A1(\u_uart2wb.reg_addr[2] ),
+    .A2(_2413_),
+    .B1(_2418_),
+    .B2(_2436_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0393_));
+ sky130_fd_sc_hd__or3b_4 _5468_ (.A(_2419_),
+    .B(_1740_),
+    .C_N(_1744_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2437_));
+ sky130_fd_sc_hd__a22o_1 _5469_ (.A1(\u_uart2wb.reg_addr[3] ),
+    .A2(_2413_),
+    .B1(_2418_),
+    .B2(_2437_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0394_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5470_ (.A(_2412_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2438_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5471_ (.A(_2417_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2439_));
+ sky130_fd_sc_hd__a22o_1 _5472_ (.A1(\u_uart2wb.reg_addr[4] ),
+    .A2(_2438_),
+    .B1(_2439_),
+    .B2(\u_uart2wb.reg_addr[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0395_));
+ sky130_fd_sc_hd__a22o_1 _5473_ (.A1(\u_uart2wb.reg_addr[5] ),
+    .A2(_2438_),
+    .B1(_2439_),
+    .B2(\u_uart2wb.reg_addr[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0396_));
+ sky130_fd_sc_hd__a22o_1 _5474_ (.A1(\u_uart2wb.reg_addr[6] ),
+    .A2(_2438_),
+    .B1(_2439_),
+    .B2(\u_uart2wb.reg_addr[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0397_));
+ sky130_fd_sc_hd__a22o_1 _5475_ (.A1(\u_uart2wb.reg_addr[7] ),
+    .A2(_2438_),
+    .B1(_2439_),
+    .B2(\u_uart2wb.reg_addr[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0398_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5476_ (.A(_2412_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2440_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5477_ (.A(_2417_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2441_));
+ sky130_fd_sc_hd__a22o_1 _5478_ (.A1(\u_uart2wb.reg_addr[8] ),
+    .A2(_2440_),
+    .B1(_2441_),
+    .B2(\u_uart2wb.reg_addr[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0399_));
+ sky130_fd_sc_hd__a22o_1 _5479_ (.A1(\u_uart2wb.reg_addr[9] ),
+    .A2(_2440_),
+    .B1(_2441_),
+    .B2(\u_uart2wb.reg_addr[5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0400_));
+ sky130_fd_sc_hd__a22o_1 _5480_ (.A1(\u_uart2wb.reg_addr[10] ),
+    .A2(_2440_),
+    .B1(_2441_),
+    .B2(\u_uart2wb.reg_addr[6] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0401_));
+ sky130_fd_sc_hd__a22o_1 _5481_ (.A1(\u_uart2wb.reg_addr[11] ),
+    .A2(_2440_),
+    .B1(_2441_),
+    .B2(\u_uart2wb.reg_addr[7] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0402_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5482_ (.A(_2412_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2442_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5483_ (.A(_2417_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2443_));
+ sky130_fd_sc_hd__a22o_1 _5484_ (.A1(\u_uart2wb.reg_addr[12] ),
+    .A2(_2442_),
+    .B1(_2443_),
+    .B2(\u_uart2wb.reg_addr[8] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0403_));
+ sky130_fd_sc_hd__a22o_1 _5485_ (.A1(\u_uart2wb.reg_addr[13] ),
+    .A2(_2442_),
+    .B1(_2443_),
+    .B2(\u_uart2wb.reg_addr[9] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0404_));
+ sky130_fd_sc_hd__a22o_1 _5486_ (.A1(\u_uart2wb.reg_addr[14] ),
+    .A2(_2442_),
+    .B1(_2443_),
+    .B2(\u_uart2wb.reg_addr[10] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0405_));
+ sky130_fd_sc_hd__a22o_1 _5487_ (.A1(\u_uart2wb.reg_addr[15] ),
+    .A2(_2442_),
+    .B1(_2443_),
+    .B2(\u_uart2wb.reg_addr[11] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0406_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5488_ (.A(_2411_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2444_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5489_ (.A(_2416_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2445_));
+ sky130_fd_sc_hd__a22o_1 _5490_ (.A1(\u_uart2wb.reg_addr[16] ),
+    .A2(_2444_),
+    .B1(_2445_),
+    .B2(\u_uart2wb.reg_addr[12] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0407_));
+ sky130_fd_sc_hd__a22o_1 _5491_ (.A1(\u_uart2wb.reg_addr[17] ),
+    .A2(_2444_),
+    .B1(_2445_),
+    .B2(\u_uart2wb.reg_addr[13] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0408_));
+ sky130_fd_sc_hd__a22o_1 _5492_ (.A1(\u_uart2wb.reg_addr[18] ),
+    .A2(_2444_),
+    .B1(_2445_),
+    .B2(\u_uart2wb.reg_addr[14] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0409_));
+ sky130_fd_sc_hd__a22o_1 _5493_ (.A1(\u_uart2wb.reg_addr[19] ),
+    .A2(_2444_),
+    .B1(_2445_),
+    .B2(\u_uart2wb.reg_addr[15] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0410_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5494_ (.A(_2411_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2446_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5495_ (.A(_2416_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2447_));
+ sky130_fd_sc_hd__a22o_1 _5496_ (.A1(\u_uart2wb.reg_addr[20] ),
+    .A2(_2446_),
+    .B1(_2447_),
+    .B2(\u_uart2wb.reg_addr[16] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0411_));
+ sky130_fd_sc_hd__a22o_1 _5497_ (.A1(\u_uart2wb.reg_addr[21] ),
+    .A2(_2446_),
+    .B1(_2447_),
+    .B2(\u_uart2wb.reg_addr[17] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0412_));
+ sky130_fd_sc_hd__a22o_1 _5498_ (.A1(\u_uart2wb.reg_addr[22] ),
+    .A2(_2446_),
+    .B1(_2447_),
+    .B2(\u_uart2wb.reg_addr[18] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0413_));
+ sky130_fd_sc_hd__a22o_1 _5499_ (.A1(\u_uart2wb.reg_addr[23] ),
+    .A2(_2446_),
+    .B1(_2447_),
+    .B2(\u_uart2wb.reg_addr[19] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0414_));
+ sky130_fd_sc_hd__clkbuf_1 _5500_ (.A(_1726_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2448_));
+ sky130_fd_sc_hd__and2b_1 _5501_ (.A_N(\u_uart2wb.u_msg.cmd[1] ),
+    .B(\u_uart2wb.u_msg.cmd[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2449_));
+ sky130_fd_sc_hd__and4b_1 _5502_ (.A_N(\u_uart2wb.u_msg.cmd[4] ),
     .B(\u_uart2wb.u_msg.cmd[5] ),
     .C(\u_uart2wb.u_msg.cmd[2] ),
     .D(\u_uart2wb.u_msg.cmd[3] ),
@@ -80052,8 +81011,8 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2401_));
- sky130_fd_sc_hd__and4b_1 _5404_ (.A_N(\u_uart2wb.u_msg.cmd[15] ),
+    .X(_2450_));
+ sky130_fd_sc_hd__and4b_1 _5503_ (.A_N(\u_uart2wb.u_msg.cmd[15] ),
     .B(\u_uart2wb.u_msg.cmd[14] ),
     .C(\u_uart2wb.u_msg.cmd[12] ),
     .D(\u_uart2wb.u_msg.cmd[13] ),
@@ -80061,8 +81020,8 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2402_));
- sky130_fd_sc_hd__and4bb_1 _5405_ (.A_N(\u_uart2wb.u_msg.cmd[7] ),
+    .X(_2451_));
+ sky130_fd_sc_hd__and4bb_1 _5504_ (.A_N(\u_uart2wb.u_msg.cmd[7] ),
     .B_N(\u_uart2wb.u_msg.cmd[11] ),
     .C(\u_uart2wb.u_msg.cmd[9] ),
     .D(\u_uart2wb.u_msg.cmd[6] ),
@@ -80070,1884 +81029,1864 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2403_));
- sky130_fd_sc_hd__nand4_2 _5406_ (.A(_2400_),
-    .B(_2401_),
-    .C(_2402_),
-    .D(_2403_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2404_));
- sky130_fd_sc_hd__nand2_1 _5407_ (.A(\u_uart2wb.u_msg.cmd[8] ),
-    .B(\u_uart2wb.u_msg.cmd[10] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2405_));
- sky130_fd_sc_hd__or3_2 _5408_ (.A(_2399_),
-    .B(_2404_),
-    .C(_2405_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2406_));
- sky130_fd_sc_hd__buf_2 _5409_ (.A(_2352_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2407_));
- sky130_fd_sc_hd__o2111ai_4 _5410_ (.A1(_2003_),
-    .A2(_2399_),
-    .B1(_1671_),
-    .C1(_2363_),
-    .D1(_2407_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2408_));
- sky130_fd_sc_hd__a21oi_1 _5411_ (.A1(_2399_),
-    .A2(_2357_),
-    .B1(_2354_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2409_));
- sky130_fd_sc_hd__nor2_2 _5412_ (.A(_2348_),
-    .B(_2409_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2410_));
- sky130_fd_sc_hd__a211o_4 _5413_ (.A1(_2347_),
-    .A2(_2406_),
-    .B1(_2408_),
-    .C1(_2410_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2411_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5414_ (.A(_2411_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2412_));
- sky130_fd_sc_hd__clkbuf_1 _5415_ (.A(_2412_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2413_));
- sky130_fd_sc_hd__nand2_2 _5416_ (.A(_1864_),
-    .B(_2362_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2414_));
- sky130_fd_sc_hd__a2111oi_4 _5417_ (.A1(_2347_),
-    .A2(_2406_),
-    .B1(_2408_),
-    .C1(_2410_),
-    .D1(_2414_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2415_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5418_ (.A(_2415_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2416_));
- sky130_fd_sc_hd__clkbuf_1 _5419_ (.A(_2416_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2417_));
- sky130_fd_sc_hd__a22o_1 _5420_ (.A1(\u_uart2wb.reg_wdata[0] ),
-    .A2(_2413_),
-    .B1(_2417_),
-    .B2(_2384_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0407_));
- sky130_fd_sc_hd__a22o_1 _5421_ (.A1(\u_uart2wb.reg_wdata[1] ),
-    .A2(_2413_),
-    .B1(_2417_),
-    .B2(_2387_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0408_));
- sky130_fd_sc_hd__a22o_1 _5422_ (.A1(\u_uart2wb.reg_wdata[2] ),
-    .A2(_2413_),
-    .B1(_2417_),
-    .B2(_2388_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0409_));
- sky130_fd_sc_hd__a22o_1 _5423_ (.A1(\u_uart2wb.reg_wdata[3] ),
-    .A2(_2413_),
-    .B1(_2417_),
-    .B2(_2380_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0410_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5424_ (.A(_2412_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2418_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5425_ (.A(_2416_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2419_));
- sky130_fd_sc_hd__a22o_1 _5426_ (.A1(\u_uart2wb.reg_wdata[4] ),
-    .A2(_2418_),
-    .B1(_2419_),
-    .B2(\u_uart2wb.reg_wdata[0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0411_));
- sky130_fd_sc_hd__a22o_1 _5427_ (.A1(\u_uart2wb.reg_wdata[5] ),
-    .A2(_2418_),
-    .B1(_2419_),
-    .B2(\u_uart2wb.reg_wdata[1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0412_));
- sky130_fd_sc_hd__a22o_1 _5428_ (.A1(\u_uart2wb.reg_wdata[6] ),
-    .A2(_2418_),
-    .B1(_2419_),
-    .B2(\u_uart2wb.reg_wdata[2] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0413_));
- sky130_fd_sc_hd__a22o_1 _5429_ (.A1(\u_uart2wb.reg_wdata[7] ),
-    .A2(_2418_),
-    .B1(_2419_),
-    .B2(\u_uart2wb.reg_wdata[3] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0414_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5430_ (.A(_2412_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2420_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5431_ (.A(_2416_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2421_));
- sky130_fd_sc_hd__a22o_1 _5432_ (.A1(\u_uart2wb.reg_wdata[8] ),
-    .A2(_2420_),
-    .B1(_2421_),
-    .B2(\u_uart2wb.reg_wdata[4] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0415_));
- sky130_fd_sc_hd__a22o_1 _5433_ (.A1(\u_uart2wb.reg_wdata[9] ),
-    .A2(_2420_),
-    .B1(_2421_),
-    .B2(\u_uart2wb.reg_wdata[5] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0416_));
- sky130_fd_sc_hd__a22o_1 _5434_ (.A1(\u_uart2wb.reg_wdata[10] ),
-    .A2(_2420_),
-    .B1(_2421_),
-    .B2(\u_uart2wb.reg_wdata[6] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0417_));
- sky130_fd_sc_hd__a22o_1 _5435_ (.A1(\u_uart2wb.reg_wdata[11] ),
-    .A2(_2420_),
-    .B1(_2421_),
-    .B2(\u_uart2wb.reg_wdata[7] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0418_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5436_ (.A(_2412_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2422_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5437_ (.A(_2416_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2423_));
- sky130_fd_sc_hd__a22o_1 _5438_ (.A1(\u_uart2wb.reg_wdata[12] ),
-    .A2(_2422_),
-    .B1(_2423_),
-    .B2(\u_uart2wb.reg_wdata[8] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0419_));
- sky130_fd_sc_hd__a22o_1 _5439_ (.A1(\u_uart2wb.reg_wdata[13] ),
-    .A2(_2422_),
-    .B1(_2423_),
-    .B2(\u_uart2wb.reg_wdata[9] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0420_));
- sky130_fd_sc_hd__a22o_1 _5440_ (.A1(\u_uart2wb.reg_wdata[14] ),
-    .A2(_2422_),
-    .B1(_2423_),
-    .B2(\u_uart2wb.reg_wdata[10] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0421_));
- sky130_fd_sc_hd__a22o_1 _5441_ (.A1(\u_uart2wb.reg_wdata[15] ),
-    .A2(_2422_),
-    .B1(_2423_),
-    .B2(\u_uart2wb.reg_wdata[11] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0422_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5442_ (.A(_2411_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2424_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5443_ (.A(_2424_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2425_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5444_ (.A(_2415_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2426_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5445_ (.A(_2426_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2427_));
- sky130_fd_sc_hd__a22o_1 _5446_ (.A1(\u_uart2wb.reg_wdata[16] ),
-    .A2(_2425_),
-    .B1(_2427_),
-    .B2(\u_uart2wb.reg_wdata[12] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0423_));
- sky130_fd_sc_hd__a22o_1 _5447_ (.A1(\u_uart2wb.reg_wdata[17] ),
-    .A2(_2425_),
-    .B1(_2427_),
-    .B2(\u_uart2wb.reg_wdata[13] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0424_));
- sky130_fd_sc_hd__a22o_1 _5448_ (.A1(\u_uart2wb.reg_wdata[18] ),
-    .A2(_2425_),
-    .B1(_2427_),
-    .B2(\u_uart2wb.reg_wdata[14] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0425_));
- sky130_fd_sc_hd__a22o_1 _5449_ (.A1(\u_uart2wb.reg_wdata[19] ),
-    .A2(_2425_),
-    .B1(_2427_),
-    .B2(\u_uart2wb.reg_wdata[15] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0426_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5450_ (.A(_2424_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2428_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5451_ (.A(_2426_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2429_));
- sky130_fd_sc_hd__a22o_1 _5452_ (.A1(\u_uart2wb.reg_wdata[20] ),
-    .A2(_2428_),
-    .B1(_2429_),
-    .B2(\u_uart2wb.reg_wdata[16] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0427_));
- sky130_fd_sc_hd__a22o_1 _5453_ (.A1(\u_uart2wb.reg_wdata[21] ),
-    .A2(_2428_),
-    .B1(_2429_),
-    .B2(\u_uart2wb.reg_wdata[17] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0428_));
- sky130_fd_sc_hd__a22o_1 _5454_ (.A1(\u_uart2wb.reg_wdata[22] ),
-    .A2(_2428_),
-    .B1(_2429_),
-    .B2(\u_uart2wb.reg_wdata[18] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0429_));
- sky130_fd_sc_hd__a22o_1 _5455_ (.A1(\u_uart2wb.reg_wdata[23] ),
-    .A2(_2428_),
-    .B1(_2429_),
-    .B2(\u_uart2wb.reg_wdata[19] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0430_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5456_ (.A(_2424_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2430_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5457_ (.A(_2426_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2431_));
- sky130_fd_sc_hd__a22o_1 _5458_ (.A1(\u_uart2wb.reg_wdata[24] ),
-    .A2(_2430_),
-    .B1(_2431_),
-    .B2(\u_uart2wb.reg_wdata[20] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0431_));
- sky130_fd_sc_hd__a22o_1 _5459_ (.A1(\u_uart2wb.reg_wdata[25] ),
-    .A2(_2430_),
-    .B1(_2431_),
-    .B2(\u_uart2wb.reg_wdata[21] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0432_));
- sky130_fd_sc_hd__a22o_1 _5460_ (.A1(\u_uart2wb.reg_wdata[26] ),
-    .A2(_2430_),
-    .B1(_2431_),
-    .B2(\u_uart2wb.reg_wdata[22] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0433_));
- sky130_fd_sc_hd__a22o_1 _5461_ (.A1(\u_uart2wb.reg_wdata[27] ),
-    .A2(_2430_),
-    .B1(_2431_),
-    .B2(\u_uart2wb.reg_wdata[23] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0434_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5462_ (.A(_2424_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2432_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5463_ (.A(_2426_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2433_));
- sky130_fd_sc_hd__a22o_1 _5464_ (.A1(\u_uart2wb.reg_wdata[28] ),
-    .A2(_2432_),
-    .B1(_2433_),
-    .B2(\u_uart2wb.reg_wdata[24] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0435_));
- sky130_fd_sc_hd__a22o_1 _5465_ (.A1(\u_uart2wb.reg_wdata[29] ),
-    .A2(_2432_),
-    .B1(_2433_),
-    .B2(\u_uart2wb.reg_wdata[25] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0436_));
- sky130_fd_sc_hd__a22o_1 _5466_ (.A1(\u_uart2wb.reg_wdata[30] ),
-    .A2(_2432_),
-    .B1(_2433_),
-    .B2(\u_uart2wb.reg_wdata[26] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0437_));
- sky130_fd_sc_hd__a22o_1 _5467_ (.A1(\u_uart2wb.reg_wdata[31] ),
-    .A2(_2432_),
-    .B1(_2433_),
-    .B2(\u_uart2wb.reg_wdata[27] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0438_));
- sky130_fd_sc_hd__clkbuf_1 _5468_ (.A(_2404_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2434_));
- sky130_fd_sc_hd__nor3_1 _5469_ (.A(_2399_),
-    .B(_2434_),
-    .C(_2405_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2435_));
- sky130_fd_sc_hd__or2_1 _5470_ (.A(\u_uart2wb.u_msg.cmd[8] ),
-    .B(\u_uart2wb.u_msg.cmd[10] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2436_));
- sky130_fd_sc_hd__o31a_1 _5471_ (.A1(_2357_),
-    .A2(_2434_),
-    .A3(_2436_),
-    .B1(_2352_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2437_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5472_ (.A(_1663_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2438_));
- sky130_fd_sc_hd__nand2_1 _5473_ (.A(_2003_),
-    .B(_2363_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2439_));
- sky130_fd_sc_hd__a211oi_1 _5474_ (.A1(_2438_),
-    .A2(_2357_),
-    .B1(_2439_),
-    .C1(_2354_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2440_));
- sky130_fd_sc_hd__o21a_1 _5475_ (.A1(_2435_),
-    .A2(_2437_),
-    .B1(_2440_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2441_));
- sky130_fd_sc_hd__or3b_2 _5476_ (.A(_1887_),
-    .B(_1988_),
-    .C_N(_2017_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2442_));
- sky130_fd_sc_hd__and4bb_1 _5477_ (.A_N(_1686_),
-    .B_N(_1661_),
-    .C(_1668_),
-    .D(_1669_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2443_));
- sky130_fd_sc_hd__o221ai_2 _5478_ (.A1(_2352_),
-    .A2(_2442_),
-    .B1(_2414_),
-    .B2(_2443_),
-    .C1(_1863_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2444_));
- sky130_fd_sc_hd__or3b_1 _5479_ (.A(_2065_),
-    .B(_2444_),
-    .C_N(_2017_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2445_));
- sky130_fd_sc_hd__or3_1 _5480_ (.A(_2410_),
-    .B(_2441_),
-    .C(_2445_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2446_));
- sky130_fd_sc_hd__mux2_1 _5481_ (.A0(_2016_),
-    .A1(\u_uart2wb.reg_req ),
-    .S(_2446_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2447_));
- sky130_fd_sc_hd__clkbuf_1 _5482_ (.A(_2447_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0439_));
- sky130_fd_sc_hd__and4bb_1 _5483_ (.A_N(_1687_),
-    .B_N(_1661_),
-    .C(_1668_),
-    .D(_1669_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2448_));
- sky130_fd_sc_hd__o41a_1 _5484_ (.A1(_1888_),
-    .A2(_2410_),
-    .A3(_2441_),
-    .A4(_2445_),
-    .B1(\u_uart2wb.reg_wr ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2449_));
- sky130_fd_sc_hd__a41o_1 _5485_ (.A1(_2050_),
-    .A2(_2407_),
-    .A3(_2448_),
-    .A4(_2363_),
-    .B1(_2449_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0440_));
- sky130_fd_sc_hd__a21o_1 _5486_ (.A1(_1860_),
-    .A2(_2122_),
-    .B1(_2092_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2450_));
- sky130_fd_sc_hd__o21a_2 _5487_ (.A1(\u_uart2wb.u_msg.TxMsgSize[4] ),
-    .A2(_1996_),
-    .B1(_2008_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2451_));
- sky130_fd_sc_hd__a21o_1 _5488_ (.A1(\u_uart2wb.tx_data_avail ),
-    .A2(_2450_),
-    .B1(_2451_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0441_));
- sky130_fd_sc_hd__mux2_1 _5489_ (.A0(\u_uart2wb.u_core.u_txfsm.txdata[0] ),
-    .A1(\u_uart2wb.tx_data[0] ),
-    .S(_0905_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
     .X(_2452_));
- sky130_fd_sc_hd__clkbuf_1 _5490_ (.A(_2452_),
+ sky130_fd_sc_hd__nand4_2 _5505_ (.A(_2449_),
+    .B(_2450_),
+    .C(_2451_),
+    .D(_2452_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0442_));
- sky130_fd_sc_hd__clkbuf_2 _5491_ (.A(_0904_),
+    .Y(_2453_));
+ sky130_fd_sc_hd__nand2_1 _5506_ (.A(\u_uart2wb.u_msg.cmd[8] ),
+    .B(\u_uart2wb.u_msg.cmd[10] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2453_));
- sky130_fd_sc_hd__mux2_1 _5492_ (.A0(\u_uart2wb.u_core.u_txfsm.txdata[1] ),
-    .A1(\u_uart2wb.tx_data[1] ),
-    .S(_2453_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2454_));
- sky130_fd_sc_hd__clkbuf_1 _5493_ (.A(_2454_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0443_));
- sky130_fd_sc_hd__mux2_1 _5494_ (.A0(\u_uart2wb.u_core.u_txfsm.txdata[2] ),
-    .A1(\u_uart2wb.tx_data[2] ),
-    .S(_2453_),
+    .Y(_2454_));
+ sky130_fd_sc_hd__or3_4 _5507_ (.A(_2448_),
+    .B(_2453_),
+    .C(_2454_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2455_));
- sky130_fd_sc_hd__clkbuf_1 _5495_ (.A(_2455_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0444_));
- sky130_fd_sc_hd__mux2_1 _5496_ (.A0(\u_uart2wb.u_core.u_txfsm.txdata[3] ),
-    .A1(\u_uart2wb.tx_data[3] ),
-    .S(_2453_),
+ sky130_fd_sc_hd__or3b_2 _5508_ (.A(_1939_),
+    .B(_2064_),
+    .C_N(_2038_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2456_));
- sky130_fd_sc_hd__clkbuf_1 _5497_ (.A(_2456_),
+ sky130_fd_sc_hd__clkinv_2 _5509_ (.A(_1723_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0445_));
- sky130_fd_sc_hd__mux2_1 _5498_ (.A0(\u_uart2wb.u_core.u_txfsm.txdata[4] ),
-    .A1(\u_uart2wb.tx_data[4] ),
-    .S(_2453_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2457_));
- sky130_fd_sc_hd__clkbuf_1 _5499_ (.A(_2457_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0446_));
- sky130_fd_sc_hd__mux2_1 _5500_ (.A0(\u_uart2wb.u_core.u_txfsm.txdata[5] ),
-    .A1(\u_uart2wb.tx_data[5] ),
-    .S(_0904_),
+    .Y(_2457_));
+ sky130_fd_sc_hd__a2111o_1 _5510_ (.A1(_1913_),
+    .A2(_2397_),
+    .B1(_2456_),
+    .C1(_2457_),
+    .D1(_2396_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2458_));
- sky130_fd_sc_hd__clkbuf_1 _5501_ (.A(_2458_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0447_));
- sky130_fd_sc_hd__mux2_1 _5502_ (.A0(\u_uart2wb.u_core.u_txfsm.txdata[6] ),
-    .A1(\u_uart2wb.tx_data[6] ),
-    .S(_0904_),
+ sky130_fd_sc_hd__clkbuf_2 _5511_ (.A(_2403_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2459_));
- sky130_fd_sc_hd__clkbuf_1 _5503_ (.A(_2459_),
+ sky130_fd_sc_hd__nor2_2 _5512_ (.A(_1726_),
+    .B(_2459_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0448_));
- sky130_fd_sc_hd__clkbuf_2 _5504_ (.A(\u_uart2wb.reg_rdata[3] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2460_));
- sky130_fd_sc_hd__o21ai_4 _5505_ (.A1(\u_uart2wb.reg_rdata[1] ),
-    .A2(\u_uart2wb.reg_rdata[2] ),
-    .B1(_2460_),
+    .Y(_2460_));
+ sky130_fd_sc_hd__nor3b_2 _5513_ (.A(_1939_),
+    .B(_2064_),
+    .C_N(_2065_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_2461_));
- sky130_fd_sc_hd__inv_2 _5506_ (.A(_2461_),
+ sky130_fd_sc_hd__nand2_2 _5514_ (.A(_2098_),
+    .B(_2461_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_2462_));
- sky130_fd_sc_hd__nor2_2 _5507_ (.A(_2076_),
-    .B(_2462_),
+ sky130_fd_sc_hd__a2111oi_4 _5515_ (.A1(_2396_),
+    .A2(_2400_),
+    .B1(_2460_),
+    .C1(_2462_),
+    .D1(_2457_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_2463_));
- sky130_fd_sc_hd__buf_2 _5508_ (.A(\u_uart2wb.reg_rdata[0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2464_));
- sky130_fd_sc_hd__a221o_1 _5509_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[56] ),
-    .A2(_2009_),
-    .B1(_2463_),
-    .B2(_2464_),
-    .C1(_2041_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2465_));
- sky130_fd_sc_hd__or3_1 _5510_ (.A(_2464_),
-    .B(_2110_),
-    .C(_2461_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2466_));
- sky130_fd_sc_hd__or3b_1 _5511_ (.A(_2095_),
-    .B(_2465_),
-    .C_N(_2466_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2467_));
- sky130_fd_sc_hd__o21a_1 _5512_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[64] ),
-    .A2(_2268_),
-    .B1(_2467_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0449_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5513_ (.A(\u_uart2wb.reg_rdata[2] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2468_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5514_ (.A(\u_uart2wb.reg_rdata[1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2469_));
- sky130_fd_sc_hd__nor2_1 _5515_ (.A(_2469_),
-    .B(\u_uart2wb.reg_rdata[0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2470_));
- sky130_fd_sc_hd__inv_2 _5516_ (.A(\u_uart2wb.reg_rdata[3] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2471_));
- sky130_fd_sc_hd__o21a_1 _5517_ (.A1(\u_uart2wb.reg_rdata[0] ),
-    .A2(_2471_),
-    .B1(\u_uart2wb.reg_rdata[1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2472_));
- sky130_fd_sc_hd__a31o_1 _5518_ (.A1(_2460_),
-    .A2(_2468_),
-    .A3(_2470_),
-    .B1(_2472_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2473_));
- sky130_fd_sc_hd__a221o_1 _5519_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[57] ),
-    .A2(_2318_),
-    .B1(_2473_),
-    .B2(_2240_),
-    .C1(_2066_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2474_));
- sky130_fd_sc_hd__mux2_1 _5520_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[65] ),
-    .A1(_2474_),
-    .S(_2333_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2475_));
- sky130_fd_sc_hd__clkbuf_1 _5521_ (.A(_2475_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0450_));
- sky130_fd_sc_hd__o311a_1 _5522_ (.A1(_2469_),
-    .A2(_2464_),
-    .A3(_2471_),
-    .B1(_2468_),
-    .C1(_2215_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2476_));
- sky130_fd_sc_hd__a211o_1 _5523_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[58] ),
-    .A2(_2135_),
-    .B1(_2476_),
-    .C1(_2221_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2477_));
- sky130_fd_sc_hd__mux2_1 _5524_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[66] ),
-    .A1(_2477_),
-    .S(_2333_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2478_));
- sky130_fd_sc_hd__clkbuf_1 _5525_ (.A(_2478_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0451_));
- sky130_fd_sc_hd__and4bb_1 _5526_ (.A_N(_2469_),
-    .B_N(_2468_),
-    .C(_2100_),
-    .D(_2460_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2479_));
- sky130_fd_sc_hd__a211o_1 _5527_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[59] ),
-    .A2(_2135_),
-    .B1(_2479_),
-    .C1(_2071_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2480_));
- sky130_fd_sc_hd__buf_2 _5528_ (.A(_2085_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2481_));
- sky130_fd_sc_hd__mux2_1 _5529_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[67] ),
-    .A1(_2480_),
-    .S(_2481_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2482_));
- sky130_fd_sc_hd__clkbuf_1 _5530_ (.A(_2482_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0452_));
- sky130_fd_sc_hd__a21o_1 _5531_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[60] ),
-    .A2(_2258_),
-    .B1(_2463_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2483_));
- sky130_fd_sc_hd__mux2_1 _5532_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[68] ),
-    .A1(_2483_),
-    .S(_2481_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2484_));
- sky130_fd_sc_hd__clkbuf_1 _5533_ (.A(_2484_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0453_));
- sky130_fd_sc_hd__nor2_1 _5534_ (.A(_2071_),
-    .B(_2052_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2485_));
- sky130_fd_sc_hd__a211o_1 _5535_ (.A1(_2050_),
-    .A2(\u_uart2wb.u_msg.TxMsgBuf[61] ),
-    .B1(_2485_),
+ sky130_fd_sc_hd__a211o_4 _5516_ (.A1(_2414_),
+    .A2(_2455_),
+    .B1(_2458_),
     .C1(_2463_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .X(_2464_));
+ sky130_fd_sc_hd__clkbuf_1 _5517_ (.A(_2464_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2465_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5518_ (.A(_2465_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2466_));
+ sky130_fd_sc_hd__nand2_1 _5519_ (.A(_1931_),
+    .B(_2461_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2467_));
+ sky130_fd_sc_hd__a2111oi_4 _5520_ (.A1(_2414_),
+    .A2(_2455_),
+    .B1(_2458_),
+    .C1(_2463_),
+    .D1(_2467_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2468_));
+ sky130_fd_sc_hd__clkbuf_1 _5521_ (.A(_2468_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2469_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5522_ (.A(_2469_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2470_));
+ sky130_fd_sc_hd__a22o_1 _5523_ (.A1(\u_uart2wb.reg_wdata[0] ),
+    .A2(_2466_),
+    .B1(_2470_),
+    .B2(_2434_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0415_));
+ sky130_fd_sc_hd__a22o_1 _5524_ (.A1(\u_uart2wb.reg_wdata[1] ),
+    .A2(_2466_),
+    .B1(_2470_),
+    .B2(_2435_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0416_));
+ sky130_fd_sc_hd__a22o_1 _5525_ (.A1(\u_uart2wb.reg_wdata[2] ),
+    .A2(_2466_),
+    .B1(_2470_),
+    .B2(_2436_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0417_));
+ sky130_fd_sc_hd__a22o_1 _5526_ (.A1(\u_uart2wb.reg_wdata[3] ),
+    .A2(_2466_),
+    .B1(_2470_),
+    .B2(_2437_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0418_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5527_ (.A(_2465_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2471_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5528_ (.A(_2469_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2472_));
+ sky130_fd_sc_hd__a22o_1 _5529_ (.A1(\u_uart2wb.reg_wdata[4] ),
+    .A2(_2471_),
+    .B1(_2472_),
+    .B2(\u_uart2wb.reg_wdata[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0419_));
+ sky130_fd_sc_hd__a22o_1 _5530_ (.A1(\u_uart2wb.reg_wdata[5] ),
+    .A2(_2471_),
+    .B1(_2472_),
+    .B2(\u_uart2wb.reg_wdata[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0420_));
+ sky130_fd_sc_hd__a22o_1 _5531_ (.A1(\u_uart2wb.reg_wdata[6] ),
+    .A2(_2471_),
+    .B1(_2472_),
+    .B2(\u_uart2wb.reg_wdata[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0421_));
+ sky130_fd_sc_hd__a22o_1 _5532_ (.A1(\u_uart2wb.reg_wdata[7] ),
+    .A2(_2471_),
+    .B1(_2472_),
+    .B2(\u_uart2wb.reg_wdata[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0422_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5533_ (.A(_2465_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2473_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5534_ (.A(_2469_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2474_));
+ sky130_fd_sc_hd__a22o_1 _5535_ (.A1(\u_uart2wb.reg_wdata[8] ),
+    .A2(_2473_),
+    .B1(_2474_),
+    .B2(\u_uart2wb.reg_wdata[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0423_));
+ sky130_fd_sc_hd__a22o_1 _5536_ (.A1(\u_uart2wb.reg_wdata[9] ),
+    .A2(_2473_),
+    .B1(_2474_),
+    .B2(\u_uart2wb.reg_wdata[5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0424_));
+ sky130_fd_sc_hd__a22o_1 _5537_ (.A1(\u_uart2wb.reg_wdata[10] ),
+    .A2(_2473_),
+    .B1(_2474_),
+    .B2(\u_uart2wb.reg_wdata[6] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0425_));
+ sky130_fd_sc_hd__a22o_1 _5538_ (.A1(\u_uart2wb.reg_wdata[11] ),
+    .A2(_2473_),
+    .B1(_2474_),
+    .B2(\u_uart2wb.reg_wdata[7] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0426_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5539_ (.A(_2465_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2475_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5540_ (.A(_2469_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2476_));
+ sky130_fd_sc_hd__a22o_1 _5541_ (.A1(\u_uart2wb.reg_wdata[12] ),
+    .A2(_2475_),
+    .B1(_2476_),
+    .B2(\u_uart2wb.reg_wdata[8] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0427_));
+ sky130_fd_sc_hd__a22o_1 _5542_ (.A1(\u_uart2wb.reg_wdata[13] ),
+    .A2(_2475_),
+    .B1(_2476_),
+    .B2(\u_uart2wb.reg_wdata[9] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0428_));
+ sky130_fd_sc_hd__a22o_1 _5543_ (.A1(\u_uart2wb.reg_wdata[14] ),
+    .A2(_2475_),
+    .B1(_2476_),
+    .B2(\u_uart2wb.reg_wdata[10] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0429_));
+ sky130_fd_sc_hd__a22o_1 _5544_ (.A1(\u_uart2wb.reg_wdata[15] ),
+    .A2(_2475_),
+    .B1(_2476_),
+    .B2(\u_uart2wb.reg_wdata[11] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0430_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5545_ (.A(_2464_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2477_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5546_ (.A(_2477_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2478_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5547_ (.A(_2468_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2479_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5548_ (.A(_2479_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2480_));
+ sky130_fd_sc_hd__a22o_1 _5549_ (.A1(\u_uart2wb.reg_wdata[16] ),
+    .A2(_2478_),
+    .B1(_2480_),
+    .B2(\u_uart2wb.reg_wdata[12] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0431_));
+ sky130_fd_sc_hd__a22o_1 _5550_ (.A1(\u_uart2wb.reg_wdata[17] ),
+    .A2(_2478_),
+    .B1(_2480_),
+    .B2(\u_uart2wb.reg_wdata[13] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0432_));
+ sky130_fd_sc_hd__a22o_1 _5551_ (.A1(\u_uart2wb.reg_wdata[18] ),
+    .A2(_2478_),
+    .B1(_2480_),
+    .B2(\u_uart2wb.reg_wdata[14] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0433_));
+ sky130_fd_sc_hd__a22o_1 _5552_ (.A1(\u_uart2wb.reg_wdata[19] ),
+    .A2(_2478_),
+    .B1(_2480_),
+    .B2(\u_uart2wb.reg_wdata[15] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0434_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5553_ (.A(_2477_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2481_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5554_ (.A(_2479_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2482_));
+ sky130_fd_sc_hd__a22o_1 _5555_ (.A1(\u_uart2wb.reg_wdata[20] ),
+    .A2(_2481_),
+    .B1(_2482_),
+    .B2(\u_uart2wb.reg_wdata[16] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0435_));
+ sky130_fd_sc_hd__a22o_1 _5556_ (.A1(\u_uart2wb.reg_wdata[21] ),
+    .A2(_2481_),
+    .B1(_2482_),
+    .B2(\u_uart2wb.reg_wdata[17] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0436_));
+ sky130_fd_sc_hd__a22o_1 _5557_ (.A1(\u_uart2wb.reg_wdata[22] ),
+    .A2(_2481_),
+    .B1(_2482_),
+    .B2(\u_uart2wb.reg_wdata[18] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0437_));
+ sky130_fd_sc_hd__a22o_1 _5558_ (.A1(\u_uart2wb.reg_wdata[23] ),
+    .A2(_2481_),
+    .B1(_2482_),
+    .B2(\u_uart2wb.reg_wdata[19] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0438_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5559_ (.A(_2477_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2483_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5560_ (.A(_2479_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2484_));
+ sky130_fd_sc_hd__a22o_1 _5561_ (.A1(\u_uart2wb.reg_wdata[24] ),
+    .A2(_2483_),
+    .B1(_2484_),
+    .B2(\u_uart2wb.reg_wdata[20] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0439_));
+ sky130_fd_sc_hd__a22o_1 _5562_ (.A1(\u_uart2wb.reg_wdata[25] ),
+    .A2(_2483_),
+    .B1(_2484_),
+    .B2(\u_uart2wb.reg_wdata[21] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0440_));
+ sky130_fd_sc_hd__a22o_1 _5563_ (.A1(\u_uart2wb.reg_wdata[26] ),
+    .A2(_2483_),
+    .B1(_2484_),
+    .B2(\u_uart2wb.reg_wdata[22] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0441_));
+ sky130_fd_sc_hd__a22o_1 _5564_ (.A1(\u_uart2wb.reg_wdata[27] ),
+    .A2(_2483_),
+    .B1(_2484_),
+    .B2(\u_uart2wb.reg_wdata[23] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0442_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5565_ (.A(_2477_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2485_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5566_ (.A(_2479_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .X(_2486_));
- sky130_fd_sc_hd__mux2_1 _5536_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[69] ),
-    .A1(_2486_),
-    .S(_2481_),
+ sky130_fd_sc_hd__a22o_1 _5567_ (.A1(\u_uart2wb.reg_wdata[28] ),
+    .A2(_2485_),
+    .B1(_2486_),
+    .B2(\u_uart2wb.reg_wdata[24] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0443_));
+ sky130_fd_sc_hd__a22o_1 _5568_ (.A1(\u_uart2wb.reg_wdata[29] ),
+    .A2(_2485_),
+    .B1(_2486_),
+    .B2(\u_uart2wb.reg_wdata[25] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0444_));
+ sky130_fd_sc_hd__a22o_1 _5569_ (.A1(\u_uart2wb.reg_wdata[30] ),
+    .A2(_2485_),
+    .B1(_2486_),
+    .B2(\u_uart2wb.reg_wdata[26] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0445_));
+ sky130_fd_sc_hd__a22o_1 _5570_ (.A1(\u_uart2wb.reg_wdata[31] ),
+    .A2(_2485_),
+    .B1(_2486_),
+    .B2(\u_uart2wb.reg_wdata[27] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0446_));
+ sky130_fd_sc_hd__clkbuf_1 _5571_ (.A(_2453_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2487_));
- sky130_fd_sc_hd__clkbuf_1 _5537_ (.A(_2487_),
+ sky130_fd_sc_hd__nor3_1 _5572_ (.A(_2448_),
+    .B(_2487_),
+    .C(_2454_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0454_));
- sky130_fd_sc_hd__a221o_1 _5538_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[62] ),
-    .A2(_2318_),
-    .B1(_2462_),
-    .B2(_2101_),
-    .C1(_2041_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2488_));
- sky130_fd_sc_hd__mux2_1 _5539_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[70] ),
-    .A1(_2488_),
-    .S(_2481_),
+    .Y(_2488_));
+ sky130_fd_sc_hd__or2_1 _5573_ (.A(\u_uart2wb.u_msg.cmd[8] ),
+    .B(\u_uart2wb.u_msg.cmd[10] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2489_));
- sky130_fd_sc_hd__clkbuf_1 _5540_ (.A(_2489_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0455_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5541_ (.A(\u_uart2wb.u_msg.TxMsgSize[0] ),
+ sky130_fd_sc_hd__o31a_1 _5574_ (.A1(_1721_),
+    .A2(_2487_),
+    .A3(_2489_),
+    .B1(_2395_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2490_));
- sky130_fd_sc_hd__nor2_1 _5542_ (.A(_2490_),
-    .B(_2036_),
+ sky130_fd_sc_hd__o21a_1 _5575_ (.A1(_2488_),
+    .A2(_2490_),
+    .B1(_2401_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2491_));
- sky130_fd_sc_hd__or4_1 _5543_ (.A(_2253_),
-    .B(_2029_),
-    .C(_2214_),
-    .D(_2491_),
+    .X(_2491_));
+ sky130_fd_sc_hd__nor2_1 _5576_ (.A(_2098_),
+    .B(_2456_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2492_));
- sky130_fd_sc_hd__o21a_1 _5544_ (.A1(_2490_),
-    .A2(_2268_),
+    .Y(_2492_));
+ sky130_fd_sc_hd__nor2_1 _5577_ (.A(\u_uart2wb.reg_ack ),
+    .B(_2066_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2493_));
+ sky130_fd_sc_hd__a221o_1 _5578_ (.A1(_2457_),
+    .A2(_2461_),
     .B1(_2492_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0456_));
- sky130_fd_sc_hd__clkbuf_1 _5545_ (.A(\u_uart2wb.u_msg.TxMsgSize[1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2493_));
- sky130_fd_sc_hd__a21o_1 _5546_ (.A1(_2490_),
-    .A2(_2263_),
-    .B1(_2117_),
+    .B2(_1720_),
+    .C1(_2493_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2494_));
- sky130_fd_sc_hd__nor2_1 _5547_ (.A(_2493_),
-    .B(_2490_),
+ sky130_fd_sc_hd__or3b_1 _5579_ (.A(_2108_),
+    .B(_2494_),
+    .C_N(_2065_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2495_));
- sky130_fd_sc_hd__a21o_1 _5548_ (.A1(_2259_),
-    .A2(_2495_),
-    .B1(_1991_),
+    .X(_2495_));
+ sky130_fd_sc_hd__o31ai_1 _5580_ (.A1(_2463_),
+    .A2(_2491_),
+    .A3(_2495_),
+    .B1(\u_uart2wb.reg_req ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2496_));
- sky130_fd_sc_hd__a22o_1 _5549_ (.A1(_2493_),
-    .A2(_2494_),
-    .B1(_2496_),
-    .B2(_2127_),
+    .Y(_2496_));
+ sky130_fd_sc_hd__or4_1 _5581_ (.A(_1940_),
+    .B(_2463_),
+    .C(_2491_),
+    .D(_2495_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2497_));
+ sky130_fd_sc_hd__nand2_1 _5582_ (.A(_2496_),
+    .B(_2497_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_0447_));
+ sky130_fd_sc_hd__clkbuf_2 _5583_ (.A(_2396_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2498_));
+ sky130_fd_sc_hd__a32o_1 _5584_ (.A1(_2395_),
+    .A2(_2498_),
+    .A3(_2492_),
+    .B1(_2497_),
+    .B2(\u_uart2wb.reg_wr ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0448_));
+ sky130_fd_sc_hd__o21a_1 _5585_ (.A1(\u_uart2wb.u_msg.TxMsgSize[4] ),
+    .A2(_2047_),
+    .B1(_2139_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2499_));
+ sky130_fd_sc_hd__o21a_1 _5586_ (.A1(_2493_),
+    .A2(_2135_),
+    .B1(\u_uart2wb.tx_data_avail ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2500_));
+ sky130_fd_sc_hd__or2_1 _5587_ (.A(_2499_),
+    .B(_2500_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2501_));
+ sky130_fd_sc_hd__clkbuf_1 _5588_ (.A(_2501_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0449_));
+ sky130_fd_sc_hd__mux2_1 _5589_ (.A0(\u_uart2wb.u_core.u_txfsm.txdata[0] ),
+    .A1(\u_uart2wb.tx_data[0] ),
+    .S(_0911_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2502_));
+ sky130_fd_sc_hd__clkbuf_1 _5590_ (.A(_2502_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0450_));
+ sky130_fd_sc_hd__clkbuf_2 _5591_ (.A(_0910_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2503_));
+ sky130_fd_sc_hd__mux2_1 _5592_ (.A0(\u_uart2wb.u_core.u_txfsm.txdata[1] ),
+    .A1(\u_uart2wb.tx_data[1] ),
+    .S(_2503_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2504_));
+ sky130_fd_sc_hd__clkbuf_1 _5593_ (.A(_2504_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0451_));
+ sky130_fd_sc_hd__mux2_1 _5594_ (.A0(\u_uart2wb.u_core.u_txfsm.txdata[2] ),
+    .A1(\u_uart2wb.tx_data[2] ),
+    .S(_2503_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2505_));
+ sky130_fd_sc_hd__clkbuf_1 _5595_ (.A(_2505_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0452_));
+ sky130_fd_sc_hd__mux2_1 _5596_ (.A0(\u_uart2wb.u_core.u_txfsm.txdata[3] ),
+    .A1(\u_uart2wb.tx_data[3] ),
+    .S(_2503_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2506_));
+ sky130_fd_sc_hd__clkbuf_1 _5597_ (.A(_2506_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0453_));
+ sky130_fd_sc_hd__mux2_1 _5598_ (.A0(\u_uart2wb.u_core.u_txfsm.txdata[4] ),
+    .A1(\u_uart2wb.tx_data[4] ),
+    .S(_2503_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2507_));
+ sky130_fd_sc_hd__clkbuf_1 _5599_ (.A(_2507_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0454_));
+ sky130_fd_sc_hd__mux2_1 _5600_ (.A0(\u_uart2wb.u_core.u_txfsm.txdata[5] ),
+    .A1(\u_uart2wb.tx_data[5] ),
+    .S(_0910_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2508_));
+ sky130_fd_sc_hd__clkbuf_1 _5601_ (.A(_2508_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0455_));
+ sky130_fd_sc_hd__mux2_1 _5602_ (.A0(\u_uart2wb.u_core.u_txfsm.txdata[6] ),
+    .A1(\u_uart2wb.tx_data[6] ),
+    .S(_0910_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2509_));
+ sky130_fd_sc_hd__clkbuf_1 _5603_ (.A(_2509_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0456_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5604_ (.A(\u_uart2wb.reg_rdata[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2510_));
+ sky130_fd_sc_hd__o21ai_2 _5605_ (.A1(\u_uart2wb.reg_rdata[1] ),
+    .A2(\u_uart2wb.reg_rdata[2] ),
+    .B1(_2510_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2511_));
+ sky130_fd_sc_hd__inv_2 _5606_ (.A(_2511_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2512_));
+ sky130_fd_sc_hd__nor2_2 _5607_ (.A(_2158_),
+    .B(_2512_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2513_));
+ sky130_fd_sc_hd__clkbuf_2 _5608_ (.A(\u_uart2wb.reg_rdata[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2514_));
+ sky130_fd_sc_hd__a221o_1 _5609_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[56] ),
+    .A2(_2297_),
+    .B1(_2513_),
+    .B2(_2514_),
+    .C1(_2078_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2515_));
+ sky130_fd_sc_hd__or3_1 _5610_ (.A(_2514_),
+    .B(_2158_),
+    .C(_2511_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2516_));
+ sky130_fd_sc_hd__or3b_1 _5611_ (.A(_2138_),
+    .B(_2515_),
+    .C_N(_2516_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2517_));
+ sky130_fd_sc_hd__o21a_1 _5612_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[64] ),
+    .A2(_2317_),
+    .B1(_2517_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0457_));
- sky130_fd_sc_hd__or3_1 _5550_ (.A(_2493_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5613_ (.A(\u_uart2wb.reg_rdata[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2518_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5614_ (.A(\u_uart2wb.reg_rdata[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2519_));
+ sky130_fd_sc_hd__nor2_1 _5615_ (.A(_2519_),
+    .B(\u_uart2wb.reg_rdata[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2520_));
+ sky130_fd_sc_hd__inv_2 _5616_ (.A(\u_uart2wb.reg_rdata[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2521_));
+ sky130_fd_sc_hd__o21a_1 _5617_ (.A1(\u_uart2wb.reg_rdata[0] ),
+    .A2(_2521_),
+    .B1(\u_uart2wb.reg_rdata[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2522_));
+ sky130_fd_sc_hd__a31o_1 _5618_ (.A1(_2510_),
+    .A2(_2518_),
+    .A3(_2520_),
+    .B1(_2522_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2523_));
+ sky130_fd_sc_hd__a22o_1 _5619_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[57] ),
+    .A2(_2297_),
+    .B1(_2523_),
+    .B2(_2173_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2524_));
+ sky130_fd_sc_hd__or3b_1 _5620_ (.A(_2138_),
+    .B(_2524_),
+    .C_N(_2109_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2525_));
+ sky130_fd_sc_hd__o21a_1 _5621_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[65] ),
+    .A2(_2317_),
+    .B1(_2525_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0458_));
+ sky130_fd_sc_hd__o311a_1 _5622_ (.A1(_2519_),
+    .A2(_2514_),
+    .A3(_2521_),
+    .B1(_2518_),
+    .C1(_2220_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2526_));
+ sky130_fd_sc_hd__a211o_1 _5623_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[58] ),
+    .A2(_2179_),
+    .B1(_2526_),
+    .C1(_2269_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2527_));
+ sky130_fd_sc_hd__mux2_1 _5624_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[66] ),
+    .A1(_2527_),
+    .S(_2379_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2528_));
+ sky130_fd_sc_hd__clkbuf_1 _5625_ (.A(_2528_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0459_));
+ sky130_fd_sc_hd__and4bb_1 _5626_ (.A_N(_2519_),
+    .B_N(_2518_),
+    .C(_1920_),
+    .D(_2510_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2529_));
+ sky130_fd_sc_hd__a211o_1 _5627_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[59] ),
+    .A2(_2179_),
+    .B1(_2529_),
+    .C1(_2114_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2530_));
+ sky130_fd_sc_hd__clkbuf_2 _5628_ (.A(_2128_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2531_));
+ sky130_fd_sc_hd__mux2_1 _5629_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[67] ),
+    .A1(_2530_),
+    .S(_2531_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2532_));
+ sky130_fd_sc_hd__clkbuf_1 _5630_ (.A(_2532_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0460_));
+ sky130_fd_sc_hd__a21o_1 _5631_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[60] ),
+    .A2(_2306_),
+    .B1(_2513_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2533_));
+ sky130_fd_sc_hd__mux2_1 _5632_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[68] ),
+    .A1(_2533_),
+    .S(_2531_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2534_));
+ sky130_fd_sc_hd__clkbuf_1 _5633_ (.A(_2534_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0461_));
+ sky130_fd_sc_hd__nor2_1 _5634_ (.A(_2114_),
+    .B(_2153_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2535_));
+ sky130_fd_sc_hd__a211o_1 _5635_ (.A1(_1932_),
+    .A2(\u_uart2wb.u_msg.TxMsgBuf[61] ),
+    .B1(_2535_),
+    .C1(_2513_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2536_));
+ sky130_fd_sc_hd__mux2_1 _5636_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[69] ),
+    .A1(_2536_),
+    .S(_2531_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2537_));
+ sky130_fd_sc_hd__clkbuf_1 _5637_ (.A(_2537_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0462_));
+ sky130_fd_sc_hd__a221o_1 _5638_ (.A1(\u_uart2wb.u_msg.TxMsgBuf[62] ),
+    .A2(_2252_),
+    .B1(_2512_),
+    .B2(_2148_),
+    .C1(_2078_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2538_));
+ sky130_fd_sc_hd__mux2_1 _5639_ (.A0(\u_uart2wb.u_msg.TxMsgBuf[70] ),
+    .A1(_2538_),
+    .S(_2531_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2539_));
+ sky130_fd_sc_hd__clkbuf_1 _5640_ (.A(_2539_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0463_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5641_ (.A(\u_uart2wb.u_msg.TxMsgSize[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2540_));
+ sky130_fd_sc_hd__clkbuf_2 _5642_ (.A(_2130_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2541_));
+ sky130_fd_sc_hd__o2111a_1 _5643_ (.A1(_2540_),
+    .A2(_2348_),
+    .B1(_2040_),
+    .C1(_2130_),
+    .D1(_2198_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2542_));
+ sky130_fd_sc_hd__o21ba_1 _5644_ (.A1(_2540_),
+    .A2(_2541_),
+    .B1_N(_2542_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0464_));
+ sky130_fd_sc_hd__clkbuf_1 _5645_ (.A(\u_uart2wb.u_msg.TxMsgSize[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2543_));
+ sky130_fd_sc_hd__a21o_1 _5646_ (.A1(_2540_),
+    .A2(_2311_),
+    .B1(_2308_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2544_));
+ sky130_fd_sc_hd__nor2_1 _5647_ (.A(_2543_),
+    .B(_2540_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2545_));
+ sky130_fd_sc_hd__a21o_1 _5648_ (.A1(_2307_),
+    .A2(_2545_),
+    .B1(_2042_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2546_));
+ sky130_fd_sc_hd__a22o_1 _5649_ (.A1(_2543_),
+    .A2(_2544_),
+    .B1(_2546_),
+    .B2(_2541_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0465_));
+ sky130_fd_sc_hd__or3_1 _5650_ (.A(_2543_),
     .B(\u_uart2wb.u_msg.TxMsgSize[0] ),
     .C(\u_uart2wb.u_msg.TxMsgSize[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2497_));
- sky130_fd_sc_hd__o21ai_1 _5551_ (.A1(_2493_),
+    .X(_2547_));
+ sky130_fd_sc_hd__o21ai_1 _5651_ (.A1(_2543_),
     .A2(\u_uart2wb.u_msg.TxMsgSize[0] ),
     .B1(\u_uart2wb.u_msg.TxMsgSize[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2498_));
- sky130_fd_sc_hd__a21oi_1 _5552_ (.A1(_2497_),
-    .A2(_2498_),
-    .B1(_2036_),
+    .Y(_2548_));
+ sky130_fd_sc_hd__a21oi_1 _5652_ (.A1(_2547_),
+    .A2(_2548_),
+    .B1(_2085_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2499_));
- sky130_fd_sc_hd__or3_1 _5553_ (.A(_2020_),
-    .B(_2128_),
-    .C(_2499_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2500_));
- sky130_fd_sc_hd__o21a_1 _5554_ (.A1(\u_uart2wb.u_msg.TxMsgSize[2] ),
-    .A2(_2268_),
-    .B1(_2500_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0458_));
- sky130_fd_sc_hd__nand2_1 _5555_ (.A(\u_uart2wb.u_msg.TxMsgSize[3] ),
-    .B(_2497_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2501_));
- sky130_fd_sc_hd__a21oi_1 _5556_ (.A1(_1996_),
-    .A2(_2501_),
-    .B1(_2161_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2502_));
- sky130_fd_sc_hd__or4_1 _5557_ (.A(_2253_),
-    .B(_1990_),
-    .C(_2214_),
-    .D(_2502_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2503_));
- sky130_fd_sc_hd__o21a_1 _5558_ (.A1(\u_uart2wb.u_msg.TxMsgSize[3] ),
-    .A2(_2127_),
-    .B1(_2503_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0459_));
- sky130_fd_sc_hd__a21o_1 _5559_ (.A1(_2259_),
-    .A2(_1996_),
-    .B1(_2260_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2504_));
- sky130_fd_sc_hd__a21o_1 _5560_ (.A1(\u_uart2wb.u_msg.TxMsgSize[4] ),
-    .A2(_2504_),
-    .B1(_2039_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0460_));
- sky130_fd_sc_hd__clkbuf_1 _5561_ (.A(\u_uart2wb.u_msg.RxMsgCnt[0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2505_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5562_ (.A(_1671_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2506_));
- sky130_fd_sc_hd__or3_1 _5563_ (.A(_2016_),
-    .B(_1986_),
-    .C(_1987_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2507_));
- sky130_fd_sc_hd__a21bo_1 _5564_ (.A1(_2507_),
-    .A2(_2348_),
-    .B1_N(\u_arb.rstn ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2508_));
- sky130_fd_sc_hd__a211oi_1 _5565_ (.A1(_2349_),
-    .A2(_2353_),
-    .B1(_2508_),
-    .C1(_2355_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2509_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5566_ (.A(_2509_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2510_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5567_ (.A(_2510_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2511_));
- sky130_fd_sc_hd__nand3_1 _5568_ (.A(_2505_),
-    .B(_2506_),
-    .C(_2511_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2512_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5569_ (.A(_2354_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2513_));
- sky130_fd_sc_hd__nor2_1 _5570_ (.A(_2438_),
-    .B(_2513_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2514_));
- sky130_fd_sc_hd__o211ai_1 _5571_ (.A1(_1665_),
-    .A2(_2514_),
-    .B1(_2510_),
-    .C1(_2439_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2515_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5572_ (.A(_2509_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2516_));
- sky130_fd_sc_hd__a21o_1 _5573_ (.A1(_2506_),
-    .A2(_2516_),
-    .B1(_2505_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2517_));
- sky130_fd_sc_hd__and3_1 _5574_ (.A(_2512_),
-    .B(_2515_),
-    .C(_2517_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2518_));
- sky130_fd_sc_hd__clkbuf_1 _5575_ (.A(_2518_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0461_));
- sky130_fd_sc_hd__clkbuf_1 _5576_ (.A(_2515_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2519_));
- sky130_fd_sc_hd__a31o_1 _5577_ (.A1(_2505_),
-    .A2(_2506_),
-    .A3(_2510_),
-    .B1(\u_uart2wb.u_msg.RxMsgCnt[1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2520_));
- sky130_fd_sc_hd__and3_1 _5578_ (.A(\u_uart2wb.u_msg.RxMsgCnt[1] ),
-    .B(_2505_),
-    .C(_1670_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2521_));
- sky130_fd_sc_hd__nand2_1 _5579_ (.A(_2511_),
-    .B(_2521_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2522_));
- sky130_fd_sc_hd__and3_1 _5580_ (.A(_2519_),
-    .B(_2520_),
-    .C(_2522_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2523_));
- sky130_fd_sc_hd__clkbuf_1 _5581_ (.A(_2523_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0462_));
- sky130_fd_sc_hd__a21o_1 _5582_ (.A1(_2516_),
-    .A2(_2521_),
-    .B1(\u_uart2wb.u_msg.RxMsgCnt[2] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2524_));
- sky130_fd_sc_hd__and2_1 _5583_ (.A(\u_uart2wb.u_msg.RxMsgCnt[2] ),
-    .B(_2521_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2525_));
- sky130_fd_sc_hd__clkbuf_1 _5584_ (.A(_2525_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2526_));
- sky130_fd_sc_hd__nand2_1 _5585_ (.A(_2511_),
-    .B(_2526_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2527_));
- sky130_fd_sc_hd__and3_1 _5586_ (.A(_2519_),
-    .B(_2524_),
-    .C(_2527_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2528_));
- sky130_fd_sc_hd__clkbuf_1 _5587_ (.A(_2528_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0463_));
- sky130_fd_sc_hd__clkbuf_1 _5588_ (.A(\u_uart2wb.u_msg.RxMsgCnt[3] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2529_));
- sky130_fd_sc_hd__a21o_1 _5589_ (.A1(_2516_),
-    .A2(_2526_),
-    .B1(_2529_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2530_));
- sky130_fd_sc_hd__nand3_1 _5590_ (.A(_2529_),
-    .B(_2511_),
-    .C(_2526_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2531_));
- sky130_fd_sc_hd__and3_1 _5591_ (.A(_2519_),
-    .B(_2530_),
-    .C(_2531_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2532_));
- sky130_fd_sc_hd__clkbuf_1 _5592_ (.A(_2532_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0464_));
- sky130_fd_sc_hd__a31o_1 _5593_ (.A1(_2529_),
-    .A2(_2510_),
-    .A3(_2525_),
-    .B1(\u_uart2wb.u_msg.RxMsgCnt[4] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2533_));
- sky130_fd_sc_hd__nand4_1 _5594_ (.A(\u_uart2wb.u_msg.RxMsgCnt[4] ),
-    .B(_2529_),
-    .C(_2516_),
-    .D(_2526_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2534_));
- sky130_fd_sc_hd__and3_1 _5595_ (.A(_2519_),
-    .B(_2533_),
-    .C(_2534_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2535_));
- sky130_fd_sc_hd__clkbuf_1 _5596_ (.A(_2535_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0465_));
- sky130_fd_sc_hd__nor2_1 _5597_ (.A(_2407_),
-    .B(_1665_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2536_));
- sky130_fd_sc_hd__a2111o_2 _5598_ (.A1(_2065_),
-    .A2(_2004_),
-    .B1(_2536_),
-    .C1(_2444_),
-    .D1(_2451_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2537_));
- sky130_fd_sc_hd__clkbuf_1 _5599_ (.A(_2537_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2538_));
- sky130_fd_sc_hd__or3_1 _5600_ (.A(_1671_),
-    .B(_2434_),
-    .C(_2436_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2539_));
- sky130_fd_sc_hd__a21bo_1 _5601_ (.A1(_2406_),
-    .A2(_2539_),
-    .B1_N(_2440_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2540_));
- sky130_fd_sc_hd__o211a_1 _5602_ (.A1(_2438_),
-    .A2(_2513_),
-    .B1(_2506_),
-    .C1(_1888_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2541_));
- sky130_fd_sc_hd__nor2_1 _5603_ (.A(_1875_),
-    .B(_2541_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2542_));
- sky130_fd_sc_hd__a21oi_1 _5604_ (.A1(_2540_),
-    .A2(_2542_),
-    .B1(_2537_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2543_));
- sky130_fd_sc_hd__a221o_1 _5605_ (.A1(\u_uart2wb.u_msg.NextState[0] ),
-    .A2(_2259_),
-    .B1(_2538_),
-    .B2(_2050_),
-    .C1(_2543_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0466_));
- sky130_fd_sc_hd__nand2_1 _5606_ (.A(_2347_),
-    .B(_2409_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2544_));
- sky130_fd_sc_hd__nand2_1 _5607_ (.A(\u_uart2wb.u_msg.NextState[1] ),
-    .B(_2258_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2545_));
- sky130_fd_sc_hd__o211a_1 _5608_ (.A1(_2544_),
-    .A2(_2539_),
-    .B1(_2545_),
-    .C1(_2414_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2546_));
- sky130_fd_sc_hd__nor2_1 _5609_ (.A(_2448_),
-    .B(_2514_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2547_));
- sky130_fd_sc_hd__a31o_1 _5610_ (.A1(_2092_),
-    .A2(_2442_),
-    .A3(_2547_),
-    .B1(_2537_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2548_));
- sky130_fd_sc_hd__a2bb2o_1 _5611_ (.A1_N(_2546_),
-    .A2_N(_2538_),
-    .B1(_1888_),
-    .B2(_2548_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0467_));
- sky130_fd_sc_hd__a2111o_1 _5612_ (.A1(_2405_),
-    .A2(_2436_),
-    .B1(_2438_),
-    .C1(_2513_),
-    .D1(_2434_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2549_));
- sky130_fd_sc_hd__a21bo_1 _5613_ (.A1(_2442_),
-    .A2(_2549_),
-    .B1_N(_2092_),
+    .Y(_2549_));
+ sky130_fd_sc_hd__or3_1 _5653_ (.A(_2068_),
+    .B(_2182_),
+    .C(_2549_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2550_));
- sky130_fd_sc_hd__nor2_1 _5614_ (.A(_2538_),
-    .B(_2550_),
+ sky130_fd_sc_hd__o21a_1 _5654_ (.A1(\u_uart2wb.u_msg.TxMsgSize[2] ),
+    .A2(_2541_),
+    .B1(_2550_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0466_));
+ sky130_fd_sc_hd__nand2_1 _5655_ (.A(\u_uart2wb.u_msg.TxMsgSize[3] ),
+    .B(_2547_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_2551_));
- sky130_fd_sc_hd__a21oi_1 _5615_ (.A1(_2406_),
-    .A2(_2539_),
-    .B1(_2513_),
+ sky130_fd_sc_hd__a21oi_1 _5656_ (.A1(_2047_),
+    .A2(_2551_),
+    .B1(_2085_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_2552_));
- sky130_fd_sc_hd__or3_1 _5616_ (.A(_2439_),
-    .B(_2547_),
-    .C(_2552_),
+ sky130_fd_sc_hd__or4_1 _5657_ (.A(_2301_),
+    .B(_2041_),
+    .C(_2169_),
+    .D(_2552_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2553_));
- sky130_fd_sc_hd__a22o_1 _5617_ (.A1(_2017_),
-    .A2(_2538_),
-    .B1(_2551_),
-    .B2(_2553_),
+ sky130_fd_sc_hd__o21a_1 _5658_ (.A1(\u_uart2wb.u_msg.TxMsgSize[3] ),
+    .A2(_2541_),
+    .B1(_2553_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0468_));
- sky130_fd_sc_hd__a21o_1 _5618_ (.A1(\u_uart2wb.u_msg.NextState[3] ),
-    .A2(_2258_),
-    .B1(_1875_),
+    .X(_0467_));
+ sky130_fd_sc_hd__a21o_1 _5659_ (.A1(_2307_),
+    .A2(_2047_),
+    .B1(_2347_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2554_));
- sky130_fd_sc_hd__mux2_1 _5619_ (.A0(_2554_),
-    .A1(_2065_),
-    .S(_2537_),
+ sky130_fd_sc_hd__a21o_1 _5660_ (.A1(\u_uart2wb.u_msg.TxMsgSize[4] ),
+    .A2(_2554_),
+    .B1(_2088_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0468_));
+ sky130_fd_sc_hd__clkbuf_1 _5661_ (.A(_2409_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2555_));
- sky130_fd_sc_hd__clkbuf_1 _5620_ (.A(_2555_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0469_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5621_ (.A(la_data_in[4]),
+ sky130_fd_sc_hd__a22o_1 _5662_ (.A1(_1940_),
+    .A2(_2406_),
+    .B1(_2398_),
+    .B2(_1723_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2556_));
- sky130_fd_sc_hd__clkbuf_2 _5622_ (.A(la_data_in[5]),
+ sky130_fd_sc_hd__nand2_1 _5663_ (.A(net186),
+    .B(_2556_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2557_));
- sky130_fd_sc_hd__and2_1 _5623_ (.A(_2556_),
-    .B(_2557_),
+    .Y(_2557_));
+ sky130_fd_sc_hd__clkbuf_1 _5664_ (.A(_2557_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2558_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5624_ (.A(la_data_in[4]),
+ sky130_fd_sc_hd__clkbuf_1 _5665_ (.A(\u_uart2wb.u_msg.RxMsgCnt[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2559_));
- sky130_fd_sc_hd__clkbuf_2 _5625_ (.A(la_data_in[5]),
+ sky130_fd_sc_hd__or4b_1 _5666_ (.A(_2498_),
+    .B(_2555_),
+    .C(_2558_),
+    .D_N(_2559_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2560_));
- sky130_fd_sc_hd__nor2_1 _5626_ (.A(_2559_),
-    .B(_2560_),
+ sky130_fd_sc_hd__o21ai_1 _5667_ (.A1(_2407_),
+    .A2(_2460_),
+    .B1(_2462_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_2561_));
- sky130_fd_sc_hd__clkbuf_2 _5627_ (.A(_1246_),
+ sky130_fd_sc_hd__or3_1 _5668_ (.A(_2555_),
+    .B(_2558_),
+    .C(_2561_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2562_));
- sky130_fd_sc_hd__o31a_1 _5628_ (.A1(_1242_),
-    .A2(_2558_),
-    .A3(_2561_),
-    .B1(_2562_),
+ sky130_fd_sc_hd__clkbuf_1 _5669_ (.A(_2415_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2563_));
- sky130_fd_sc_hd__nor2_1 _5629_ (.A(\u_uart2wb.u_core.u_clk_ctl.high_count[0] ),
-    .B(_2563_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_0470_));
- sky130_fd_sc_hd__or2_1 _5630_ (.A(\u_uart2wb.u_core.u_clk_ctl.high_count[0] ),
-    .B(\u_uart2wb.u_core.u_clk_ctl.high_count[1] ),
+ sky130_fd_sc_hd__and2_1 _5670_ (.A(net186),
+    .B(_2556_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2564_));
- sky130_fd_sc_hd__nand2_1 _5631_ (.A(\u_uart2wb.u_core.u_clk_ctl.high_count[0] ),
-    .B(\u_uart2wb.u_core.u_clk_ctl.high_count[1] ),
+ sky130_fd_sc_hd__clkbuf_1 _5671_ (.A(_2564_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2565_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5632_ (.A(_1241_),
+    .X(_2565_));
+ sky130_fd_sc_hd__a31o_1 _5672_ (.A1(_1722_),
+    .A2(_2563_),
+    .A3(_2565_),
+    .B1(_2559_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2566_));
- sky130_fd_sc_hd__and3_1 _5633_ (.A(_2556_),
-    .B(_2557_),
-    .C(la_data_in[6]),
+ sky130_fd_sc_hd__and3_1 _5673_ (.A(_2560_),
+    .B(_2562_),
+    .C(_2566_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2567_));
- sky130_fd_sc_hd__clkbuf_2 _5634_ (.A(la_data_in[6]),
+ sky130_fd_sc_hd__clkbuf_1 _5674_ (.A(_2567_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2568_));
- sky130_fd_sc_hd__a21oi_1 _5635_ (.A1(_2559_),
-    .A2(_2560_),
-    .B1(_2568_),
+    .X(_0469_));
+ sky130_fd_sc_hd__nand2_1 _5675_ (.A(_2563_),
+    .B(_2565_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2569_));
- sky130_fd_sc_hd__o31a_1 _5636_ (.A1(_2566_),
-    .A2(_2567_),
-    .A3(_2569_),
-    .B1(_2562_),
+    .Y(_2568_));
+ sky130_fd_sc_hd__and3_1 _5676_ (.A(\u_uart2wb.u_msg.RxMsgCnt[1] ),
+    .B(_2559_),
+    .C(_1721_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2570_));
- sky130_fd_sc_hd__a21oi_1 _5637_ (.A1(_2564_),
-    .A2(_2565_),
-    .B1(_2570_),
+    .X(_2569_));
+ sky130_fd_sc_hd__inv_2 _5677_ (.A(_2569_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_0471_));
- sky130_fd_sc_hd__or3_1 _5638_ (.A(\u_uart2wb.u_core.u_clk_ctl.high_count[10] ),
-    .B(\u_uart2wb.u_core.u_clk_ctl.high_count[11] ),
-    .C(_1245_),
+    .Y(_2570_));
+ sky130_fd_sc_hd__a41o_1 _5678_ (.A1(_2559_),
+    .A2(_1722_),
+    .A3(_2563_),
+    .A4(_2565_),
+    .B1(\u_uart2wb.u_msg.RxMsgCnt[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2571_));
- sky130_fd_sc_hd__clkbuf_1 _5639_ (.A(_2571_),
+ sky130_fd_sc_hd__o211a_1 _5679_ (.A1(_2568_),
+    .A2(_2570_),
+    .B1(_2571_),
+    .C1(_2562_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2572_));
- sky130_fd_sc_hd__nor2_1 _5640_ (.A(_2572_),
-    .B(_1241_),
+    .X(_0470_));
+ sky130_fd_sc_hd__nand2_1 _5680_ (.A(_2402_),
+    .B(_2569_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2573_));
- sky130_fd_sc_hd__clkbuf_2 _5641_ (.A(_2573_),
+    .Y(_2572_));
+ sky130_fd_sc_hd__or3_1 _5681_ (.A(_2555_),
+    .B(_2558_),
+    .C(_2572_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2573_));
+ sky130_fd_sc_hd__a31o_1 _5682_ (.A1(_2563_),
+    .A2(_2565_),
+    .A3(_2569_),
+    .B1(_2402_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2574_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5642_ (.A(_2559_),
+ sky130_fd_sc_hd__and3_1 _5683_ (.A(_2562_),
+    .B(_2573_),
+    .C(_2574_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2575_));
- sky130_fd_sc_hd__clkbuf_1 _5643_ (.A(la_data_in[7]),
+ sky130_fd_sc_hd__clkbuf_1 _5684_ (.A(_2575_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0471_));
+ sky130_fd_sc_hd__a41o_1 _5685_ (.A1(_2402_),
+    .A2(_2415_),
+    .A3(_2564_),
+    .A4(_2569_),
+    .B1(\u_uart2wb.u_msg.RxMsgCnt[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2576_));
- sky130_fd_sc_hd__and3_1 _5644_ (.A(_2557_),
-    .B(_2568_),
-    .C(_2576_),
+ sky130_fd_sc_hd__inv_2 _5686_ (.A(\u_uart2wb.u_msg.RxMsgCnt[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2577_));
- sky130_fd_sc_hd__nand2_1 _5645_ (.A(_2575_),
-    .B(_2577_),
+    .Y(_2577_));
+ sky130_fd_sc_hd__or4_1 _5687_ (.A(_2577_),
+    .B(_2409_),
+    .C(_2557_),
+    .D(_2572_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2578_));
- sky130_fd_sc_hd__or2_1 _5646_ (.A(_2576_),
-    .B(_2567_),
+    .X(_2578_));
+ sky130_fd_sc_hd__and3_1 _5688_ (.A(_2562_),
+    .B(_2576_),
+    .C(_2578_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2579_));
- sky130_fd_sc_hd__or2_1 _5647_ (.A(\u_uart2wb.u_core.u_clk_ctl.high_count[2] ),
-    .B(_2564_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2580_));
- sky130_fd_sc_hd__nand2_1 _5648_ (.A(\u_uart2wb.u_core.u_clk_ctl.high_count[2] ),
-    .B(_2564_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2581_));
- sky130_fd_sc_hd__buf_2 _5649_ (.A(_1246_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2582_));
- sky130_fd_sc_hd__a21oi_1 _5650_ (.A1(_2580_),
-    .A2(_2581_),
-    .B1(_2582_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2583_));
- sky130_fd_sc_hd__a31o_1 _5651_ (.A1(_2574_),
-    .A2(_2578_),
-    .A3(_2579_),
-    .B1(_2583_),
+ sky130_fd_sc_hd__clkbuf_1 _5689_ (.A(_2579_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0472_));
- sky130_fd_sc_hd__clkbuf_2 _5652_ (.A(_2573_),
+ sky130_fd_sc_hd__inv_2 _5690_ (.A(\u_uart2wb.u_msg.RxMsgCnt[4] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2580_));
+ sky130_fd_sc_hd__o41ai_1 _5691_ (.A1(_2577_),
+    .A2(_2555_),
+    .A3(_2558_),
+    .A4(_2572_),
+    .B1(_2580_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2581_));
+ sky130_fd_sc_hd__o221a_1 _5692_ (.A1(_2568_),
+    .A2(_2561_),
+    .B1(_2578_),
+    .B2(_2580_),
+    .C1(_2581_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0473_));
+ sky130_fd_sc_hd__a2111o_2 _5693_ (.A1(_2108_),
+    .A2(_1918_),
+    .B1(_2408_),
+    .C1(_2494_),
+    .D1(_2499_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2582_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5694_ (.A(_2582_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2583_));
+ sky130_fd_sc_hd__and3_1 _5695_ (.A(_2197_),
+    .B(_1933_),
+    .C(_2043_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2584_));
- sky130_fd_sc_hd__and4_1 _5653_ (.A(la_data_in[5]),
+ sky130_fd_sc_hd__or3_1 _5696_ (.A(_1721_),
+    .B(_2487_),
+    .C(_2489_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2585_));
+ sky130_fd_sc_hd__a211o_1 _5697_ (.A1(_1722_),
+    .A2(_2448_),
+    .B1(_2462_),
+    .C1(_2459_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2586_));
+ sky130_fd_sc_hd__a21o_1 _5698_ (.A1(_2455_),
+    .A2(_2585_),
+    .B1(_2586_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2587_));
+ sky130_fd_sc_hd__or3_1 _5699_ (.A(_2107_),
+    .B(_2498_),
+    .C(_2460_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2588_));
+ sky130_fd_sc_hd__a31oi_1 _5700_ (.A1(_2584_),
+    .A2(_2587_),
+    .A3(_2588_),
+    .B1(_2583_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2589_));
+ sky130_fd_sc_hd__a221o_1 _5701_ (.A1(\u_uart2wb.u_msg.NextState[0] ),
+    .A2(_2307_),
+    .B1(_2583_),
+    .B2(_1932_),
+    .C1(_2589_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0474_));
+ sky130_fd_sc_hd__nand2_1 _5702_ (.A(\u_uart2wb.u_msg.NextState[1] ),
+    .B(_2306_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2590_));
+ sky130_fd_sc_hd__o211a_1 _5703_ (.A1(_2586_),
+    .A2(_2585_),
+    .B1(_2590_),
+    .C1(_2467_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2591_));
+ sky130_fd_sc_hd__nor2_1 _5704_ (.A(_2498_),
+    .B(_2460_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2592_));
+ sky130_fd_sc_hd__a31o_1 _5705_ (.A1(_2135_),
+    .A2(_2456_),
+    .A3(_2592_),
+    .B1(_2582_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2593_));
+ sky130_fd_sc_hd__a2bb2o_1 _5706_ (.A1_N(_2591_),
+    .A2_N(_2583_),
+    .B1(_1940_),
+    .B2(_2593_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0475_));
+ sky130_fd_sc_hd__a21o_1 _5707_ (.A1(_2455_),
+    .A2(_2585_),
+    .B1(_2459_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2594_));
+ sky130_fd_sc_hd__and3b_1 _5708_ (.A_N(_2592_),
+    .B(_2594_),
+    .C(_2414_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2595_));
+ sky130_fd_sc_hd__a2111o_1 _5709_ (.A1(_2454_),
+    .A2(_2489_),
+    .B1(_2448_),
+    .C1(_2459_),
+    .D1(_2487_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2596_));
+ sky130_fd_sc_hd__a21bo_1 _5710_ (.A1(_2456_),
+    .A2(_2596_),
+    .B1_N(_2135_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2597_));
+ sky130_fd_sc_hd__or2_1 _5711_ (.A(_2582_),
+    .B(_2597_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2598_));
+ sky130_fd_sc_hd__a2bb2o_1 _5712_ (.A1_N(_2595_),
+    .A2_N(_2598_),
+    .B1(_2583_),
+    .B2(_2065_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0476_));
+ sky130_fd_sc_hd__a21o_1 _5713_ (.A1(\u_uart2wb.u_msg.NextState[3] ),
+    .A2(_2306_),
+    .B1(_1928_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2599_));
+ sky130_fd_sc_hd__mux2_1 _5714_ (.A0(_2599_),
+    .A1(_2108_),
+    .S(_2582_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2600_));
+ sky130_fd_sc_hd__clkbuf_1 _5715_ (.A(_2600_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0477_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5716_ (.A(_1255_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2601_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5717_ (.A(la_data_in[4]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2602_));
+ sky130_fd_sc_hd__clkbuf_1 _5718_ (.A(la_data_in[5]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2603_));
+ sky130_fd_sc_hd__and2_1 _5719_ (.A(_2602_),
+    .B(_2603_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2604_));
+ sky130_fd_sc_hd__clkbuf_1 _5720_ (.A(_2602_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2605_));
+ sky130_fd_sc_hd__clkbuf_2 _5721_ (.A(_2603_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2606_));
+ sky130_fd_sc_hd__nor2_1 _5722_ (.A(_2605_),
+    .B(_2606_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2607_));
+ sky130_fd_sc_hd__clkbuf_2 _5723_ (.A(_1262_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2608_));
+ sky130_fd_sc_hd__o31a_1 _5724_ (.A1(_2601_),
+    .A2(_2604_),
+    .A3(_2607_),
+    .B1(_2608_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2609_));
+ sky130_fd_sc_hd__nor2_1 _5725_ (.A(\u_uart2wb.u_core.u_clk_ctl.high_count[0] ),
+    .B(_2609_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_0478_));
+ sky130_fd_sc_hd__or2_1 _5726_ (.A(\u_uart2wb.u_core.u_clk_ctl.high_count[0] ),
+    .B(\u_uart2wb.u_core.u_clk_ctl.high_count[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2610_));
+ sky130_fd_sc_hd__nand2_1 _5727_ (.A(\u_uart2wb.u_core.u_clk_ctl.high_count[0] ),
+    .B(\u_uart2wb.u_core.u_clk_ctl.high_count[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2611_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5728_ (.A(la_data_in[4]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2612_));
+ sky130_fd_sc_hd__and3_1 _5729_ (.A(_2612_),
+    .B(_2603_),
+    .C(la_data_in[6]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2613_));
+ sky130_fd_sc_hd__clkbuf_2 _5730_ (.A(la_data_in[6]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2614_));
+ sky130_fd_sc_hd__nor2_1 _5731_ (.A(_2614_),
+    .B(_2604_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2615_));
+ sky130_fd_sc_hd__o31a_1 _5732_ (.A1(_1256_),
+    .A2(_2613_),
+    .A3(_2615_),
+    .B1(_1263_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2616_));
+ sky130_fd_sc_hd__a21oi_1 _5733_ (.A1(_2610_),
+    .A2(_2611_),
+    .B1(_2616_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_0479_));
+ sky130_fd_sc_hd__or3_1 _5734_ (.A(\u_uart2wb.u_core.u_clk_ctl.high_count[11] ),
+    .B(\u_uart2wb.u_core.u_clk_ctl.high_count[10] ),
+    .C(_1260_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2617_));
+ sky130_fd_sc_hd__clkbuf_1 _5735_ (.A(_2617_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2618_));
+ sky130_fd_sc_hd__nor2_1 _5736_ (.A(_2618_),
+    .B(_1256_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2619_));
+ sky130_fd_sc_hd__clkbuf_2 _5737_ (.A(_2619_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2620_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5738_ (.A(_2605_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2621_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5739_ (.A(la_data_in[7]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2622_));
+ sky130_fd_sc_hd__and3_1 _5740_ (.A(_2603_),
+    .B(_2614_),
+    .C(_2622_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2623_));
+ sky130_fd_sc_hd__nand2_1 _5741_ (.A(_2621_),
+    .B(_2623_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2624_));
+ sky130_fd_sc_hd__or2_1 _5742_ (.A(_2622_),
+    .B(_2613_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2625_));
+ sky130_fd_sc_hd__or2_1 _5743_ (.A(\u_uart2wb.u_core.u_clk_ctl.high_count[2] ),
+    .B(_2610_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2626_));
+ sky130_fd_sc_hd__nand2_1 _5744_ (.A(\u_uart2wb.u_core.u_clk_ctl.high_count[2] ),
+    .B(_2610_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2627_));
+ sky130_fd_sc_hd__clkbuf_2 _5745_ (.A(_1263_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2628_));
+ sky130_fd_sc_hd__a21oi_1 _5746_ (.A1(_2626_),
+    .A2(_2627_),
+    .B1(_2628_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2629_));
+ sky130_fd_sc_hd__a31o_1 _5747_ (.A1(_2620_),
+    .A2(_2624_),
+    .A3(_2625_),
+    .B1(_2629_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0480_));
+ sky130_fd_sc_hd__and4_1 _5748_ (.A(la_data_in[5]),
     .B(la_data_in[6]),
     .C(la_data_in[7]),
     .D(la_data_in[8]),
@@ -81955,678 +82894,706 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2585_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5654_ (.A(_2585_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2586_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5655_ (.A(_2586_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2587_));
- sky130_fd_sc_hd__nand2_1 _5656_ (.A(_2575_),
-    .B(_2587_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2588_));
- sky130_fd_sc_hd__a21o_1 _5657_ (.A1(_2576_),
-    .A2(_2567_),
-    .B1(la_data_in[8]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2589_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5658_ (.A(_1243_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2590_));
- sky130_fd_sc_hd__nand2_1 _5659_ (.A(\u_uart2wb.u_core.u_clk_ctl.high_count[3] ),
-    .B(_2580_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2591_));
- sky130_fd_sc_hd__a21oi_1 _5660_ (.A1(_2590_),
-    .A2(_2591_),
-    .B1(_2582_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2592_));
- sky130_fd_sc_hd__a31o_1 _5661_ (.A1(_2584_),
-    .A2(_2588_),
-    .A3(_2589_),
-    .B1(_2592_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0473_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5662_ (.A(la_data_in[9]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2593_));
- sky130_fd_sc_hd__and3_1 _5663_ (.A(la_data_in[4]),
-    .B(_2593_),
-    .C(_2586_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2594_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5664_ (.A(la_data_in[4]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2595_));
- sky130_fd_sc_hd__a21oi_1 _5665_ (.A1(_2595_),
-    .A2(_2587_),
-    .B1(_2593_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2596_));
- sky130_fd_sc_hd__or3_1 _5666_ (.A(_1241_),
-    .B(_2594_),
-    .C(_2596_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2597_));
- sky130_fd_sc_hd__and2_1 _5667_ (.A(\u_uart2wb.u_core.u_clk_ctl.high_count[4] ),
-    .B(_2590_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2598_));
- sky130_fd_sc_hd__nor2_1 _5668_ (.A(\u_uart2wb.u_core.u_clk_ctl.high_count[4] ),
-    .B(_2590_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2599_));
- sky130_fd_sc_hd__o2bb2a_1 _5669_ (.A1_N(_1247_),
-    .A2_N(_2597_),
-    .B1(_2598_),
-    .B2(_2599_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0474_));
- sky130_fd_sc_hd__and3_1 _5670_ (.A(la_data_in[9]),
-    .B(la_data_in[10]),
-    .C(_2586_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2600_));
- sky130_fd_sc_hd__nand2_1 _5671_ (.A(_2575_),
-    .B(_2600_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2601_));
- sky130_fd_sc_hd__or2_1 _5672_ (.A(la_data_in[10]),
-    .B(_2594_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2602_));
- sky130_fd_sc_hd__xor2_1 _5673_ (.A(\u_uart2wb.u_core.u_clk_ctl.high_count[5] ),
-    .B(_2599_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2603_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5674_ (.A(_2572_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2604_));
- sky130_fd_sc_hd__clkbuf_2 _5675_ (.A(_2604_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2605_));
- sky130_fd_sc_hd__a32o_1 _5676_ (.A1(_2584_),
-    .A2(_2601_),
-    .A3(_2602_),
-    .B1(_2603_),
-    .B2(_2605_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0475_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5677_ (.A(_1244_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2606_));
- sky130_fd_sc_hd__o31ai_1 _5678_ (.A1(\u_uart2wb.u_core.u_clk_ctl.high_count[4] ),
-    .A2(\u_uart2wb.u_core.u_clk_ctl.high_count[5] ),
-    .A3(_2590_),
-    .B1(\u_uart2wb.u_core.u_clk_ctl.high_count[6] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2607_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5679_ (.A(_2556_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2608_));
- sky130_fd_sc_hd__and4_1 _5680_ (.A(la_data_in[9]),
-    .B(la_data_in[10]),
-    .C(la_data_in[11]),
-    .D(_2585_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2609_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5681_ (.A(_2609_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2610_));
- sky130_fd_sc_hd__a21oi_1 _5682_ (.A1(_2595_),
-    .A2(_2600_),
-    .B1(la_data_in[11]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2611_));
- sky130_fd_sc_hd__a211o_1 _5683_ (.A1(_2608_),
-    .A2(_2610_),
-    .B1(_2611_),
-    .C1(_2566_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2612_));
- sky130_fd_sc_hd__a22oi_1 _5684_ (.A1(_2606_),
-    .A2(_2607_),
-    .B1(_2612_),
-    .B2(_1247_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_0476_));
- sky130_fd_sc_hd__clkbuf_1 _5685_ (.A(la_data_in[12]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2613_));
- sky130_fd_sc_hd__and2_1 _5686_ (.A(_2613_),
-    .B(_2609_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2614_));
- sky130_fd_sc_hd__nand2_1 _5687_ (.A(_2575_),
-    .B(_2614_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2615_));
- sky130_fd_sc_hd__a21o_1 _5688_ (.A1(_2608_),
-    .A2(_2610_),
-    .B1(_2613_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2616_));
- sky130_fd_sc_hd__xnor2_1 _5689_ (.A(\u_uart2wb.u_core.u_clk_ctl.high_count[7] ),
-    .B(_2606_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2617_));
- sky130_fd_sc_hd__a32o_1 _5690_ (.A1(_2584_),
-    .A2(_2615_),
-    .A3(_2616_),
-    .B1(_2617_),
-    .B2(_2605_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0477_));
- sky130_fd_sc_hd__or3_1 _5691_ (.A(\u_uart2wb.u_core.u_clk_ctl.high_count[7] ),
-    .B(\u_uart2wb.u_core.u_clk_ctl.high_count[8] ),
-    .C(_2606_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2618_));
- sky130_fd_sc_hd__o21ai_1 _5692_ (.A1(\u_uart2wb.u_core.u_clk_ctl.high_count[7] ),
-    .A2(_2606_),
-    .B1(\u_uart2wb.u_core.u_clk_ctl.high_count[8] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2619_));
- sky130_fd_sc_hd__and3_1 _5693_ (.A(_2613_),
-    .B(la_data_in[13]),
-    .C(_2609_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2620_));
- sky130_fd_sc_hd__a21oi_1 _5694_ (.A1(_2595_),
-    .A2(_2614_),
-    .B1(la_data_in[13]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2621_));
- sky130_fd_sc_hd__a211o_1 _5695_ (.A1(_2559_),
-    .A2(_2620_),
-    .B1(_2621_),
-    .C1(_2566_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2622_));
- sky130_fd_sc_hd__a22oi_1 _5696_ (.A1(_2618_),
-    .A2(_2619_),
-    .B1(_2622_),
-    .B2(_1247_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_0478_));
- sky130_fd_sc_hd__and4_1 _5697_ (.A(la_data_in[12]),
-    .B(la_data_in[13]),
-    .C(la_data_in[14]),
-    .D(_2609_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2623_));
- sky130_fd_sc_hd__clkbuf_1 _5698_ (.A(_2623_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2624_));
- sky130_fd_sc_hd__nand2_1 _5699_ (.A(_2608_),
-    .B(_2624_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2625_));
- sky130_fd_sc_hd__a21o_1 _5700_ (.A1(_2608_),
-    .A2(_2620_),
-    .B1(la_data_in[14]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2626_));
- sky130_fd_sc_hd__xnor2_1 _5701_ (.A(\u_uart2wb.u_core.u_clk_ctl.high_count[9] ),
-    .B(_2618_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2627_));
- sky130_fd_sc_hd__a32o_1 _5702_ (.A1(_2584_),
-    .A2(_2625_),
-    .A3(_2626_),
-    .B1(_2627_),
-    .B2(_2605_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0479_));
- sky130_fd_sc_hd__or2_1 _5703_ (.A(\u_uart2wb.u_core.u_clk_ctl.high_count[10] ),
-    .B(_1245_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2628_));
- sky130_fd_sc_hd__nand2_1 _5704_ (.A(\u_uart2wb.u_core.u_clk_ctl.high_count[10] ),
-    .B(_1245_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2629_));
- sky130_fd_sc_hd__and3_1 _5705_ (.A(_2556_),
-    .B(la_data_in[15]),
-    .C(_2623_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
     .X(_2630_));
- sky130_fd_sc_hd__clkbuf_1 _5706_ (.A(la_data_in[15]),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5749_ (.A(_2630_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2631_));
- sky130_fd_sc_hd__a21oi_1 _5707_ (.A1(_2595_),
-    .A2(_2623_),
-    .B1(_2631_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5750_ (.A(_2631_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2632_));
- sky130_fd_sc_hd__o31a_1 _5708_ (.A1(_2566_),
-    .A2(_2630_),
-    .A3(_2632_),
-    .B1(_2562_),
+    .X(_2632_));
+ sky130_fd_sc_hd__nand2_1 _5751_ (.A(_2621_),
+    .B(_2632_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2633_));
- sky130_fd_sc_hd__a21oi_1 _5709_ (.A1(_2628_),
-    .A2(_2629_),
-    .B1(_2633_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_0480_));
- sky130_fd_sc_hd__a22o_1 _5710_ (.A1(\u_uart2wb.u_core.u_clk_ctl.high_count[11] ),
-    .A2(_2628_),
-    .B1(_2574_),
-    .B2(_2630_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0481_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5711_ (.A(\u_uart2wb.u_async_reg_bus.in_state[1] ),
+    .Y(_2633_));
+ sky130_fd_sc_hd__a21o_1 _5752_ (.A1(_2622_),
+    .A2(_2613_),
+    .B1(la_data_in[8]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2634_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5712_ (.A(\u_uart2wb.u_async_reg_bus.in_state[0] ),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5753_ (.A(_1258_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2635_));
- sky130_fd_sc_hd__inv_2 _5713_ (.A(_2635_),
+ sky130_fd_sc_hd__nand2_1 _5754_ (.A(\u_uart2wb.u_core.u_clk_ctl.high_count[3] ),
+    .B(_2626_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_2636_));
- sky130_fd_sc_hd__and3_1 _5714_ (.A(\u_uart2wb.u_async_reg_bus.in_timer[1] ),
+ sky130_fd_sc_hd__a21oi_1 _5755_ (.A1(_2635_),
+    .A2(_2636_),
+    .B1(_2628_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2637_));
+ sky130_fd_sc_hd__a31o_1 _5756_ (.A1(_2620_),
+    .A2(_2633_),
+    .A3(_2634_),
+    .B1(_2637_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0481_));
+ sky130_fd_sc_hd__clkbuf_2 _5757_ (.A(la_data_in[9]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2638_));
+ sky130_fd_sc_hd__and3_1 _5758_ (.A(_2602_),
+    .B(_2638_),
+    .C(_2631_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2639_));
+ sky130_fd_sc_hd__a21oi_1 _5759_ (.A1(_2605_),
+    .A2(_2632_),
+    .B1(_2638_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2640_));
+ sky130_fd_sc_hd__or3_1 _5760_ (.A(_1256_),
+    .B(_2639_),
+    .C(_2640_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2641_));
+ sky130_fd_sc_hd__and2_1 _5761_ (.A(\u_uart2wb.u_core.u_clk_ctl.high_count[4] ),
+    .B(_2635_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2642_));
+ sky130_fd_sc_hd__nor2_1 _5762_ (.A(\u_uart2wb.u_core.u_clk_ctl.high_count[4] ),
+    .B(_2635_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2643_));
+ sky130_fd_sc_hd__o2bb2a_1 _5763_ (.A1_N(_1264_),
+    .A2_N(_2641_),
+    .B1(_2642_),
+    .B2(_2643_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0482_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5764_ (.A(_2619_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2644_));
+ sky130_fd_sc_hd__and3_1 _5765_ (.A(la_data_in[9]),
+    .B(la_data_in[10]),
+    .C(_2631_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2645_));
+ sky130_fd_sc_hd__nand2_1 _5766_ (.A(_2621_),
+    .B(_2645_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2646_));
+ sky130_fd_sc_hd__or2_1 _5767_ (.A(la_data_in[10]),
+    .B(_2639_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2647_));
+ sky130_fd_sc_hd__xor2_1 _5768_ (.A(\u_uart2wb.u_core.u_clk_ctl.high_count[5] ),
+    .B(_2643_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2648_));
+ sky130_fd_sc_hd__clkbuf_1 _5769_ (.A(_2617_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2649_));
+ sky130_fd_sc_hd__clkbuf_2 _5770_ (.A(_2649_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2650_));
+ sky130_fd_sc_hd__a32o_1 _5771_ (.A1(_2644_),
+    .A2(_2646_),
+    .A3(_2647_),
+    .B1(_2648_),
+    .B2(_2650_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0483_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5772_ (.A(_1259_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2651_));
+ sky130_fd_sc_hd__o31ai_1 _5773_ (.A1(\u_uart2wb.u_core.u_clk_ctl.high_count[4] ),
+    .A2(\u_uart2wb.u_core.u_clk_ctl.high_count[5] ),
+    .A3(_2635_),
+    .B1(\u_uart2wb.u_core.u_clk_ctl.high_count[6] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2652_));
+ sky130_fd_sc_hd__clkbuf_1 _5774_ (.A(_2612_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2653_));
+ sky130_fd_sc_hd__and4_1 _5775_ (.A(la_data_in[9]),
+    .B(la_data_in[10]),
+    .C(la_data_in[11]),
+    .D(_2630_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2654_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5776_ (.A(_2654_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2655_));
+ sky130_fd_sc_hd__a21oi_1 _5777_ (.A1(_2605_),
+    .A2(_2645_),
+    .B1(la_data_in[11]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2656_));
+ sky130_fd_sc_hd__a211o_1 _5778_ (.A1(_2653_),
+    .A2(_2655_),
+    .B1(_2656_),
+    .C1(_2601_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2657_));
+ sky130_fd_sc_hd__a22oi_1 _5779_ (.A1(_2651_),
+    .A2(_2652_),
+    .B1(_2657_),
+    .B2(_1264_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_0484_));
+ sky130_fd_sc_hd__clkbuf_1 _5780_ (.A(la_data_in[12]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2658_));
+ sky130_fd_sc_hd__and2_1 _5781_ (.A(_2658_),
+    .B(_2654_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2659_));
+ sky130_fd_sc_hd__nand2_1 _5782_ (.A(_2621_),
+    .B(_2659_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2660_));
+ sky130_fd_sc_hd__a21o_1 _5783_ (.A1(_2653_),
+    .A2(_2655_),
+    .B1(_2658_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2661_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5784_ (.A(\u_uart2wb.u_core.u_clk_ctl.high_count[7] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2662_));
+ sky130_fd_sc_hd__xnor2_1 _5785_ (.A(_2662_),
+    .B(_2651_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2663_));
+ sky130_fd_sc_hd__a32o_1 _5786_ (.A1(_2644_),
+    .A2(_2660_),
+    .A3(_2661_),
+    .B1(_2663_),
+    .B2(_2650_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0485_));
+ sky130_fd_sc_hd__or3_1 _5787_ (.A(_2662_),
+    .B(\u_uart2wb.u_core.u_clk_ctl.high_count[8] ),
+    .C(_1259_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2664_));
+ sky130_fd_sc_hd__o21ai_1 _5788_ (.A1(_2662_),
+    .A2(_2651_),
+    .B1(\u_uart2wb.u_core.u_clk_ctl.high_count[8] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2665_));
+ sky130_fd_sc_hd__and3_1 _5789_ (.A(_2658_),
+    .B(la_data_in[13]),
+    .C(_2654_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2666_));
+ sky130_fd_sc_hd__a21oi_1 _5790_ (.A1(_2612_),
+    .A2(_2659_),
+    .B1(la_data_in[13]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2667_));
+ sky130_fd_sc_hd__a211o_1 _5791_ (.A1(_2653_),
+    .A2(_2666_),
+    .B1(_2667_),
+    .C1(_2601_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2668_));
+ sky130_fd_sc_hd__a22oi_1 _5792_ (.A1(_2664_),
+    .A2(_2665_),
+    .B1(_2668_),
+    .B2(_1264_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_0486_));
+ sky130_fd_sc_hd__and4_1 _5793_ (.A(la_data_in[12]),
+    .B(la_data_in[13]),
+    .C(la_data_in[14]),
+    .D(_2654_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2669_));
+ sky130_fd_sc_hd__clkbuf_1 _5794_ (.A(_2669_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2670_));
+ sky130_fd_sc_hd__nand2_1 _5795_ (.A(_2612_),
+    .B(_2670_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2671_));
+ sky130_fd_sc_hd__a21o_1 _5796_ (.A1(_2653_),
+    .A2(_2666_),
+    .B1(la_data_in[14]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2672_));
+ sky130_fd_sc_hd__o31ai_1 _5797_ (.A1(_2662_),
+    .A2(\u_uart2wb.u_core.u_clk_ctl.high_count[8] ),
+    .A3(_2651_),
+    .B1(\u_uart2wb.u_core.u_clk_ctl.high_count[9] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2673_));
+ sky130_fd_sc_hd__a21oi_1 _5798_ (.A1(_1261_),
+    .A2(_2673_),
+    .B1(_2608_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2674_));
+ sky130_fd_sc_hd__a31o_1 _5799_ (.A1(_2644_),
+    .A2(_2671_),
+    .A3(_2672_),
+    .B1(_2674_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0487_));
+ sky130_fd_sc_hd__or2_1 _5800_ (.A(\u_uart2wb.u_core.u_clk_ctl.high_count[10] ),
+    .B(_1261_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2675_));
+ sky130_fd_sc_hd__nand2_1 _5801_ (.A(\u_uart2wb.u_core.u_clk_ctl.high_count[10] ),
+    .B(_1261_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2676_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5802_ (.A(la_data_in[15]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2677_));
+ sky130_fd_sc_hd__inv_2 _5803_ (.A(_2677_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2678_));
+ sky130_fd_sc_hd__and3_1 _5804_ (.A(_2602_),
+    .B(la_data_in[15]),
+    .C(_2669_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2679_));
+ sky130_fd_sc_hd__a211o_1 _5805_ (.A1(_2678_),
+    .A2(_2671_),
+    .B1(_2679_),
+    .C1(_2601_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2680_));
+ sky130_fd_sc_hd__a22oi_1 _5806_ (.A1(_2675_),
+    .A2(_2676_),
+    .B1(_2680_),
+    .B2(_2628_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_0488_));
+ sky130_fd_sc_hd__a22o_1 _5807_ (.A1(\u_uart2wb.u_core.u_clk_ctl.high_count[11] ),
+    .A2(_2675_),
+    .B1(_2620_),
+    .B2(_2679_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0489_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5808_ (.A(\u_uart2wb.u_async_reg_bus.in_state[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2681_));
+ sky130_fd_sc_hd__clkbuf_2 _5809_ (.A(\u_uart2wb.u_async_reg_bus.in_state[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2682_));
+ sky130_fd_sc_hd__inv_2 _5810_ (.A(_2682_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2683_));
+ sky130_fd_sc_hd__and3_1 _5811_ (.A(\u_uart2wb.u_async_reg_bus.in_timer[1] ),
     .B(\u_uart2wb.u_async_reg_bus.in_timer[0] ),
     .C(\u_uart2wb.u_async_reg_bus.in_timer[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2637_));
- sky130_fd_sc_hd__and3_1 _5715_ (.A(\u_uart2wb.u_async_reg_bus.in_timer[3] ),
+    .X(_2684_));
+ sky130_fd_sc_hd__and3_1 _5812_ (.A(\u_uart2wb.u_async_reg_bus.in_timer[3] ),
     .B(\u_uart2wb.u_async_reg_bus.in_timer[5] ),
     .C(\u_uart2wb.u_async_reg_bus.in_timer[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2638_));
- sky130_fd_sc_hd__and2_1 _5716_ (.A(\u_uart2wb.u_async_reg_bus.in_timer[7] ),
+    .X(_2685_));
+ sky130_fd_sc_hd__and2_1 _5813_ (.A(\u_uart2wb.u_async_reg_bus.in_timer[7] ),
     .B(\u_uart2wb.u_async_reg_bus.in_timer[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2639_));
- sky130_fd_sc_hd__or2_1 _5717_ (.A(\u_uart2wb.u_async_reg_bus.out_flag_ss ),
+    .X(_2686_));
+ sky130_fd_sc_hd__or2_1 _5814_ (.A(\u_uart2wb.u_async_reg_bus.out_flag_ss ),
     .B(\u_uart2wb.u_async_reg_bus.in_state[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2640_));
- sky130_fd_sc_hd__a41o_1 _5718_ (.A1(\u_uart2wb.u_async_reg_bus.in_timer[8] ),
-    .A2(_2637_),
-    .A3(_2638_),
-    .A4(_2639_),
-    .B1(_2640_),
+    .X(_2687_));
+ sky130_fd_sc_hd__a41o_1 _5815_ (.A1(\u_uart2wb.u_async_reg_bus.in_timer[8] ),
+    .A2(_2684_),
+    .A3(_2685_),
+    .A4(_2686_),
+    .B1(_2687_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2641_));
- sky130_fd_sc_hd__clkbuf_1 _5719_ (.A(_2641_),
+    .X(_2688_));
+ sky130_fd_sc_hd__clkbuf_1 _5816_ (.A(_2688_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2642_));
- sky130_fd_sc_hd__nor2_1 _5720_ (.A(_2636_),
-    .B(_2642_),
+    .X(_2689_));
+ sky130_fd_sc_hd__nor2_1 _5817_ (.A(_2683_),
+    .B(_2689_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2643_));
- sky130_fd_sc_hd__nor3_1 _5721_ (.A(_2635_),
-    .B(_2634_),
+    .Y(_2690_));
+ sky130_fd_sc_hd__nor3_1 _5818_ (.A(_2682_),
+    .B(_2681_),
     .C(\u_uart2wb.reg_req ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2644_));
- sky130_fd_sc_hd__nor3_1 _5722_ (.A(_2634_),
-    .B(_2643_),
-    .C(_2644_),
+    .Y(_2691_));
+ sky130_fd_sc_hd__nor3_1 _5819_ (.A(_2681_),
+    .B(_2690_),
+    .C(_2691_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2645_));
- sky130_fd_sc_hd__nand2_2 _5723_ (.A(_2635_),
-    .B(_2641_),
+    .Y(_2692_));
+ sky130_fd_sc_hd__nand2_4 _5820_ (.A(_2682_),
+    .B(_2688_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2646_));
- sky130_fd_sc_hd__buf_2 _5724_ (.A(_2646_),
+    .Y(_2693_));
+ sky130_fd_sc_hd__buf_2 _5821_ (.A(_2693_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2647_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5725_ (.A(_2647_),
+    .X(_2694_));
+ sky130_fd_sc_hd__clkbuf_2 _5822_ (.A(_2694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2648_));
- sky130_fd_sc_hd__o21a_1 _5726_ (.A1(\u_uart2wb.u_async_reg_bus.in_flag ),
-    .A2(_2645_),
-    .B1(_2648_),
+    .X(_2695_));
+ sky130_fd_sc_hd__o21a_1 _5823_ (.A1(\u_uart2wb.u_async_reg_bus.in_flag ),
+    .A2(_2692_),
+    .B1(_2695_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0482_));
- sky130_fd_sc_hd__or2b_2 _5727_ (.A(la_data_in[16]),
+    .X(_0490_));
+ sky130_fd_sc_hd__or2b_1 _5824_ (.A(la_data_in[16]),
     .B_N(la_data_in[17]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2649_));
- sky130_fd_sc_hd__xor2_1 _5728_ (.A(\u_uart2wb.u_core.u_txfsm.txdata[3] ),
+    .X(_2696_));
+ sky130_fd_sc_hd__xor2_1 _5825_ (.A(\u_uart2wb.u_core.u_txfsm.txdata[3] ),
     .B(\u_uart2wb.u_core.u_txfsm.txdata[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2650_));
- sky130_fd_sc_hd__xnor2_1 _5729_ (.A(\u_uart2wb.u_core.u_txfsm.txdata[5] ),
-    .B(_2650_),
+    .X(_2697_));
+ sky130_fd_sc_hd__xnor2_1 _5826_ (.A(\u_uart2wb.u_core.u_txfsm.txdata[5] ),
+    .B(_2697_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2651_));
- sky130_fd_sc_hd__xnor2_1 _5730_ (.A(\u_uart2wb.u_core.u_txfsm.txdata[1] ),
+    .Y(_2698_));
+ sky130_fd_sc_hd__xnor2_1 _5827_ (.A(\u_uart2wb.u_core.u_txfsm.txdata[1] ),
     .B(\u_uart2wb.u_core.u_txfsm.txdata[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2652_));
- sky130_fd_sc_hd__xnor2_1 _5731_ (.A(\u_uart2wb.u_core.u_txfsm.txdata[4] ),
+    .Y(_2699_));
+ sky130_fd_sc_hd__xnor2_1 _5828_ (.A(\u_uart2wb.u_core.u_txfsm.txdata[4] ),
     .B(\u_uart2wb.u_core.u_txfsm.txdata[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2653_));
- sky130_fd_sc_hd__xnor2_1 _5732_ (.A(_2652_),
-    .B(_2653_),
+    .Y(_2700_));
+ sky130_fd_sc_hd__xnor2_2 _5829_ (.A(_2699_),
+    .B(_2700_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2654_));
- sky130_fd_sc_hd__xnor2_1 _5733_ (.A(_2651_),
-    .B(_2654_),
+    .Y(_2701_));
+ sky130_fd_sc_hd__xnor2_2 _5830_ (.A(_2698_),
+    .B(_2701_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2655_));
- sky130_fd_sc_hd__xnor2_1 _5734_ (.A(_2649_),
-    .B(_2655_),
+    .Y(_2702_));
+ sky130_fd_sc_hd__xnor2_1 _5831_ (.A(_2696_),
+    .B(_2702_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2656_));
- sky130_fd_sc_hd__mux4_1 _5735_ (.A0(\u_uart2wb.u_core.u_txfsm.txdata[0] ),
-    .A1(\u_uart2wb.u_core.u_txfsm.txdata[2] ),
-    .A2(\u_uart2wb.u_core.u_txfsm.txdata[1] ),
-    .A3(\u_uart2wb.u_core.u_txfsm.txdata[3] ),
-    .S0(\u_uart2wb.u_core.u_txfsm.cnt[1] ),
-    .S1(_0898_),
+    .Y(_2703_));
+ sky130_fd_sc_hd__and3b_1 _5832_ (.A_N(_0905_),
+    .B(\u_uart2wb.u_core.u_txfsm.txdata[6] ),
+    .C(\u_uart2wb.u_core.u_txfsm.cnt[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2657_));
- sky130_fd_sc_hd__inv_2 _5736_ (.A(_0898_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2658_));
- sky130_fd_sc_hd__inv_2 _5737_ (.A(\u_uart2wb.u_core.u_txfsm.cnt[1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2659_));
- sky130_fd_sc_hd__mux2_1 _5738_ (.A0(\u_uart2wb.u_core.u_txfsm.txdata[4] ),
+    .X(_2704_));
+ sky130_fd_sc_hd__mux2_1 _5833_ (.A0(\u_uart2wb.u_core.u_txfsm.txdata[4] ),
     .A1(\u_uart2wb.u_core.u_txfsm.txdata[5] ),
     .S(\u_uart2wb.u_core.u_txfsm.cnt[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2660_));
- sky130_fd_sc_hd__a21bo_1 _5739_ (.A1(_2659_),
-    .A2(_2660_),
-    .B1_N(\u_uart2wb.u_core.u_txfsm.cnt[2] ),
+    .X(_2705_));
+ sky130_fd_sc_hd__inv_2 _5834_ (.A(_2705_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2661_));
- sky130_fd_sc_hd__a31o_1 _5740_ (.A1(_2658_),
-    .A2(_0900_),
-    .A3(\u_uart2wb.u_core.u_txfsm.txdata[6] ),
-    .B1(_2661_),
+    .Y(_2706_));
+ sky130_fd_sc_hd__o21ai_1 _5835_ (.A1(_0904_),
+    .A2(_2706_),
+    .B1(_0903_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2662_));
- sky130_fd_sc_hd__o211a_1 _5741_ (.A1(_0897_),
-    .A2(_2657_),
-    .B1(_2662_),
-    .C1(\u_uart2wb.u_core.u_txfsm.txstate[3] ),
+    .Y(_2707_));
+ sky130_fd_sc_hd__mux2_1 _5836_ (.A0(\u_uart2wb.u_core.u_txfsm.txdata[2] ),
+    .A1(\u_uart2wb.u_core.u_txfsm.txdata[3] ),
+    .S(_0905_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2663_));
- sky130_fd_sc_hd__a2111o_1 _5742_ (.A1(\u_uart2wb.u_core.u_txfsm.txstate[2] ),
-    .A2(_2656_),
-    .B1(_2663_),
-    .C1(_0885_),
+    .X(_2708_));
+ sky130_fd_sc_hd__mux2_1 _5837_ (.A0(\u_uart2wb.u_core.u_txfsm.txdata[0] ),
+    .A1(\u_uart2wb.u_core.u_txfsm.txdata[1] ),
+    .S(\u_uart2wb.u_core.u_txfsm.cnt[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2709_));
+ sky130_fd_sc_hd__and2b_1 _5838_ (.A_N(\u_uart2wb.u_core.u_txfsm.cnt[1] ),
+    .B(_2709_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2710_));
+ sky130_fd_sc_hd__a211o_1 _5839_ (.A1(\u_uart2wb.u_core.u_txfsm.cnt[1] ),
+    .A2(_2708_),
+    .B1(_2710_),
+    .C1(\u_uart2wb.u_core.u_txfsm.cnt[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2711_));
+ sky130_fd_sc_hd__o211a_1 _5840_ (.A1(_2704_),
+    .A2(_2707_),
+    .B1(\u_uart2wb.u_core.u_txfsm.txstate[3] ),
+    .C1(_2711_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2712_));
+ sky130_fd_sc_hd__a2111o_1 _5841_ (.A1(\u_uart2wb.u_core.u_txfsm.txstate[2] ),
+    .A2(_2703_),
+    .B1(_2712_),
+    .C1(_0891_),
     .D1(\u_uart2wb.u_core.u_txfsm.txstate[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2664_));
- sky130_fd_sc_hd__or4_1 _5743_ (.A(\u_uart2wb.u_core.u_txfsm.txstate[1] ),
+    .X(_2713_));
+ sky130_fd_sc_hd__or4_1 _5842_ (.A(\u_uart2wb.u_core.u_txfsm.txstate[1] ),
     .B(\u_uart2wb.u_core.u_txfsm.txstate[4] ),
     .C(\u_uart2wb.u_core.u_txfsm.txstate[0] ),
     .D(\u_uart2wb.u_core.u_txfsm.txstate[3] ),
@@ -82634,1305 +83601,858 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2665_));
- sky130_fd_sc_hd__o211a_1 _5744_ (.A1(\u_uart2wb.u_core.u_txfsm.txstate[2] ),
-    .A2(_2665_),
-    .B1(_2338_),
-    .C1(_0887_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2666_));
- sky130_fd_sc_hd__mux2_1 _5745_ (.A0(net67),
-    .A1(_2664_),
-    .S(_2666_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2667_));
- sky130_fd_sc_hd__clkbuf_1 _5746_ (.A(_2667_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0483_));
- sky130_fd_sc_hd__a32o_1 _5747_ (.A1(\u_uart2wb.tx_rd ),
-    .A2(_0888_),
-    .A3(_2339_),
-    .B1(_0905_),
-    .B2(_0903_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0484_));
- sky130_fd_sc_hd__clkbuf_1 _5748_ (.A(\u_uart2wb.u_core.u_rxfsm.rxstate[2] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2668_));
- sky130_fd_sc_hd__clkbuf_1 _5749_ (.A(\u_uart2wb.u_core.u_rxfsm.rxstate[1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2669_));
- sky130_fd_sc_hd__nor3_1 _5750_ (.A(_2668_),
-    .B(\u_uart2wb.u_core.u_rxfsm.rxstate[0] ),
-    .C(_2669_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2670_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5751_ (.A(_2669_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2671_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5752_ (.A(\u_uart2wb.u_core.u_rxfsm.rxstate[0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2672_));
- sky130_fd_sc_hd__nor2_1 _5753_ (.A(_2668_),
-    .B(_2672_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2673_));
- sky130_fd_sc_hd__nand2_1 _5754_ (.A(_2671_),
-    .B(_2673_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2674_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5755_ (.A(_2674_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2675_));
- sky130_fd_sc_hd__nor2_1 _5756_ (.A(_0896_),
-    .B(_2675_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2676_));
- sky130_fd_sc_hd__clkbuf_1 _5757_ (.A(\u_uart2wb.u_core.u_rxfsm.rxstate[2] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2677_));
- sky130_fd_sc_hd__clkbuf_2 _5758_ (.A(\u_uart2wb.u_core.si_ss ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2678_));
- sky130_fd_sc_hd__nor2_1 _5759_ (.A(_2672_),
-    .B(_2671_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2679_));
- sky130_fd_sc_hd__and4_1 _5760_ (.A(la_data_in[3]),
-    .B(_2677_),
-    .C(_2678_),
-    .D(_2679_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2680_));
- sky130_fd_sc_hd__or3_1 _5761_ (.A(_2670_),
-    .B(_2676_),
-    .C(_2680_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2681_));
- sky130_fd_sc_hd__xor2_1 _5762_ (.A(\u_uart2wb.u_core.u_rxfsm.offset[0] ),
-    .B(\u_uart2wb.u_core.u_rxfsm.rxpos[0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2682_));
- sky130_fd_sc_hd__xor2_1 _5763_ (.A(\u_uart2wb.u_core.u_rxfsm.offset[3] ),
-    .B(\u_uart2wb.u_core.u_rxfsm.rxpos[3] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2683_));
- sky130_fd_sc_hd__xor2_1 _5764_ (.A(\u_uart2wb.u_core.u_rxfsm.rxpos[1] ),
-    .B(\u_uart2wb.u_core.u_rxfsm.offset[1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2684_));
- sky130_fd_sc_hd__xor2_1 _5765_ (.A(\u_uart2wb.u_core.u_rxfsm.rxpos[2] ),
-    .B(\u_uart2wb.u_core.u_rxfsm.offset[2] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2685_));
- sky130_fd_sc_hd__or4_4 _5766_ (.A(_2682_),
-    .B(_2683_),
-    .C(_2684_),
-    .D(_2685_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2686_));
- sky130_fd_sc_hd__inv_2 _5767_ (.A(la_data_in[2]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2687_));
- sky130_fd_sc_hd__o21a_1 _5768_ (.A1(_2687_),
-    .A2(\u_uart2wb.u_core.si_ss ),
-    .B1(_2670_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2688_));
- sky130_fd_sc_hd__a31o_1 _5769_ (.A1(_2671_),
-    .A2(_2673_),
-    .A3(_2686_),
-    .B1(_2688_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2689_));
- sky130_fd_sc_hd__inv_2 _5770_ (.A(\u_uart2wb.u_core.u_rxfsm.cnt[2] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2690_));
- sky130_fd_sc_hd__nand2_1 _5771_ (.A(\u_uart2wb.u_core.u_rxfsm.cnt[1] ),
-    .B(\u_uart2wb.u_core.u_rxfsm.cnt[0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2691_));
- sky130_fd_sc_hd__or2_1 _5772_ (.A(_2690_),
-    .B(_2691_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2692_));
- sky130_fd_sc_hd__or3b_2 _5773_ (.A(_2668_),
-    .B(\u_uart2wb.u_core.u_rxfsm.rxstate[1] ),
-    .C_N(\u_uart2wb.u_core.u_rxfsm.rxstate[0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2693_));
- sky130_fd_sc_hd__a21oi_1 _5774_ (.A1(\u_uart2wb.u_core.si_ss ),
-    .A2(_2692_),
-    .B1(_2693_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2694_));
- sky130_fd_sc_hd__o21a_1 _5775_ (.A1(\u_uart2wb.u_core.si_ss ),
-    .A2(_2692_),
-    .B1(_2694_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2695_));
- sky130_fd_sc_hd__and3b_1 _5776_ (.A_N(_2668_),
-    .B(_2672_),
-    .C(_2669_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2696_));
- sky130_fd_sc_hd__and2b_1 _5777_ (.A_N(_2669_),
-    .B(_2677_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2697_));
- sky130_fd_sc_hd__o21a_1 _5778_ (.A1(_2696_),
-    .A2(_2697_),
-    .B1(_2686_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2698_));
- sky130_fd_sc_hd__or2b_1 _5779_ (.A(_2674_),
-    .B_N(_2692_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2699_));
- sky130_fd_sc_hd__or4b_2 _5780_ (.A(_2689_),
-    .B(_2695_),
-    .C(_2698_),
-    .D_N(_2699_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2700_));
- sky130_fd_sc_hd__mux2_1 _5781_ (.A0(_2681_),
-    .A1(_2672_),
-    .S(_2700_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2701_));
- sky130_fd_sc_hd__clkbuf_1 _5782_ (.A(_2701_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0485_));
- sky130_fd_sc_hd__or2_1 _5783_ (.A(_2694_),
-    .B(_2676_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2702_));
- sky130_fd_sc_hd__mux2_1 _5784_ (.A0(_2702_),
-    .A1(_2671_),
-    .S(_2700_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2703_));
- sky130_fd_sc_hd__clkbuf_1 _5785_ (.A(_2703_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0486_));
- sky130_fd_sc_hd__nor2_1 _5786_ (.A(_0906_),
-    .B(_2675_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2704_));
- sky130_fd_sc_hd__or3_1 _5787_ (.A(_2696_),
-    .B(_2680_),
-    .C(_2704_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2705_));
- sky130_fd_sc_hd__mux2_1 _5788_ (.A0(_2705_),
-    .A1(_2677_),
-    .S(_2700_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2706_));
- sky130_fd_sc_hd__clkbuf_1 _5789_ (.A(_2706_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0487_));
- sky130_fd_sc_hd__mux2_2 _5790_ (.A0(\u_async_wb.u_resp_if.mem[0][0] ),
-    .A1(\u_async_wb.u_resp_if.mem[1][0] ),
-    .S(_1206_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2707_));
- sky130_fd_sc_hd__mux2_4 _5791_ (.A0(\reg_rdata[0] ),
-    .A1(_2707_),
-    .S(_1203_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2708_));
- sky130_fd_sc_hd__mux2_1 _5792_ (.A0(\wb_dat_o[0] ),
-    .A1(_2708_),
-    .S(wb_ack_o1),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2709_));
- sky130_fd_sc_hd__clkbuf_1 _5793_ (.A(_2709_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0488_));
- sky130_fd_sc_hd__clkbuf_2 _5794_ (.A(\u_async_wb.u_resp_if.rd_ptr[0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2710_));
- sky130_fd_sc_hd__clkbuf_2 _5795_ (.A(_2710_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2711_));
- sky130_fd_sc_hd__mux2_2 _5796_ (.A0(\u_async_wb.u_resp_if.mem[0][1] ),
-    .A1(\u_async_wb.u_resp_if.mem[1][1] ),
-    .S(_2711_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2712_));
- sky130_fd_sc_hd__mux2_4 _5797_ (.A0(\reg_rdata[1] ),
-    .A1(_2712_),
-    .S(_1203_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2713_));
- sky130_fd_sc_hd__mux2_1 _5798_ (.A0(\wb_dat_o[1] ),
-    .A1(_2713_),
-    .S(wb_ack_o1),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
     .X(_2714_));
- sky130_fd_sc_hd__clkbuf_1 _5799_ (.A(_2714_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0489_));
- sky130_fd_sc_hd__mux2_2 _5800_ (.A0(\u_async_wb.u_resp_if.mem[0][2] ),
-    .A1(\u_async_wb.u_resp_if.mem[1][2] ),
-    .S(_2711_),
+ sky130_fd_sc_hd__o211a_1 _5843_ (.A1(\u_uart2wb.u_core.u_txfsm.txstate[2] ),
+    .A2(_2714_),
+    .B1(_2386_),
+    .C1(_0893_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2715_));
- sky130_fd_sc_hd__mux2_4 _5801_ (.A0(\reg_rdata[2] ),
-    .A1(_2715_),
-    .S(_1203_),
+ sky130_fd_sc_hd__mux2_1 _5844_ (.A0(net67),
+    .A1(_2713_),
+    .S(_2715_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2716_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5802_ (.A(_0950_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2717_));
- sky130_fd_sc_hd__mux2_1 _5803_ (.A0(\wb_dat_o[2] ),
-    .A1(_2716_),
-    .S(_2717_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2718_));
- sky130_fd_sc_hd__clkbuf_1 _5804_ (.A(_2718_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0490_));
- sky130_fd_sc_hd__mux2_1 _5805_ (.A0(\u_async_wb.u_resp_if.mem[0][3] ),
-    .A1(\u_async_wb.u_resp_if.mem[1][3] ),
-    .S(_2711_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2719_));
- sky130_fd_sc_hd__clkbuf_2 _5806_ (.A(_1202_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2720_));
- sky130_fd_sc_hd__mux2_4 _5807_ (.A0(\reg_rdata[3] ),
-    .A1(_2719_),
-    .S(_2720_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2721_));
- sky130_fd_sc_hd__mux2_1 _5808_ (.A0(\wb_dat_o[3] ),
-    .A1(_2721_),
-    .S(_2717_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2722_));
- sky130_fd_sc_hd__clkbuf_1 _5809_ (.A(_2722_),
+ sky130_fd_sc_hd__clkbuf_1 _5845_ (.A(_2716_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0491_));
- sky130_fd_sc_hd__mux2_1 _5810_ (.A0(\u_async_wb.u_resp_if.mem[0][4] ),
-    .A1(\u_async_wb.u_resp_if.mem[1][4] ),
-    .S(_2711_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2723_));
- sky130_fd_sc_hd__mux2_4 _5811_ (.A0(\reg_rdata[4] ),
-    .A1(_2723_),
-    .S(_2720_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2724_));
- sky130_fd_sc_hd__mux2_1 _5812_ (.A0(\wb_dat_o[4] ),
-    .A1(_2724_),
-    .S(_2717_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2725_));
- sky130_fd_sc_hd__clkbuf_1 _5813_ (.A(_2725_),
+ sky130_fd_sc_hd__a32o_1 _5846_ (.A1(\u_uart2wb.tx_rd ),
+    .A2(_0894_),
+    .A3(_2387_),
+    .B1(_0911_),
+    .B2(_0909_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0492_));
- sky130_fd_sc_hd__clkbuf_2 _5814_ (.A(_2710_),
+ sky130_fd_sc_hd__clkbuf_1 _5847_ (.A(\u_uart2wb.u_core.u_rxfsm.rxstate[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2726_));
- sky130_fd_sc_hd__mux2_2 _5815_ (.A0(\u_async_wb.u_resp_if.mem[0][5] ),
-    .A1(\u_async_wb.u_resp_if.mem[1][5] ),
-    .S(_2726_),
+    .X(_2717_));
+ sky130_fd_sc_hd__clkbuf_1 _5848_ (.A(\u_uart2wb.u_core.u_rxfsm.rxstate[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2718_));
+ sky130_fd_sc_hd__clkbuf_1 _5849_ (.A(\u_uart2wb.u_core.u_rxfsm.rxstate[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2719_));
+ sky130_fd_sc_hd__nor3_1 _5850_ (.A(_2717_),
+    .B(_2718_),
+    .C(_2719_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2720_));
+ sky130_fd_sc_hd__nor2_1 _5851_ (.A(\u_uart2wb.u_core.u_rxfsm.rxstate[2] ),
+    .B(\u_uart2wb.u_core.u_rxfsm.rxstate[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2721_));
+ sky130_fd_sc_hd__nand2_1 _5852_ (.A(\u_uart2wb.u_core.u_rxfsm.rxstate[1] ),
+    .B(_2721_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2722_));
+ sky130_fd_sc_hd__nor2_1 _5853_ (.A(_0902_),
+    .B(_2722_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2723_));
+ sky130_fd_sc_hd__clkbuf_1 _5854_ (.A(_2717_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2724_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5855_ (.A(_2719_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2725_));
+ sky130_fd_sc_hd__nor2_1 _5856_ (.A(_2718_),
+    .B(_2725_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2726_));
+ sky130_fd_sc_hd__and4_1 _5857_ (.A(la_data_in[3]),
+    .B(_2724_),
+    .C(\u_uart2wb.u_core.si_ss ),
+    .D(_2726_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2727_));
- sky130_fd_sc_hd__mux2_4 _5816_ (.A0(\reg_rdata[5] ),
-    .A1(_2727_),
-    .S(_2720_),
+ sky130_fd_sc_hd__or3_1 _5858_ (.A(_2720_),
+    .B(_2723_),
+    .C(_2727_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2728_));
- sky130_fd_sc_hd__mux2_1 _5817_ (.A0(\wb_dat_o[5] ),
-    .A1(_2728_),
-    .S(_2717_),
+ sky130_fd_sc_hd__inv_2 _5859_ (.A(\u_uart2wb.u_core.u_rxfsm.cnt[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2729_));
- sky130_fd_sc_hd__clkbuf_1 _5818_ (.A(_2729_),
+    .Y(_2729_));
+ sky130_fd_sc_hd__nand2_1 _5860_ (.A(\u_uart2wb.u_core.u_rxfsm.cnt[1] ),
+    .B(\u_uart2wb.u_core.u_rxfsm.cnt[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0493_));
- sky130_fd_sc_hd__mux2_2 _5819_ (.A0(\u_async_wb.u_resp_if.mem[0][6] ),
-    .A1(\u_async_wb.u_resp_if.mem[1][6] ),
-    .S(_2726_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2730_));
- sky130_fd_sc_hd__mux2_4 _5820_ (.A0(\reg_rdata[6] ),
-    .A1(_2730_),
-    .S(_2720_),
+    .Y(_2730_));
+ sky130_fd_sc_hd__or2_1 _5861_ (.A(_2729_),
+    .B(_2730_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2731_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5821_ (.A(_0949_),
+ sky130_fd_sc_hd__or2_1 _5862_ (.A(\u_uart2wb.u_core.si_ss ),
+    .B(_2731_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2732_));
- sky130_fd_sc_hd__clkbuf_2 _5822_ (.A(_2732_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5863_ (.A(\u_uart2wb.u_core.si_ss ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2733_));
- sky130_fd_sc_hd__mux2_1 _5823_ (.A0(\wb_dat_o[6] ),
-    .A1(_2731_),
-    .S(_2733_),
+ sky130_fd_sc_hd__or3b_1 _5864_ (.A(_2717_),
+    .B(_2719_),
+    .C_N(_2718_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2734_));
- sky130_fd_sc_hd__clkbuf_1 _5824_ (.A(_2734_),
+ sky130_fd_sc_hd__a21oi_1 _5865_ (.A1(_2733_),
+    .A2(_2731_),
+    .B1(_2734_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0494_));
- sky130_fd_sc_hd__mux2_2 _5825_ (.A0(\u_async_wb.u_resp_if.mem[0][7] ),
-    .A1(\u_async_wb.u_resp_if.mem[1][7] ),
-    .S(_2726_),
+    .Y(_2735_));
+ sky130_fd_sc_hd__inv_2 _5866_ (.A(_2719_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2735_));
- sky130_fd_sc_hd__clkbuf_2 _5826_ (.A(_1202_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2736_));
- sky130_fd_sc_hd__mux2_4 _5827_ (.A0(\reg_rdata[7] ),
-    .A1(_2735_),
-    .S(_2736_),
+    .Y(_2736_));
+ sky130_fd_sc_hd__and3b_1 _5867_ (.A_N(_2717_),
+    .B(\u_uart2wb.u_core.u_rxfsm.rxstate[0] ),
+    .C(\u_uart2wb.u_core.u_rxfsm.rxstate[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2737_));
- sky130_fd_sc_hd__mux2_1 _5828_ (.A0(\wb_dat_o[7] ),
-    .A1(_2737_),
-    .S(_2733_),
+ sky130_fd_sc_hd__a21o_1 _5868_ (.A1(_2724_),
+    .A2(_2736_),
+    .B1(_2737_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2738_));
- sky130_fd_sc_hd__clkbuf_1 _5829_ (.A(_2738_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0495_));
- sky130_fd_sc_hd__mux2_1 _5830_ (.A0(\u_async_wb.u_resp_if.mem[0][8] ),
-    .A1(\u_async_wb.u_resp_if.mem[1][8] ),
-    .S(_2726_),
+ sky130_fd_sc_hd__xor2_1 _5869_ (.A(\u_uart2wb.u_core.u_rxfsm.rxpos[2] ),
+    .B(\u_uart2wb.u_core.u_rxfsm.offset[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2739_));
- sky130_fd_sc_hd__mux2_4 _5831_ (.A0(\reg_rdata[8] ),
-    .A1(_2739_),
-    .S(_2736_),
+ sky130_fd_sc_hd__xor2_1 _5870_ (.A(\u_uart2wb.u_core.u_rxfsm.offset[3] ),
+    .B(\u_uart2wb.u_core.u_rxfsm.rxpos[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2740_));
- sky130_fd_sc_hd__mux2_1 _5832_ (.A0(\wb_dat_o[8] ),
-    .A1(_2740_),
-    .S(_2733_),
+ sky130_fd_sc_hd__xor2_1 _5871_ (.A(\u_uart2wb.u_core.u_rxfsm.rxpos[1] ),
+    .B(\u_uart2wb.u_core.u_rxfsm.offset[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2741_));
- sky130_fd_sc_hd__clkbuf_1 _5833_ (.A(_2741_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0496_));
- sky130_fd_sc_hd__clkbuf_2 _5834_ (.A(_2710_),
+ sky130_fd_sc_hd__xor2_1 _5872_ (.A(\u_uart2wb.u_core.u_rxfsm.rxpos[0] ),
+    .B(\u_uart2wb.u_core.u_rxfsm.offset[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2742_));
- sky130_fd_sc_hd__mux2_2 _5835_ (.A0(\u_async_wb.u_resp_if.mem[0][9] ),
-    .A1(\u_async_wb.u_resp_if.mem[1][9] ),
-    .S(_2742_),
+ sky130_fd_sc_hd__or4_2 _5873_ (.A(_2739_),
+    .B(_2740_),
+    .C(_2741_),
+    .D(_2742_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2743_));
- sky130_fd_sc_hd__mux2_4 _5836_ (.A0(\reg_rdata[9] ),
-    .A1(_2743_),
-    .S(_2736_),
+ sky130_fd_sc_hd__a32o_1 _5874_ (.A1(_2725_),
+    .A2(_2721_),
+    .A3(_2731_),
+    .B1(_2738_),
+    .B2(_2743_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2744_));
- sky130_fd_sc_hd__mux2_1 _5837_ (.A0(\wb_dat_o[9] ),
-    .A1(_2744_),
-    .S(_2733_),
+ sky130_fd_sc_hd__inv_2 _5875_ (.A(la_data_in[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2745_));
- sky130_fd_sc_hd__clkbuf_1 _5838_ (.A(_2745_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0497_));
- sky130_fd_sc_hd__mux2_2 _5839_ (.A0(\u_async_wb.u_resp_if.mem[0][10] ),
-    .A1(\u_async_wb.u_resp_if.mem[1][10] ),
-    .S(_2742_),
+    .Y(_2745_));
+ sky130_fd_sc_hd__o21a_1 _5876_ (.A1(_2745_),
+    .A2(\u_uart2wb.u_core.si_ss ),
+    .B1(_2720_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2746_));
- sky130_fd_sc_hd__mux2_4 _5840_ (.A0(\reg_rdata[10] ),
-    .A1(_2746_),
-    .S(_2736_),
+ sky130_fd_sc_hd__a31o_1 _5877_ (.A1(_2725_),
+    .A2(_2721_),
+    .A3(_2743_),
+    .B1(_2746_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2747_));
- sky130_fd_sc_hd__clkbuf_2 _5841_ (.A(_2732_),
+ sky130_fd_sc_hd__a211o_1 _5878_ (.A1(_2732_),
+    .A2(_2735_),
+    .B1(_2744_),
+    .C1(_2747_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2748_));
- sky130_fd_sc_hd__mux2_1 _5842_ (.A0(\wb_dat_o[10] ),
-    .A1(_2747_),
+ sky130_fd_sc_hd__mux2_1 _5879_ (.A0(_2728_),
+    .A1(_2718_),
     .S(_2748_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2749_));
- sky130_fd_sc_hd__clkbuf_1 _5843_ (.A(_2749_),
+ sky130_fd_sc_hd__clkbuf_1 _5880_ (.A(_2749_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0498_));
- sky130_fd_sc_hd__mux2_2 _5844_ (.A0(\u_async_wb.u_resp_if.mem[0][11] ),
-    .A1(\u_async_wb.u_resp_if.mem[1][11] ),
-    .S(_2742_),
+    .X(_0493_));
+ sky130_fd_sc_hd__or2_1 _5881_ (.A(_2735_),
+    .B(_2723_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2750_));
- sky130_fd_sc_hd__buf_2 _5845_ (.A(_0926_),
+ sky130_fd_sc_hd__mux2_1 _5882_ (.A0(_2750_),
+    .A1(_2725_),
+    .S(_2748_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2751_));
- sky130_fd_sc_hd__clkbuf_2 _5846_ (.A(_2751_),
+ sky130_fd_sc_hd__clkbuf_1 _5883_ (.A(_2751_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2752_));
- sky130_fd_sc_hd__mux2_4 _5847_ (.A0(\reg_rdata[11] ),
-    .A1(_2750_),
-    .S(_2752_),
+    .X(_0494_));
+ sky130_fd_sc_hd__nor2_1 _5884_ (.A(_0912_),
+    .B(_2722_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2752_));
+ sky130_fd_sc_hd__or3_1 _5885_ (.A(_2737_),
+    .B(_2727_),
+    .C(_2752_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2753_));
- sky130_fd_sc_hd__mux2_1 _5848_ (.A0(\wb_dat_o[11] ),
-    .A1(_2753_),
+ sky130_fd_sc_hd__mux2_1 _5886_ (.A0(_2753_),
+    .A1(_2724_),
     .S(_2748_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2754_));
- sky130_fd_sc_hd__clkbuf_1 _5849_ (.A(_2754_),
+ sky130_fd_sc_hd__clkbuf_1 _5887_ (.A(_2754_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0499_));
- sky130_fd_sc_hd__mux2_2 _5850_ (.A0(\u_async_wb.u_resp_if.mem[0][12] ),
-    .A1(\u_async_wb.u_resp_if.mem[1][12] ),
-    .S(_2742_),
+    .X(_0495_));
+ sky130_fd_sc_hd__mux2_2 _5888_ (.A0(\u_async_wb.u_resp_if.mem[0][0] ),
+    .A1(\u_async_wb.u_resp_if.mem[1][0] ),
+    .S(_1209_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2755_));
- sky130_fd_sc_hd__mux2_4 _5851_ (.A0(\reg_rdata[12] ),
+ sky130_fd_sc_hd__mux2_2 _5889_ (.A0(\reg_rdata[0] ),
     .A1(_2755_),
-    .S(_2752_),
+    .S(_1206_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2756_));
- sky130_fd_sc_hd__mux2_1 _5852_ (.A0(\wb_dat_o[12] ),
+ sky130_fd_sc_hd__mux2_1 _5890_ (.A0(\wb_dat_o[0] ),
     .A1(_2756_),
-    .S(_2748_),
+    .S(wb_ack_o1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2757_));
- sky130_fd_sc_hd__clkbuf_1 _5853_ (.A(_2757_),
+ sky130_fd_sc_hd__clkbuf_1 _5891_ (.A(_2757_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0500_));
- sky130_fd_sc_hd__buf_2 _5854_ (.A(_2710_),
+    .X(_0496_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5892_ (.A(\u_async_wb.u_resp_if.rd_ptr[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2758_));
- sky130_fd_sc_hd__mux2_2 _5855_ (.A0(\u_async_wb.u_resp_if.mem[0][13] ),
-    .A1(\u_async_wb.u_resp_if.mem[1][13] ),
-    .S(_2758_),
+ sky130_fd_sc_hd__clkbuf_2 _5893_ (.A(_2758_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2759_));
- sky130_fd_sc_hd__mux2_4 _5856_ (.A0(\reg_rdata[13] ),
-    .A1(_2759_),
-    .S(_2752_),
+ sky130_fd_sc_hd__mux2_2 _5894_ (.A0(\u_async_wb.u_resp_if.mem[0][1] ),
+    .A1(\u_async_wb.u_resp_if.mem[1][1] ),
+    .S(_2759_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2760_));
- sky130_fd_sc_hd__mux2_1 _5857_ (.A0(\wb_dat_o[13] ),
+ sky130_fd_sc_hd__mux2_2 _5895_ (.A0(\reg_rdata[1] ),
     .A1(_2760_),
-    .S(_2748_),
+    .S(_1206_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2761_));
- sky130_fd_sc_hd__clkbuf_1 _5858_ (.A(_2761_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0501_));
- sky130_fd_sc_hd__mux2_2 _5859_ (.A0(\u_async_wb.u_resp_if.mem[0][14] ),
-    .A1(\u_async_wb.u_resp_if.mem[1][14] ),
-    .S(_2758_),
+ sky130_fd_sc_hd__mux2_1 _5896_ (.A0(\wb_dat_o[1] ),
+    .A1(_2761_),
+    .S(wb_ack_o1),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2762_));
- sky130_fd_sc_hd__mux2_4 _5860_ (.A0(\reg_rdata[14] ),
-    .A1(_2762_),
-    .S(_2752_),
+ sky130_fd_sc_hd__clkbuf_1 _5897_ (.A(_2762_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0497_));
+ sky130_fd_sc_hd__mux2_2 _5898_ (.A0(\u_async_wb.u_resp_if.mem[0][2] ),
+    .A1(\u_async_wb.u_resp_if.mem[1][2] ),
+    .S(_2759_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2763_));
- sky130_fd_sc_hd__clkbuf_2 _5861_ (.A(_2732_),
+ sky130_fd_sc_hd__mux2_2 _5899_ (.A0(\reg_rdata[2] ),
+    .A1(_2763_),
+    .S(_1206_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2764_));
- sky130_fd_sc_hd__mux2_1 _5862_ (.A0(\wb_dat_o[14] ),
-    .A1(_2763_),
-    .S(_2764_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5900_ (.A(_0948_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2765_));
- sky130_fd_sc_hd__clkbuf_1 _5863_ (.A(_2765_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0502_));
- sky130_fd_sc_hd__mux2_4 _5864_ (.A0(\u_async_wb.u_resp_if.mem[0][15] ),
-    .A1(\u_async_wb.u_resp_if.mem[1][15] ),
-    .S(_2758_),
+ sky130_fd_sc_hd__mux2_1 _5901_ (.A0(\wb_dat_o[2] ),
+    .A1(_2764_),
+    .S(_2765_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2766_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5865_ (.A(_2751_),
+ sky130_fd_sc_hd__clkbuf_1 _5902_ (.A(_2766_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0498_));
+ sky130_fd_sc_hd__mux2_2 _5903_ (.A0(\u_async_wb.u_resp_if.mem[0][3] ),
+    .A1(\u_async_wb.u_resp_if.mem[1][3] ),
+    .S(_2759_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2767_));
- sky130_fd_sc_hd__mux2_2 _5866_ (.A0(\reg_rdata[15] ),
-    .A1(_2766_),
-    .S(_2767_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5904_ (.A(_1205_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2768_));
- sky130_fd_sc_hd__mux2_1 _5867_ (.A0(\wb_dat_o[15] ),
-    .A1(_2768_),
-    .S(_2764_),
+ sky130_fd_sc_hd__mux2_2 _5905_ (.A0(\reg_rdata[3] ),
+    .A1(_2767_),
+    .S(_2768_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2769_));
- sky130_fd_sc_hd__clkbuf_1 _5868_ (.A(_2769_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0503_));
- sky130_fd_sc_hd__mux2_4 _5869_ (.A0(\u_async_wb.u_resp_if.mem[0][16] ),
-    .A1(\u_async_wb.u_resp_if.mem[1][16] ),
-    .S(_2758_),
+ sky130_fd_sc_hd__mux2_1 _5906_ (.A0(\wb_dat_o[3] ),
+    .A1(_2769_),
+    .S(_2765_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2770_));
- sky130_fd_sc_hd__mux2_2 _5870_ (.A0(\reg_rdata[16] ),
-    .A1(_2770_),
-    .S(_2767_),
+ sky130_fd_sc_hd__clkbuf_1 _5907_ (.A(_2770_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0499_));
+ sky130_fd_sc_hd__mux2_2 _5908_ (.A0(\u_async_wb.u_resp_if.mem[0][4] ),
+    .A1(\u_async_wb.u_resp_if.mem[1][4] ),
+    .S(_2759_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2771_));
- sky130_fd_sc_hd__mux2_1 _5871_ (.A0(\wb_dat_o[16] ),
+ sky130_fd_sc_hd__mux2_2 _5909_ (.A0(\reg_rdata[4] ),
     .A1(_2771_),
-    .S(_2764_),
+    .S(_2768_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2772_));
- sky130_fd_sc_hd__clkbuf_1 _5872_ (.A(_2772_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0504_));
- sky130_fd_sc_hd__buf_2 _5873_ (.A(_1204_),
+ sky130_fd_sc_hd__mux2_1 _5910_ (.A0(\wb_dat_o[4] ),
+    .A1(_2772_),
+    .S(_2765_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2773_));
- sky130_fd_sc_hd__mux2_4 _5874_ (.A0(\u_async_wb.u_resp_if.mem[0][17] ),
-    .A1(\u_async_wb.u_resp_if.mem[1][17] ),
-    .S(_2773_),
+ sky130_fd_sc_hd__clkbuf_1 _5911_ (.A(_2773_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0500_));
+ sky130_fd_sc_hd__clkbuf_2 _5912_ (.A(_2758_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2774_));
- sky130_fd_sc_hd__mux2_2 _5875_ (.A0(\reg_rdata[17] ),
-    .A1(_2774_),
-    .S(_2767_),
+ sky130_fd_sc_hd__mux2_2 _5913_ (.A0(\u_async_wb.u_resp_if.mem[0][5] ),
+    .A1(\u_async_wb.u_resp_if.mem[1][5] ),
+    .S(_2774_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2775_));
- sky130_fd_sc_hd__mux2_1 _5876_ (.A0(\wb_dat_o[17] ),
+ sky130_fd_sc_hd__mux2_2 _5914_ (.A0(\reg_rdata[5] ),
     .A1(_2775_),
-    .S(_2764_),
+    .S(_2768_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2776_));
- sky130_fd_sc_hd__clkbuf_1 _5877_ (.A(_2776_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0505_));
- sky130_fd_sc_hd__mux2_4 _5878_ (.A0(\u_async_wb.u_resp_if.mem[0][18] ),
-    .A1(\u_async_wb.u_resp_if.mem[1][18] ),
-    .S(_2773_),
+ sky130_fd_sc_hd__mux2_1 _5915_ (.A0(\wb_dat_o[5] ),
+    .A1(_2776_),
+    .S(_2765_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2777_));
- sky130_fd_sc_hd__mux2_2 _5879_ (.A0(\reg_rdata[18] ),
-    .A1(_2777_),
-    .S(_2767_),
+ sky130_fd_sc_hd__clkbuf_1 _5916_ (.A(_2777_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0501_));
+ sky130_fd_sc_hd__mux2_2 _5917_ (.A0(\u_async_wb.u_resp_if.mem[0][6] ),
+    .A1(\u_async_wb.u_resp_if.mem[1][6] ),
+    .S(_2774_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2778_));
- sky130_fd_sc_hd__clkbuf_2 _5880_ (.A(_2732_),
+ sky130_fd_sc_hd__mux2_2 _5918_ (.A0(\reg_rdata[6] ),
+    .A1(_2778_),
+    .S(_2768_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2779_));
- sky130_fd_sc_hd__mux2_1 _5881_ (.A0(\wb_dat_o[18] ),
-    .A1(_2778_),
-    .S(_2779_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5919_ (.A(_0947_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2780_));
- sky130_fd_sc_hd__clkbuf_1 _5882_ (.A(_2780_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0506_));
- sky130_fd_sc_hd__mux2_4 _5883_ (.A0(\u_async_wb.u_resp_if.mem[0][19] ),
-    .A1(\u_async_wb.u_resp_if.mem[1][19] ),
-    .S(_2773_),
+ sky130_fd_sc_hd__clkbuf_2 _5920_ (.A(_2780_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2781_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5884_ (.A(_2751_),
+ sky130_fd_sc_hd__mux2_1 _5921_ (.A0(\wb_dat_o[6] ),
+    .A1(_2779_),
+    .S(_2781_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2782_));
- sky130_fd_sc_hd__mux2_1 _5885_ (.A0(\reg_rdata[19] ),
-    .A1(_2781_),
-    .S(_2782_),
+ sky130_fd_sc_hd__clkbuf_1 _5922_ (.A(_2782_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0502_));
+ sky130_fd_sc_hd__mux2_2 _5923_ (.A0(\u_async_wb.u_resp_if.mem[0][7] ),
+    .A1(\u_async_wb.u_resp_if.mem[1][7] ),
+    .S(_2774_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2783_));
- sky130_fd_sc_hd__mux2_1 _5886_ (.A0(\wb_dat_o[19] ),
-    .A1(_2783_),
-    .S(_2779_),
+ sky130_fd_sc_hd__buf_2 _5924_ (.A(_1205_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2784_));
- sky130_fd_sc_hd__clkbuf_1 _5887_ (.A(_2784_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0507_));
- sky130_fd_sc_hd__mux2_4 _5888_ (.A0(\u_async_wb.u_resp_if.mem[0][20] ),
-    .A1(\u_async_wb.u_resp_if.mem[1][20] ),
-    .S(_2773_),
+ sky130_fd_sc_hd__mux2_2 _5925_ (.A0(\reg_rdata[7] ),
+    .A1(_2783_),
+    .S(_2784_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2785_));
- sky130_fd_sc_hd__mux2_1 _5889_ (.A0(\reg_rdata[20] ),
+ sky130_fd_sc_hd__mux2_1 _5926_ (.A0(\wb_dat_o[7] ),
     .A1(_2785_),
-    .S(_2782_),
+    .S(_2781_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2786_));
- sky130_fd_sc_hd__mux2_1 _5890_ (.A0(\wb_dat_o[20] ),
-    .A1(_2786_),
-    .S(_2779_),
+ sky130_fd_sc_hd__clkbuf_1 _5927_ (.A(_2786_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0503_));
+ sky130_fd_sc_hd__mux2_2 _5928_ (.A0(\u_async_wb.u_resp_if.mem[0][8] ),
+    .A1(\u_async_wb.u_resp_if.mem[1][8] ),
+    .S(_2774_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2787_));
- sky130_fd_sc_hd__clkbuf_1 _5891_ (.A(_2787_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0508_));
- sky130_fd_sc_hd__buf_2 _5892_ (.A(_1204_),
+ sky130_fd_sc_hd__mux2_4 _5929_ (.A0(\reg_rdata[8] ),
+    .A1(_2787_),
+    .S(_2784_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2788_));
- sky130_fd_sc_hd__mux2_4 _5893_ (.A0(\u_async_wb.u_resp_if.mem[0][21] ),
-    .A1(\u_async_wb.u_resp_if.mem[1][21] ),
-    .S(_2788_),
+ sky130_fd_sc_hd__mux2_1 _5930_ (.A0(\wb_dat_o[8] ),
+    .A1(_2788_),
+    .S(_2781_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2789_));
- sky130_fd_sc_hd__mux2_1 _5894_ (.A0(\reg_rdata[21] ),
-    .A1(_2789_),
-    .S(_2782_),
+ sky130_fd_sc_hd__clkbuf_1 _5931_ (.A(_2789_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0504_));
+ sky130_fd_sc_hd__clkbuf_2 _5932_ (.A(_2758_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2790_));
- sky130_fd_sc_hd__mux2_1 _5895_ (.A0(\wb_dat_o[21] ),
-    .A1(_2790_),
-    .S(_2779_),
+ sky130_fd_sc_hd__mux2_2 _5933_ (.A0(\u_async_wb.u_resp_if.mem[0][9] ),
+    .A1(\u_async_wb.u_resp_if.mem[1][9] ),
+    .S(_2790_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2791_));
- sky130_fd_sc_hd__clkbuf_1 _5896_ (.A(_2791_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0509_));
- sky130_fd_sc_hd__mux2_4 _5897_ (.A0(\u_async_wb.u_resp_if.mem[0][22] ),
-    .A1(\u_async_wb.u_resp_if.mem[1][22] ),
-    .S(_2788_),
+ sky130_fd_sc_hd__mux2_4 _5934_ (.A0(\reg_rdata[9] ),
+    .A1(_2791_),
+    .S(_2784_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2792_));
- sky130_fd_sc_hd__mux2_1 _5898_ (.A0(\reg_rdata[22] ),
+ sky130_fd_sc_hd__mux2_1 _5935_ (.A0(\wb_dat_o[9] ),
     .A1(_2792_),
-    .S(_2782_),
+    .S(_2781_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2793_));
- sky130_fd_sc_hd__clkbuf_2 _5899_ (.A(_0949_),
+ sky130_fd_sc_hd__clkbuf_1 _5936_ (.A(_2793_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0505_));
+ sky130_fd_sc_hd__mux2_2 _5937_ (.A0(\u_async_wb.u_resp_if.mem[0][10] ),
+    .A1(\u_async_wb.u_resp_if.mem[1][10] ),
+    .S(_2790_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2794_));
- sky130_fd_sc_hd__mux2_1 _5900_ (.A0(\wb_dat_o[22] ),
-    .A1(_2793_),
-    .S(_2794_),
+ sky130_fd_sc_hd__mux2_4 _5938_ (.A0(\reg_rdata[10] ),
+    .A1(_2794_),
+    .S(_2784_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2795_));
- sky130_fd_sc_hd__clkbuf_1 _5901_ (.A(_2795_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0510_));
- sky130_fd_sc_hd__mux2_2 _5902_ (.A0(\u_async_wb.u_resp_if.mem[0][23] ),
-    .A1(\u_async_wb.u_resp_if.mem[1][23] ),
-    .S(_2788_),
+ sky130_fd_sc_hd__clkbuf_2 _5939_ (.A(_2780_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2796_));
- sky130_fd_sc_hd__clkbuf_2 _5903_ (.A(_2751_),
+ sky130_fd_sc_hd__mux2_1 _5940_ (.A0(\wb_dat_o[10] ),
+    .A1(_2795_),
+    .S(_2796_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2797_));
- sky130_fd_sc_hd__mux2_1 _5904_ (.A0(\reg_rdata[23] ),
-    .A1(_2796_),
-    .S(_2797_),
+ sky130_fd_sc_hd__clkbuf_1 _5941_ (.A(_2797_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0506_));
+ sky130_fd_sc_hd__mux2_2 _5942_ (.A0(\u_async_wb.u_resp_if.mem[0][11] ),
+    .A1(\u_async_wb.u_resp_if.mem[1][11] ),
+    .S(_2790_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2798_));
- sky130_fd_sc_hd__mux2_1 _5905_ (.A0(\wb_dat_o[23] ),
-    .A1(_2798_),
-    .S(_2794_),
+ sky130_fd_sc_hd__buf_2 _5943_ (.A(_1204_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2799_));
- sky130_fd_sc_hd__clkbuf_1 _5906_ (.A(_2799_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0511_));
- sky130_fd_sc_hd__mux2_4 _5907_ (.A0(\u_async_wb.u_resp_if.mem[0][24] ),
-    .A1(\u_async_wb.u_resp_if.mem[1][24] ),
-    .S(_2788_),
+ sky130_fd_sc_hd__clkbuf_2 _5944_ (.A(_2799_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2800_));
- sky130_fd_sc_hd__mux2_1 _5908_ (.A0(\reg_rdata[24] ),
-    .A1(_2800_),
-    .S(_2797_),
+ sky130_fd_sc_hd__mux2_4 _5945_ (.A0(\reg_rdata[11] ),
+    .A1(_2798_),
+    .S(_2800_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2801_));
- sky130_fd_sc_hd__mux2_1 _5909_ (.A0(\wb_dat_o[24] ),
+ sky130_fd_sc_hd__mux2_1 _5946_ (.A0(\wb_dat_o[11] ),
     .A1(_2801_),
-    .S(_2794_),
+    .S(_2796_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2802_));
- sky130_fd_sc_hd__clkbuf_1 _5910_ (.A(_2802_),
+ sky130_fd_sc_hd__clkbuf_1 _5947_ (.A(_2802_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0512_));
- sky130_fd_sc_hd__clkbuf_2 _5911_ (.A(_1204_),
+    .X(_0507_));
+ sky130_fd_sc_hd__mux2_2 _5948_ (.A0(\u_async_wb.u_resp_if.mem[0][12] ),
+    .A1(\u_async_wb.u_resp_if.mem[1][12] ),
+    .S(_2790_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2803_));
- sky130_fd_sc_hd__mux2_4 _5912_ (.A0(\u_async_wb.u_resp_if.mem[0][25] ),
-    .A1(\u_async_wb.u_resp_if.mem[1][25] ),
-    .S(_2803_),
+ sky130_fd_sc_hd__mux2_4 _5949_ (.A0(\reg_rdata[12] ),
+    .A1(_2803_),
+    .S(_2800_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2804_));
- sky130_fd_sc_hd__mux2_1 _5913_ (.A0(\reg_rdata[25] ),
+ sky130_fd_sc_hd__mux2_1 _5950_ (.A0(\wb_dat_o[12] ),
     .A1(_2804_),
-    .S(_2797_),
+    .S(_2796_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2805_));
- sky130_fd_sc_hd__mux2_1 _5914_ (.A0(\wb_dat_o[25] ),
-    .A1(_2805_),
-    .S(_2794_),
+ sky130_fd_sc_hd__clkbuf_1 _5951_ (.A(_2805_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0508_));
+ sky130_fd_sc_hd__clkbuf_2 _5952_ (.A(_2758_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2806_));
- sky130_fd_sc_hd__clkbuf_1 _5915_ (.A(_2806_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0513_));
- sky130_fd_sc_hd__mux2_2 _5916_ (.A0(\u_async_wb.u_resp_if.mem[0][26] ),
-    .A1(\u_async_wb.u_resp_if.mem[1][26] ),
-    .S(_2803_),
+ sky130_fd_sc_hd__mux2_2 _5953_ (.A0(\u_async_wb.u_resp_if.mem[0][13] ),
+    .A1(\u_async_wb.u_resp_if.mem[1][13] ),
+    .S(_2806_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2807_));
- sky130_fd_sc_hd__mux2_1 _5917_ (.A0(\reg_rdata[26] ),
+ sky130_fd_sc_hd__mux2_4 _5954_ (.A0(\reg_rdata[13] ),
     .A1(_2807_),
-    .S(_2797_),
+    .S(_2800_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2808_));
- sky130_fd_sc_hd__clkbuf_2 _5918_ (.A(_0949_),
+ sky130_fd_sc_hd__mux2_1 _5955_ (.A0(\wb_dat_o[13] ),
+    .A1(_2808_),
+    .S(_2796_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2809_));
- sky130_fd_sc_hd__mux2_1 _5919_ (.A0(\wb_dat_o[26] ),
-    .A1(_2808_),
-    .S(_2809_),
+ sky130_fd_sc_hd__clkbuf_1 _5956_ (.A(_2809_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0509_));
+ sky130_fd_sc_hd__mux2_2 _5957_ (.A0(\u_async_wb.u_resp_if.mem[0][14] ),
+    .A1(\u_async_wb.u_resp_if.mem[1][14] ),
+    .S(_2806_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2810_));
- sky130_fd_sc_hd__clkbuf_1 _5920_ (.A(_2810_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0514_));
- sky130_fd_sc_hd__mux2_2 _5921_ (.A0(\u_async_wb.u_resp_if.mem[0][27] ),
-    .A1(\u_async_wb.u_resp_if.mem[1][27] ),
-    .S(_2803_),
+ sky130_fd_sc_hd__mux2_4 _5958_ (.A0(\reg_rdata[14] ),
+    .A1(_2810_),
+    .S(_2800_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2811_));
- sky130_fd_sc_hd__clkbuf_2 _5922_ (.A(_0926_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5959_ (.A(_2780_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2812_));
- sky130_fd_sc_hd__mux2_1 _5923_ (.A0(\reg_rdata[27] ),
+ sky130_fd_sc_hd__mux2_1 _5960_ (.A0(\wb_dat_o[14] ),
     .A1(_2811_),
     .S(_2812_),
     .VGND(vssd1),
@@ -83940,443 +84460,413 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2813_));
- sky130_fd_sc_hd__mux2_1 _5924_ (.A0(\wb_dat_o[27] ),
-    .A1(_2813_),
-    .S(_2809_),
+ sky130_fd_sc_hd__clkbuf_1 _5961_ (.A(_2813_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0510_));
+ sky130_fd_sc_hd__mux2_1 _5962_ (.A0(\u_async_wb.u_resp_if.mem[0][15] ),
+    .A1(\u_async_wb.u_resp_if.mem[1][15] ),
+    .S(_2806_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2814_));
- sky130_fd_sc_hd__clkbuf_1 _5925_ (.A(_2814_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0515_));
- sky130_fd_sc_hd__mux2_2 _5926_ (.A0(\u_async_wb.u_resp_if.mem[0][28] ),
-    .A1(\u_async_wb.u_resp_if.mem[1][28] ),
-    .S(_2803_),
+ sky130_fd_sc_hd__buf_2 _5963_ (.A(_2799_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2815_));
- sky130_fd_sc_hd__mux2_1 _5927_ (.A0(\reg_rdata[28] ),
-    .A1(_2815_),
-    .S(_2812_),
+ sky130_fd_sc_hd__mux2_2 _5964_ (.A0(\reg_rdata[15] ),
+    .A1(_2814_),
+    .S(_2815_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2816_));
- sky130_fd_sc_hd__mux2_1 _5928_ (.A0(\wb_dat_o[28] ),
+ sky130_fd_sc_hd__mux2_1 _5965_ (.A0(\wb_dat_o[15] ),
     .A1(_2816_),
-    .S(_2809_),
+    .S(_2812_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2817_));
- sky130_fd_sc_hd__clkbuf_1 _5929_ (.A(_2817_),
+ sky130_fd_sc_hd__clkbuf_1 _5966_ (.A(_2817_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0516_));
- sky130_fd_sc_hd__mux2_2 _5930_ (.A0(\u_async_wb.u_resp_if.mem[0][29] ),
-    .A1(\u_async_wb.u_resp_if.mem[1][29] ),
-    .S(_1205_),
+    .X(_0511_));
+ sky130_fd_sc_hd__mux2_4 _5967_ (.A0(\u_async_wb.u_resp_if.mem[0][16] ),
+    .A1(\u_async_wb.u_resp_if.mem[1][16] ),
+    .S(_2806_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2818_));
- sky130_fd_sc_hd__mux2_1 _5931_ (.A0(\reg_rdata[29] ),
+ sky130_fd_sc_hd__mux2_1 _5968_ (.A0(\reg_rdata[16] ),
     .A1(_2818_),
-    .S(_2812_),
+    .S(_2815_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2819_));
- sky130_fd_sc_hd__mux2_1 _5932_ (.A0(\wb_dat_o[29] ),
+ sky130_fd_sc_hd__mux2_1 _5969_ (.A0(\wb_dat_o[16] ),
     .A1(_2819_),
-    .S(_2809_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2820_));
- sky130_fd_sc_hd__clkbuf_1 _5933_ (.A(_2820_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0517_));
- sky130_fd_sc_hd__mux2_2 _5934_ (.A0(\u_async_wb.u_resp_if.mem[0][30] ),
-    .A1(\u_async_wb.u_resp_if.mem[1][30] ),
-    .S(_1205_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2821_));
- sky130_fd_sc_hd__mux2_1 _5935_ (.A0(\reg_rdata[30] ),
-    .A1(_2821_),
     .S(_2812_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .X(_2820_));
+ sky130_fd_sc_hd__clkbuf_1 _5970_ (.A(_2820_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0512_));
+ sky130_fd_sc_hd__buf_2 _5971_ (.A(_1207_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2821_));
+ sky130_fd_sc_hd__mux2_2 _5972_ (.A0(\u_async_wb.u_resp_if.mem[0][17] ),
+    .A1(\u_async_wb.u_resp_if.mem[1][17] ),
+    .S(_2821_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .X(_2822_));
- sky130_fd_sc_hd__mux2_1 _5936_ (.A0(\wb_dat_o[30] ),
+ sky130_fd_sc_hd__mux2_1 _5973_ (.A0(\reg_rdata[17] ),
     .A1(_2822_),
-    .S(_0950_),
+    .S(_2815_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2823_));
- sky130_fd_sc_hd__clkbuf_1 _5937_ (.A(_2823_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0518_));
- sky130_fd_sc_hd__mux2_2 _5938_ (.A0(\u_async_wb.u_resp_if.mem[0][31] ),
-    .A1(\u_async_wb.u_resp_if.mem[1][31] ),
-    .S(_1205_),
+ sky130_fd_sc_hd__mux2_1 _5974_ (.A0(\wb_dat_o[17] ),
+    .A1(_2823_),
+    .S(_2812_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2824_));
- sky130_fd_sc_hd__mux2_1 _5939_ (.A0(\reg_rdata[31] ),
-    .A1(_2824_),
-    .S(_1202_),
+ sky130_fd_sc_hd__clkbuf_1 _5975_ (.A(_2824_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0513_));
+ sky130_fd_sc_hd__mux2_2 _5976_ (.A0(\u_async_wb.u_resp_if.mem[0][18] ),
+    .A1(\u_async_wb.u_resp_if.mem[1][18] ),
+    .S(_2821_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2825_));
- sky130_fd_sc_hd__mux2_1 _5940_ (.A0(\wb_dat_o[31] ),
+ sky130_fd_sc_hd__mux2_1 _5977_ (.A0(\reg_rdata[18] ),
     .A1(_2825_),
-    .S(_0950_),
+    .S(_2815_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2826_));
- sky130_fd_sc_hd__clkbuf_1 _5941_ (.A(_2826_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0519_));
- sky130_fd_sc_hd__and3b_2 _5942_ (.A_N(_2678_),
-    .B(_2670_),
-    .C(la_data_in[2]),
+ sky130_fd_sc_hd__clkbuf_2 _5978_ (.A(_2780_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2827_));
- sky130_fd_sc_hd__mux2_1 _5943_ (.A0(\u_uart2wb.u_core.u_rxfsm.rxpos[0] ),
-    .A1(_0881_),
+ sky130_fd_sc_hd__mux2_1 _5979_ (.A0(\wb_dat_o[18] ),
+    .A1(_2826_),
     .S(_2827_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2828_));
- sky130_fd_sc_hd__clkbuf_1 _5944_ (.A(_2828_),
+ sky130_fd_sc_hd__clkbuf_1 _5980_ (.A(_2828_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0520_));
- sky130_fd_sc_hd__mux2_1 _5945_ (.A0(\u_uart2wb.u_core.u_rxfsm.rxpos[1] ),
-    .A1(_1231_),
-    .S(_2827_),
+    .X(_0514_));
+ sky130_fd_sc_hd__mux2_4 _5981_ (.A0(\u_async_wb.u_resp_if.mem[0][19] ),
+    .A1(\u_async_wb.u_resp_if.mem[1][19] ),
+    .S(_2821_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2829_));
- sky130_fd_sc_hd__clkbuf_1 _5946_ (.A(_2829_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0521_));
- sky130_fd_sc_hd__mux2_1 _5947_ (.A0(\u_uart2wb.u_core.u_rxfsm.rxpos[2] ),
-    .A1(\u_uart2wb.u_core.u_rxfsm.offset[2] ),
-    .S(_2827_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _5982_ (.A(_2799_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2830_));
- sky130_fd_sc_hd__clkbuf_1 _5948_ (.A(_2830_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0522_));
- sky130_fd_sc_hd__mux2_1 _5949_ (.A0(\u_uart2wb.u_core.u_rxfsm.rxpos[3] ),
-    .A1(_1234_),
-    .S(_2827_),
+ sky130_fd_sc_hd__mux2_1 _5983_ (.A0(\reg_rdata[19] ),
+    .A1(_2829_),
+    .S(_2830_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2831_));
- sky130_fd_sc_hd__clkbuf_1 _5950_ (.A(_2831_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0523_));
- sky130_fd_sc_hd__or3_1 _5951_ (.A(_2690_),
-    .B(_2686_),
-    .C(_2674_),
+ sky130_fd_sc_hd__mux2_1 _5984_ (.A0(\wb_dat_o[19] ),
+    .A1(_2831_),
+    .S(_2827_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2832_));
- sky130_fd_sc_hd__nor2_1 _5952_ (.A(_2691_),
-    .B(_2832_),
+ sky130_fd_sc_hd__clkbuf_1 _5985_ (.A(_2832_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2833_));
- sky130_fd_sc_hd__a21oi_1 _5953_ (.A1(_2677_),
-    .A2(_2679_),
-    .B1(_2696_),
+    .X(_0515_));
+ sky130_fd_sc_hd__mux2_4 _5986_ (.A0(\u_async_wb.u_resp_if.mem[0][20] ),
+    .A1(\u_async_wb.u_resp_if.mem[1][20] ),
+    .S(_2821_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2834_));
- sky130_fd_sc_hd__o21a_1 _5954_ (.A1(_2407_),
-    .A2(_2833_),
-    .B1(_2834_),
+    .X(_2833_));
+ sky130_fd_sc_hd__mux2_1 _5987_ (.A0(\reg_rdata[20] ),
+    .A1(_2833_),
+    .S(_2830_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0524_));
- sky130_fd_sc_hd__clkbuf_1 _5955_ (.A(\u_uart2wb.u_core.u_rxfsm.cnt[0] ),
+    .X(_2834_));
+ sky130_fd_sc_hd__mux2_1 _5988_ (.A0(\wb_dat_o[20] ),
+    .A1(_2834_),
+    .S(_2827_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2835_));
- sky130_fd_sc_hd__clkbuf_1 _5956_ (.A(_2835_),
+ sky130_fd_sc_hd__clkbuf_1 _5989_ (.A(_2835_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0516_));
+ sky130_fd_sc_hd__buf_2 _5990_ (.A(_1207_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2836_));
- sky130_fd_sc_hd__nor2_1 _5957_ (.A(_2836_),
-    .B(_2679_),
+ sky130_fd_sc_hd__mux2_4 _5991_ (.A0(\u_async_wb.u_resp_if.mem[0][21] ),
+    .A1(\u_async_wb.u_resp_if.mem[1][21] ),
+    .S(_2836_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2837_));
- sky130_fd_sc_hd__o21ba_1 _5958_ (.A1(_2673_),
-    .A2(_2694_),
-    .B1_N(_2689_),
+    .X(_2837_));
+ sky130_fd_sc_hd__mux2_1 _5992_ (.A0(\reg_rdata[21] ),
+    .A1(_2837_),
+    .S(_2830_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2838_));
- sky130_fd_sc_hd__mux2_1 _5959_ (.A0(_2836_),
-    .A1(_2837_),
-    .S(_2838_),
+ sky130_fd_sc_hd__mux2_1 _5993_ (.A0(\wb_dat_o[21] ),
+    .A1(_2838_),
+    .S(_2827_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2839_));
- sky130_fd_sc_hd__clkbuf_1 _5960_ (.A(_2839_),
+ sky130_fd_sc_hd__clkbuf_1 _5994_ (.A(_2839_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0525_));
- sky130_fd_sc_hd__clkbuf_1 _5961_ (.A(\u_uart2wb.u_core.u_rxfsm.cnt[1] ),
+    .X(_0517_));
+ sky130_fd_sc_hd__mux2_4 _5995_ (.A0(\u_async_wb.u_resp_if.mem[0][22] ),
+    .A1(\u_async_wb.u_resp_if.mem[1][22] ),
+    .S(_2836_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2840_));
- sky130_fd_sc_hd__a2bb2o_1 _5962_ (.A1_N(\u_uart2wb.u_core.u_rxfsm.cnt[1] ),
-    .A2_N(_2835_),
-    .B1(_2675_),
-    .B2(_2693_),
+ sky130_fd_sc_hd__mux2_1 _5996_ (.A0(\reg_rdata[22] ),
+    .A1(_2840_),
+    .S(_2830_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2841_));
- sky130_fd_sc_hd__and2b_1 _5963_ (.A_N(_2841_),
-    .B(_2691_),
+ sky130_fd_sc_hd__clkbuf_2 _5997_ (.A(_0947_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2842_));
- sky130_fd_sc_hd__mux2_1 _5964_ (.A0(_2840_),
-    .A1(_2842_),
-    .S(_2838_),
+ sky130_fd_sc_hd__mux2_1 _5998_ (.A0(\wb_dat_o[22] ),
+    .A1(_2841_),
+    .S(_2842_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2843_));
- sky130_fd_sc_hd__clkbuf_1 _5965_ (.A(_2843_),
+ sky130_fd_sc_hd__clkbuf_1 _5999_ (.A(_2843_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0526_));
- sky130_fd_sc_hd__a21bo_1 _5966_ (.A1(_2675_),
-    .A2(_2693_),
-    .B1_N(_2692_),
+    .X(_0518_));
+ sky130_fd_sc_hd__mux2_4 _6000_ (.A0(\u_async_wb.u_resp_if.mem[0][23] ),
+    .A1(\u_async_wb.u_resp_if.mem[1][23] ),
+    .S(_2836_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2844_));
- sky130_fd_sc_hd__and3_1 _5967_ (.A(_2840_),
-    .B(_2836_),
-    .C(_2838_),
+ sky130_fd_sc_hd__clkbuf_2 _6001_ (.A(_2799_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2845_));
- sky130_fd_sc_hd__o2bb2a_1 _5968_ (.A1_N(_2838_),
-    .A2_N(_2844_),
-    .B1(_2845_),
-    .B2(\u_uart2wb.u_core.u_rxfsm.cnt[2] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0527_));
- sky130_fd_sc_hd__clkbuf_1 _5969_ (.A(_2678_),
+ sky130_fd_sc_hd__mux2_1 _6002_ (.A0(\reg_rdata[23] ),
+    .A1(_2844_),
+    .S(_2845_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2846_));
- sky130_fd_sc_hd__clkbuf_1 _5970_ (.A(\u_uart2wb.u_core.u_rxfsm.cnt[1] ),
+ sky130_fd_sc_hd__mux2_1 _6003_ (.A0(\wb_dat_o[23] ),
+    .A1(_2846_),
+    .S(_2842_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2847_));
- sky130_fd_sc_hd__clkbuf_1 _5971_ (.A(_2835_),
+ sky130_fd_sc_hd__clkbuf_1 _6004_ (.A(_2847_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0519_));
+ sky130_fd_sc_hd__mux2_4 _6005_ (.A0(\u_async_wb.u_resp_if.mem[0][24] ),
+    .A1(\u_async_wb.u_resp_if.mem[1][24] ),
+    .S(_2836_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2848_));
- sky130_fd_sc_hd__or3_1 _5972_ (.A(\u_uart2wb.u_core.u_rxfsm.cnt[2] ),
-    .B(_2686_),
-    .C(_2674_),
+ sky130_fd_sc_hd__mux2_1 _6006_ (.A0(\reg_rdata[24] ),
+    .A1(_2848_),
+    .S(_2845_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2849_));
- sky130_fd_sc_hd__or3_1 _5973_ (.A(_2847_),
-    .B(_2848_),
-    .C(_2849_),
+ sky130_fd_sc_hd__mux2_1 _6007_ (.A0(\wb_dat_o[24] ),
+    .A1(_2849_),
+    .S(_2842_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2850_));
- sky130_fd_sc_hd__mux2_1 _5974_ (.A0(_2846_),
-    .A1(_1657_),
-    .S(_2850_),
+ sky130_fd_sc_hd__clkbuf_1 _6008_ (.A(_2850_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0520_));
+ sky130_fd_sc_hd__clkbuf_2 _6009_ (.A(_1207_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2851_));
- sky130_fd_sc_hd__clkbuf_1 _5975_ (.A(_2851_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0528_));
- sky130_fd_sc_hd__or3b_1 _5976_ (.A(_2849_),
-    .B(_2847_),
-    .C_N(_2836_),
+ sky130_fd_sc_hd__mux2_2 _6010_ (.A0(\u_async_wb.u_resp_if.mem[0][25] ),
+    .A1(\u_async_wb.u_resp_if.mem[1][25] ),
+    .S(_2851_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2852_));
- sky130_fd_sc_hd__mux2_1 _5977_ (.A0(_2846_),
-    .A1(_1677_),
-    .S(_2852_),
+ sky130_fd_sc_hd__mux2_1 _6011_ (.A0(\reg_rdata[25] ),
+    .A1(_2852_),
+    .S(_2845_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2853_));
- sky130_fd_sc_hd__clkbuf_1 _5978_ (.A(_2853_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0529_));
- sky130_fd_sc_hd__or3b_1 _5979_ (.A(_2848_),
-    .B(_2849_),
-    .C_N(_2840_),
+ sky130_fd_sc_hd__mux2_1 _6012_ (.A0(\wb_dat_o[25] ),
+    .A1(_2853_),
+    .S(_2842_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2854_));
- sky130_fd_sc_hd__mux2_1 _5980_ (.A0(_2846_),
-    .A1(_1679_),
-    .S(_2854_),
+ sky130_fd_sc_hd__clkbuf_1 _6013_ (.A(_2854_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0521_));
+ sky130_fd_sc_hd__mux2_2 _6014_ (.A0(\u_async_wb.u_resp_if.mem[0][26] ),
+    .A1(\u_async_wb.u_resp_if.mem[1][26] ),
+    .S(_2851_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2855_));
- sky130_fd_sc_hd__clkbuf_1 _5981_ (.A(_2855_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0530_));
- sky130_fd_sc_hd__clkbuf_1 _5982_ (.A(_2678_),
+ sky130_fd_sc_hd__mux2_1 _6015_ (.A0(\reg_rdata[26] ),
+    .A1(_2855_),
+    .S(_2845_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2856_));
- sky130_fd_sc_hd__nor2_1 _5983_ (.A(_2691_),
-    .B(_2849_),
+ sky130_fd_sc_hd__clkbuf_2 _6016_ (.A(_0947_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2857_));
- sky130_fd_sc_hd__mux2_1 _5984_ (.A0(_1681_),
+    .X(_2857_));
+ sky130_fd_sc_hd__mux2_1 _6017_ (.A0(\wb_dat_o[26] ),
     .A1(_2856_),
     .S(_2857_),
     .VGND(vssd1),
@@ -84384,9742 +84874,10292 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2858_));
- sky130_fd_sc_hd__clkbuf_1 _5985_ (.A(_2858_),
+ sky130_fd_sc_hd__clkbuf_1 _6018_ (.A(_2858_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0531_));
- sky130_fd_sc_hd__or3_1 _5986_ (.A(_2847_),
-    .B(_2835_),
-    .C(_2832_),
+    .X(_0522_));
+ sky130_fd_sc_hd__mux2_2 _6019_ (.A0(\u_async_wb.u_resp_if.mem[0][27] ),
+    .A1(\u_async_wb.u_resp_if.mem[1][27] ),
+    .S(_2851_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2859_));
- sky130_fd_sc_hd__mux2_1 _5987_ (.A0(_2846_),
-    .A1(_1683_),
-    .S(_2859_),
+ sky130_fd_sc_hd__clkbuf_2 _6020_ (.A(_1204_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2860_));
- sky130_fd_sc_hd__clkbuf_1 _5988_ (.A(_2860_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0532_));
- sky130_fd_sc_hd__or3b_1 _5989_ (.A(_2832_),
-    .B(_2847_),
-    .C_N(_2848_),
+ sky130_fd_sc_hd__mux2_1 _6021_ (.A0(\reg_rdata[27] ),
+    .A1(_2859_),
+    .S(_2860_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2861_));
- sky130_fd_sc_hd__mux2_1 _5990_ (.A0(_2856_),
-    .A1(_1687_),
-    .S(_2861_),
+ sky130_fd_sc_hd__mux2_1 _6022_ (.A0(\wb_dat_o[27] ),
+    .A1(_2861_),
+    .S(_2857_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2862_));
- sky130_fd_sc_hd__clkbuf_1 _5991_ (.A(_2862_),
+ sky130_fd_sc_hd__clkbuf_1 _6023_ (.A(_2862_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0533_));
- sky130_fd_sc_hd__or3b_1 _5992_ (.A(_2848_),
-    .B(_2832_),
-    .C_N(_2840_),
+    .X(_0523_));
+ sky130_fd_sc_hd__mux2_2 _6024_ (.A0(\u_async_wb.u_resp_if.mem[0][28] ),
+    .A1(\u_async_wb.u_resp_if.mem[1][28] ),
+    .S(_2851_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2863_));
- sky130_fd_sc_hd__mux2_1 _5993_ (.A0(_2856_),
-    .A1(_1689_),
-    .S(_2863_),
+ sky130_fd_sc_hd__mux2_1 _6025_ (.A0(\reg_rdata[28] ),
+    .A1(_2863_),
+    .S(_2860_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2864_));
- sky130_fd_sc_hd__clkbuf_1 _5994_ (.A(_2864_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0534_));
- sky130_fd_sc_hd__mux2_1 _5995_ (.A0(_1690_),
-    .A1(_2856_),
-    .S(_2833_),
+ sky130_fd_sc_hd__mux2_1 _6026_ (.A0(\wb_dat_o[28] ),
+    .A1(_2864_),
+    .S(_2857_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2865_));
- sky130_fd_sc_hd__clkbuf_1 _5996_ (.A(_2865_),
+ sky130_fd_sc_hd__clkbuf_1 _6027_ (.A(_2865_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0535_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5997_ (.A(\u_uart2wb.u_core.u_clk_ctl.low_count[0] ),
+    .X(_0524_));
+ sky130_fd_sc_hd__mux2_2 _6028_ (.A0(\u_async_wb.u_resp_if.mem[0][29] ),
+    .A1(\u_async_wb.u_resp_if.mem[1][29] ),
+    .S(_1208_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2866_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _5998_ (.A(_1240_),
+ sky130_fd_sc_hd__mux2_1 _6029_ (.A0(\reg_rdata[29] ),
+    .A1(_2866_),
+    .S(_2860_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2867_));
- sky130_fd_sc_hd__nor3_2 _5999_ (.A(\u_uart2wb.u_core.u_clk_ctl.low_count[10] ),
-    .B(\u_uart2wb.u_core.u_clk_ctl.low_count[11] ),
-    .C(_2867_),
+ sky130_fd_sc_hd__mux2_1 _6030_ (.A0(\wb_dat_o[29] ),
+    .A1(_2867_),
+    .S(_2857_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2868_));
- sky130_fd_sc_hd__a21oi_1 _6000_ (.A1(_2560_),
-    .A2(_2868_),
-    .B1(_2866_),
+    .X(_2868_));
+ sky130_fd_sc_hd__clkbuf_1 _6031_ (.A(_2868_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2869_));
- sky130_fd_sc_hd__mux2_1 _6001_ (.A0(_2866_),
+    .X(_0525_));
+ sky130_fd_sc_hd__mux2_2 _6032_ (.A0(\u_async_wb.u_resp_if.mem[0][30] ),
+    .A1(\u_async_wb.u_resp_if.mem[1][30] ),
+    .S(_1208_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2869_));
+ sky130_fd_sc_hd__mux2_1 _6033_ (.A0(\reg_rdata[30] ),
     .A1(_2869_),
-    .S(_1246_),
+    .S(_2860_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2870_));
- sky130_fd_sc_hd__clkbuf_1 _6002_ (.A(_2870_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0536_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _6003_ (.A(\u_uart2wb.u_core.u_clk_ctl.low_count[1] ),
+ sky130_fd_sc_hd__mux2_1 _6034_ (.A0(\wb_dat_o[30] ),
+    .A1(_2870_),
+    .S(_0948_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2871_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _6004_ (.A(_2571_),
+ sky130_fd_sc_hd__clkbuf_1 _6035_ (.A(_2871_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0526_));
+ sky130_fd_sc_hd__mux2_2 _6036_ (.A0(\u_async_wb.u_resp_if.mem[0][31] ),
+    .A1(\u_async_wb.u_resp_if.mem[1][31] ),
+    .S(_1208_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2872_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _6005_ (.A(_2872_),
+ sky130_fd_sc_hd__mux2_1 _6037_ (.A0(\reg_rdata[31] ),
+    .A1(_2872_),
+    .S(_1205_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2873_));
- sky130_fd_sc_hd__xor2_2 _6006_ (.A(_2557_),
-    .B(_2568_),
+ sky130_fd_sc_hd__mux2_1 _6038_ (.A0(\wb_dat_o[31] ),
+    .A1(_2873_),
+    .S(_0948_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2874_));
- sky130_fd_sc_hd__xnor2_1 _6007_ (.A(_2866_),
-    .B(_2871_),
+ sky130_fd_sc_hd__clkbuf_1 _6039_ (.A(_2874_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2875_));
- sky130_fd_sc_hd__o211a_1 _6008_ (.A1(_1242_),
-    .A2(_2874_),
-    .B1(_2875_),
-    .C1(_2582_),
+    .X(_0527_));
+ sky130_fd_sc_hd__and3b_2 _6040_ (.A_N(_2733_),
+    .B(_2720_),
+    .C(la_data_in[2]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2875_));
+ sky130_fd_sc_hd__mux2_1 _6041_ (.A0(\u_uart2wb.u_core.u_rxfsm.rxpos[0] ),
+    .A1(_1213_),
+    .S(_2875_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2876_));
- sky130_fd_sc_hd__a21o_1 _6009_ (.A1(_2871_),
-    .A2(_2873_),
-    .B1(_2876_),
+ sky130_fd_sc_hd__clkbuf_1 _6042_ (.A(_2876_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0537_));
- sky130_fd_sc_hd__a21oi_1 _6010_ (.A1(_2560_),
-    .A2(_2568_),
-    .B1(_2576_),
+    .X(_0528_));
+ sky130_fd_sc_hd__mux2_1 _6043_ (.A0(\u_uart2wb.u_core.u_rxfsm.rxpos[1] ),
+    .A1(_1245_),
+    .S(_2875_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2877_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _6011_ (.A(_2868_),
+    .X(_2877_));
+ sky130_fd_sc_hd__clkbuf_1 _6044_ (.A(_2877_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0529_));
+ sky130_fd_sc_hd__mux2_1 _6045_ (.A0(\u_uart2wb.u_core.u_rxfsm.rxpos[2] ),
+    .A1(\u_uart2wb.u_core.u_rxfsm.offset[2] ),
+    .S(_2875_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2878_));
- sky130_fd_sc_hd__o21ai_2 _6012_ (.A1(_2577_),
-    .A2(_2877_),
-    .B1(_2878_),
+ sky130_fd_sc_hd__clkbuf_1 _6046_ (.A(_2878_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2879_));
- sky130_fd_sc_hd__or3_1 _6013_ (.A(\u_uart2wb.u_core.u_clk_ctl.low_count[0] ),
-    .B(_2871_),
-    .C(\u_uart2wb.u_core.u_clk_ctl.low_count[2] ),
+    .X(_0530_));
+ sky130_fd_sc_hd__mux2_1 _6047_ (.A0(\u_uart2wb.u_core.u_rxfsm.rxpos[3] ),
+    .A1(_1248_),
+    .S(_2875_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2879_));
+ sky130_fd_sc_hd__clkbuf_1 _6048_ (.A(_2879_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0531_));
+ sky130_fd_sc_hd__or2_1 _6049_ (.A(_2743_),
+    .B(_2722_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2880_));
- sky130_fd_sc_hd__o21ai_1 _6014_ (.A1(_2866_),
-    .A2(_2871_),
-    .B1(\u_uart2wb.u_core.u_clk_ctl.low_count[2] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2881_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _6015_ (.A(_2572_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2882_));
- sky130_fd_sc_hd__a21oi_1 _6016_ (.A1(_2880_),
-    .A2(_2881_),
-    .B1(_2882_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2883_));
- sky130_fd_sc_hd__a22o_1 _6017_ (.A1(\u_uart2wb.u_core.u_clk_ctl.low_count[2] ),
-    .A2(_2873_),
-    .B1(_2879_),
-    .B2(_2883_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0538_));
- sky130_fd_sc_hd__nor2_1 _6018_ (.A(la_data_in[8]),
-    .B(_2577_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2884_));
- sky130_fd_sc_hd__o21ai_2 _6019_ (.A1(_2587_),
-    .A2(_2884_),
-    .B1(_2878_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_2885_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _6020_ (.A(_1238_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2886_));
- sky130_fd_sc_hd__nand2_1 _6021_ (.A(\u_uart2wb.u_core.u_clk_ctl.low_count[3] ),
+ sky130_fd_sc_hd__or2_1 _6050_ (.A(_2729_),
     .B(_2880_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2887_));
- sky130_fd_sc_hd__a21oi_1 _6022_ (.A1(_2886_),
-    .A2(_2887_),
+    .X(_2881_));
+ sky130_fd_sc_hd__or2_1 _6051_ (.A(_2730_),
+    .B(_2881_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2882_));
+ sky130_fd_sc_hd__a221oi_1 _6052_ (.A1(_2724_),
+    .A2(_2726_),
     .B1(_2882_),
+    .B2(_2457_),
+    .C1(_2737_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2888_));
- sky130_fd_sc_hd__a22o_1 _6023_ (.A1(\u_uart2wb.u_core.u_clk_ctl.low_count[3] ),
-    .A2(_2873_),
-    .B1(_2885_),
-    .B2(_2888_),
+    .Y(_0532_));
+ sky130_fd_sc_hd__clkbuf_1 _6053_ (.A(\u_uart2wb.u_core.u_rxfsm.cnt[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0539_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _6024_ (.A(\u_uart2wb.u_core.u_clk_ctl.low_count[4] ),
+    .X(_2883_));
+ sky130_fd_sc_hd__clkbuf_1 _6054_ (.A(_2883_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2884_));
+ sky130_fd_sc_hd__nor2_1 _6055_ (.A(_2884_),
+    .B(_2726_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2885_));
+ sky130_fd_sc_hd__o21ba_1 _6056_ (.A1(_2721_),
+    .A2(_2735_),
+    .B1_N(_2747_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2886_));
+ sky130_fd_sc_hd__mux2_1 _6057_ (.A0(_2884_),
+    .A1(_2885_),
+    .S(_2886_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2887_));
+ sky130_fd_sc_hd__clkbuf_1 _6058_ (.A(_2887_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0533_));
+ sky130_fd_sc_hd__clkbuf_1 _6059_ (.A(\u_uart2wb.u_core.u_rxfsm.cnt[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2888_));
+ sky130_fd_sc_hd__clkbuf_1 _6060_ (.A(_2888_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2889_));
- sky130_fd_sc_hd__xor2_1 _6025_ (.A(_2593_),
-    .B(_2586_),
+ sky130_fd_sc_hd__nand2_1 _6061_ (.A(_2722_),
+    .B(_2734_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2890_));
- sky130_fd_sc_hd__xnor2_1 _6026_ (.A(_2889_),
-    .B(_2886_),
+    .Y(_2890_));
+ sky130_fd_sc_hd__or2_1 _6062_ (.A(_2888_),
+    .B(\u_uart2wb.u_core.u_rxfsm.cnt[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2891_));
- sky130_fd_sc_hd__o211a_1 _6027_ (.A1(_1242_),
-    .A2(_2890_),
-    .B1(_2891_),
-    .C1(_2562_),
+    .X(_2891_));
+ sky130_fd_sc_hd__and3_1 _6063_ (.A(_2730_),
+    .B(_2890_),
+    .C(_2891_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2892_));
- sky130_fd_sc_hd__a21o_1 _6028_ (.A1(_2889_),
-    .A2(_2873_),
-    .B1(_2892_),
+ sky130_fd_sc_hd__mux2_1 _6064_ (.A0(_2889_),
+    .A1(_2892_),
+    .S(_2886_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0540_));
- sky130_fd_sc_hd__a21oi_1 _6029_ (.A1(_2593_),
-    .A2(_2587_),
-    .B1(la_data_in[10]),
+    .X(_2893_));
+ sky130_fd_sc_hd__clkbuf_1 _6065_ (.A(_2893_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2893_));
- sky130_fd_sc_hd__o21ai_1 _6030_ (.A1(_2600_),
-    .A2(_2893_),
-    .B1(_2878_),
+    .X(_0534_));
+ sky130_fd_sc_hd__nand2_1 _6066_ (.A(_2731_),
+    .B(_2890_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_2894_));
- sky130_fd_sc_hd__or3_1 _6031_ (.A(_2889_),
-    .B(\u_uart2wb.u_core.u_clk_ctl.low_count[5] ),
+ sky130_fd_sc_hd__and3_1 _6067_ (.A(_2889_),
+    .B(_2884_),
     .C(_2886_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2895_));
- sky130_fd_sc_hd__o21ai_1 _6032_ (.A1(_2889_),
-    .A2(_2886_),
-    .B1(\u_uart2wb.u_core.u_clk_ctl.low_count[5] ),
+ sky130_fd_sc_hd__o2bb2a_1 _6068_ (.A1_N(_2886_),
+    .A2_N(_2894_),
+    .B1(_2895_),
+    .B2(\u_uart2wb.u_core.u_rxfsm.cnt[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2896_));
- sky130_fd_sc_hd__a21oi_1 _6033_ (.A1(_2895_),
-    .A2(_2896_),
-    .B1(_2604_),
+    .X(_0535_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _6069_ (.A(_2733_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2897_));
- sky130_fd_sc_hd__a22o_1 _6034_ (.A1(\u_uart2wb.u_core.u_clk_ctl.low_count[5] ),
-    .A2(_2605_),
-    .B1(_2894_),
-    .B2(_2897_),
+    .X(_2896_));
+ sky130_fd_sc_hd__or2_1 _6070_ (.A(\u_uart2wb.u_core.u_rxfsm.cnt[2] ),
+    .B(_2880_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0541_));
- sky130_fd_sc_hd__nor2_1 _6035_ (.A(la_data_in[11]),
-    .B(_2600_),
+    .X(_2897_));
+ sky130_fd_sc_hd__or2_1 _6071_ (.A(_2891_),
+    .B(_2897_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2898_));
- sky130_fd_sc_hd__o21a_1 _6036_ (.A1(_2610_),
-    .A2(_2898_),
-    .B1(_2868_),
+    .X(_2898_));
+ sky130_fd_sc_hd__mux2_1 _6072_ (.A0(_2896_),
+    .A1(_1714_),
+    .S(_2898_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2899_));
- sky130_fd_sc_hd__clkbuf_1 _6037_ (.A(_1239_),
+ sky130_fd_sc_hd__clkbuf_1 _6073_ (.A(_2899_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0536_));
+ sky130_fd_sc_hd__or3b_2 _6074_ (.A(_2897_),
+    .B(_2888_),
+    .C_N(_2884_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2900_));
- sky130_fd_sc_hd__or2_1 _6038_ (.A(_2872_),
-    .B(_2900_),
+ sky130_fd_sc_hd__mux2_1 _6075_ (.A0(_2896_),
+    .A1(_1735_),
+    .S(_2900_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2901_));
- sky130_fd_sc_hd__or2_1 _6039_ (.A(_2872_),
-    .B(_2895_),
+ sky130_fd_sc_hd__clkbuf_1 _6076_ (.A(_2901_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0537_));
+ sky130_fd_sc_hd__or3b_1 _6077_ (.A(_2883_),
+    .B(_2897_),
+    .C_N(_2889_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2902_));
- sky130_fd_sc_hd__a2bb2o_1 _6040_ (.A1_N(_2899_),
-    .A2_N(_2901_),
-    .B1(\u_uart2wb.u_core.u_clk_ctl.low_count[6] ),
-    .B2(_2902_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0542_));
- sky130_fd_sc_hd__clkbuf_1 _6041_ (.A(\u_uart2wb.u_core.u_clk_ctl.low_count[7] ),
+ sky130_fd_sc_hd__mux2_1 _6078_ (.A0(_2896_),
+    .A1(_1737_),
+    .S(_2902_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2903_));
- sky130_fd_sc_hd__nor2_1 _6042_ (.A(_2903_),
-    .B(_2900_),
+ sky130_fd_sc_hd__clkbuf_1 _6079_ (.A(_2903_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2904_));
- sky130_fd_sc_hd__nor2_1 _6043_ (.A(_2613_),
-    .B(_2610_),
+    .X(_0538_));
+ sky130_fd_sc_hd__clkbuf_1 _6080_ (.A(_2733_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2904_));
+ sky130_fd_sc_hd__nor2_1 _6081_ (.A(_2730_),
+    .B(_2897_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_2905_));
- sky130_fd_sc_hd__o21ai_1 _6044_ (.A1(_2614_),
-    .A2(_2905_),
-    .B1(_2878_),
+ sky130_fd_sc_hd__mux2_1 _6082_ (.A0(_1740_),
+    .A1(_2904_),
+    .S(_2905_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2906_));
- sky130_fd_sc_hd__a32o_1 _6045_ (.A1(_2582_),
-    .A2(_2904_),
-    .A3(_2906_),
-    .B1(_2901_),
-    .B2(_2903_),
+    .X(_2906_));
+ sky130_fd_sc_hd__clkbuf_1 _6083_ (.A(_2906_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0543_));
- sky130_fd_sc_hd__nor2_1 _6046_ (.A(la_data_in[13]),
-    .B(_2614_),
+    .X(_0539_));
+ sky130_fd_sc_hd__nor2_1 _6084_ (.A(_2881_),
+    .B(_2891_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_2907_));
- sky130_fd_sc_hd__or2_1 _6047_ (.A(_2620_),
-    .B(_2907_),
+ sky130_fd_sc_hd__mux2_1 _6085_ (.A0(_1742_),
+    .A1(_2904_),
+    .S(_2907_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2908_));
- sky130_fd_sc_hd__o31a_1 _6048_ (.A1(_2903_),
-    .A2(_2872_),
-    .A3(_2900_),
-    .B1(\u_uart2wb.u_core.u_clk_ctl.low_count[8] ),
+ sky130_fd_sc_hd__clkbuf_1 _6086_ (.A(_2908_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0540_));
+ sky130_fd_sc_hd__or3b_1 _6087_ (.A(_2881_),
+    .B(_2888_),
+    .C_N(_2883_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2909_));
- sky130_fd_sc_hd__or3_1 _6049_ (.A(_2903_),
-    .B(\u_uart2wb.u_core.u_clk_ctl.low_count[8] ),
-    .C(_2900_),
+ sky130_fd_sc_hd__mux2_1 _6088_ (.A0(_2896_),
+    .A1(_1744_),
+    .S(_2909_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2910_));
- sky130_fd_sc_hd__nor2_1 _6050_ (.A(_2882_),
-    .B(_2910_),
+ sky130_fd_sc_hd__clkbuf_1 _6089_ (.A(_2910_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2911_));
- sky130_fd_sc_hd__o2bb2a_1 _6051_ (.A1_N(_2574_),
-    .A2_N(_2908_),
-    .B1(_2909_),
-    .B2(_2911_),
+    .X(_0541_));
+ sky130_fd_sc_hd__or3b_1 _6090_ (.A(_2883_),
+    .B(_2881_),
+    .C_N(_2889_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0544_));
- sky130_fd_sc_hd__nor2_1 _6052_ (.A(la_data_in[14]),
-    .B(_2620_),
+    .X(_2911_));
+ sky130_fd_sc_hd__mux2_1 _6091_ (.A0(_2904_),
+    .A1(_1745_),
+    .S(_2911_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2912_));
- sky130_fd_sc_hd__or2_1 _6053_ (.A(_2624_),
-    .B(_2912_),
+    .X(_2912_));
+ sky130_fd_sc_hd__clkbuf_1 _6092_ (.A(_2912_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0542_));
+ sky130_fd_sc_hd__mux2_1 _6093_ (.A0(_2904_),
+    .A1(_1746_),
+    .S(_2882_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2913_));
- sky130_fd_sc_hd__nor2_1 _6054_ (.A(_2882_),
-    .B(_2867_),
+ sky130_fd_sc_hd__clkbuf_1 _6094_ (.A(_2913_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2914_));
- sky130_fd_sc_hd__o21a_1 _6055_ (.A1(_2604_),
-    .A2(_2910_),
-    .B1(\u_uart2wb.u_core.u_clk_ctl.low_count[9] ),
+    .X(_0543_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _6095_ (.A(\u_uart2wb.u_core.u_clk_ctl.low_count[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2914_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _6096_ (.A(_1254_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2915_));
- sky130_fd_sc_hd__o2bb2a_1 _6056_ (.A1_N(_2574_),
-    .A2_N(_2913_),
+ sky130_fd_sc_hd__nor3_2 _6097_ (.A(\u_uart2wb.u_core.u_clk_ctl.low_count[11] ),
+    .B(\u_uart2wb.u_core.u_clk_ctl.low_count[10] ),
+    .C(_2915_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_2916_));
+ sky130_fd_sc_hd__a21oi_1 _6098_ (.A1(_2606_),
+    .A2(_2916_),
     .B1(_2914_),
-    .B2(_2915_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0545_));
- sky130_fd_sc_hd__or3_1 _6057_ (.A(\u_uart2wb.u_core.u_clk_ctl.low_count[10] ),
-    .B(_2572_),
-    .C(_2867_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2916_));
- sky130_fd_sc_hd__nand2_1 _6058_ (.A(_2631_),
-    .B(_2624_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_2917_));
- sky130_fd_sc_hd__or2_1 _6059_ (.A(_2631_),
-    .B(_2623_),
+ sky130_fd_sc_hd__mux2_1 _6099_ (.A0(_2914_),
+    .A1(_2917_),
+    .S(_1263_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2918_));
- sky130_fd_sc_hd__a21oi_1 _6060_ (.A1(_2917_),
-    .A2(_2918_),
-    .B1(\u_uart2wb.u_core.u_clk_ctl.low_count[11] ),
+ sky130_fd_sc_hd__clkbuf_1 _6100_ (.A(_2918_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2919_));
- sky130_fd_sc_hd__o21ai_1 _6061_ (.A1(_2604_),
-    .A2(_2867_),
-    .B1(\u_uart2wb.u_core.u_clk_ctl.low_count[10] ),
+    .X(_0544_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _6101_ (.A(\u_uart2wb.u_core.u_clk_ctl.low_count[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2920_));
- sky130_fd_sc_hd__o21ai_1 _6062_ (.A1(_2916_),
-    .A2(_2919_),
-    .B1(_2920_),
+    .X(_2919_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _6102_ (.A(_2649_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_0546_));
- sky130_fd_sc_hd__a32o_1 _6063_ (.A1(_2631_),
-    .A2(_2573_),
-    .A3(_2624_),
-    .B1(_2916_),
-    .B2(\u_uart2wb.u_core.u_clk_ctl.low_count[11] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0547_));
- sky130_fd_sc_hd__xor2_1 _6064_ (.A(\u_uart2wb.u_async_reg_bus.in_state[0] ),
-    .B(_2634_),
+    .X(_2920_));
+ sky130_fd_sc_hd__xor2_1 _6103_ (.A(_2606_),
+    .B(_2614_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2921_));
- sky130_fd_sc_hd__nand2_1 _6065_ (.A(_2641_),
-    .B(_2921_),
+ sky130_fd_sc_hd__xnor2_1 _6104_ (.A(_2914_),
+    .B(_2919_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_2922_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _6066_ (.A(_2922_),
+ sky130_fd_sc_hd__o211a_1 _6105_ (.A1(_1257_),
+    .A2(_2921_),
+    .B1(_2922_),
+    .C1(_2608_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2923_));
- sky130_fd_sc_hd__and2_1 _6067_ (.A(\u_uart2wb.u_async_reg_bus.in_timer[0] ),
-    .B(_2923_),
+ sky130_fd_sc_hd__a21o_1 _6106_ (.A1(_2919_),
+    .A2(_2920_),
+    .B1(_2923_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2924_));
- sky130_fd_sc_hd__o21ba_1 _6068_ (.A1(\u_uart2wb.u_async_reg_bus.in_timer[0] ),
-    .A2(_2643_),
-    .B1_N(_2924_),
+    .X(_0545_));
+ sky130_fd_sc_hd__a21oi_1 _6107_ (.A1(_2606_),
+    .A2(_2614_),
+    .B1(_2622_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0548_));
- sky130_fd_sc_hd__nand2_1 _6069_ (.A(\u_uart2wb.u_async_reg_bus.in_timer[1] ),
-    .B(\u_uart2wb.u_async_reg_bus.in_timer[0] ),
+    .Y(_2924_));
+ sky130_fd_sc_hd__clkbuf_2 _6108_ (.A(_2916_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2925_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _6070_ (.A(_2921_),
+    .X(_2925_));
+ sky130_fd_sc_hd__o21ai_2 _6109_ (.A1(_2623_),
+    .A2(_2924_),
+    .B1(_2925_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2926_));
- sky130_fd_sc_hd__o21a_1 _6071_ (.A1(_2925_),
-    .A2(_2642_),
-    .B1(_2926_),
+    .Y(_2926_));
+ sky130_fd_sc_hd__or3_1 _6110_ (.A(\u_uart2wb.u_core.u_clk_ctl.low_count[0] ),
+    .B(_2919_),
+    .C(\u_uart2wb.u_core.u_clk_ctl.low_count[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2927_));
- sky130_fd_sc_hd__o21a_1 _6072_ (.A1(\u_uart2wb.u_async_reg_bus.in_timer[1] ),
-    .A2(_2924_),
-    .B1(_2927_),
+ sky130_fd_sc_hd__o21ai_1 _6111_ (.A1(_2914_),
+    .A2(_2919_),
+    .B1(\u_uart2wb.u_core.u_clk_ctl.low_count[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0549_));
- sky130_fd_sc_hd__or4_1 _6073_ (.A(\u_uart2wb.u_async_reg_bus.in_timer[2] ),
-    .B(_2636_),
-    .C(_2925_),
-    .D(_2642_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2928_));
- sky130_fd_sc_hd__a21bo_1 _6074_ (.A1(\u_uart2wb.u_async_reg_bus.in_timer[2] ),
-    .A2(_2927_),
-    .B1_N(_2928_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0550_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _6075_ (.A(_2921_),
+    .Y(_2928_));
+ sky130_fd_sc_hd__clkbuf_2 _6112_ (.A(_2618_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2929_));
- sky130_fd_sc_hd__clkbuf_1 _6076_ (.A(_2637_),
+ sky130_fd_sc_hd__a21oi_1 _6113_ (.A1(_2927_),
+    .A2(_2928_),
+    .B1(_2929_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2930_));
- sky130_fd_sc_hd__a21o_1 _6077_ (.A1(_2930_),
-    .A2(_2923_),
-    .B1(\u_uart2wb.u_async_reg_bus.in_timer[3] ),
+    .Y(_2930_));
+ sky130_fd_sc_hd__a22o_1 _6114_ (.A1(\u_uart2wb.u_core.u_clk_ctl.low_count[2] ),
+    .A2(_2920_),
+    .B1(_2926_),
+    .B2(_2930_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2931_));
- sky130_fd_sc_hd__and3_1 _6078_ (.A(\u_uart2wb.u_async_reg_bus.in_timer[3] ),
-    .B(_2930_),
-    .C(_2922_),
+    .X(_0546_));
+ sky130_fd_sc_hd__nor2_1 _6115_ (.A(la_data_in[8]),
+    .B(_2623_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2932_));
- sky130_fd_sc_hd__clkinv_2 _6079_ (.A(_2932_),
+    .Y(_2931_));
+ sky130_fd_sc_hd__o21ai_2 _6116_ (.A1(_2632_),
+    .A2(_2931_),
+    .B1(_2925_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2933_));
- sky130_fd_sc_hd__and3_1 _6080_ (.A(_2929_),
-    .B(_2931_),
-    .C(_2933_),
+    .Y(_2932_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _6117_ (.A(_1252_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2934_));
- sky130_fd_sc_hd__clkbuf_1 _6081_ (.A(_2934_),
+    .X(_2933_));
+ sky130_fd_sc_hd__nand2_1 _6118_ (.A(\u_uart2wb.u_core.u_clk_ctl.low_count[3] ),
+    .B(_2927_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0551_));
- sky130_fd_sc_hd__o21ai_1 _6082_ (.A1(\u_uart2wb.u_async_reg_bus.in_timer[4] ),
-    .A2(_2932_),
+    .Y(_2934_));
+ sky130_fd_sc_hd__a21oi_1 _6119_ (.A1(_2933_),
+    .A2(_2934_),
     .B1(_2929_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_2935_));
- sky130_fd_sc_hd__a21oi_1 _6083_ (.A1(\u_uart2wb.u_async_reg_bus.in_timer[4] ),
-    .A2(_2932_),
-    .B1(_2935_),
+ sky130_fd_sc_hd__a22o_1 _6120_ (.A1(\u_uart2wb.u_core.u_clk_ctl.low_count[3] ),
+    .A2(_2920_),
+    .B1(_2932_),
+    .B2(_2935_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_0552_));
- sky130_fd_sc_hd__a21o_1 _6084_ (.A1(\u_uart2wb.u_async_reg_bus.in_timer[4] ),
-    .A2(_2932_),
-    .B1(\u_uart2wb.u_async_reg_bus.in_timer[5] ),
+    .X(_0547_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _6121_ (.A(\u_uart2wb.u_core.u_clk_ctl.low_count[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2936_));
- sky130_fd_sc_hd__and3_1 _6085_ (.A(_2930_),
-    .B(_2638_),
-    .C(_2922_),
+ sky130_fd_sc_hd__xor2_2 _6122_ (.A(_2638_),
+    .B(_2631_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2937_));
- sky130_fd_sc_hd__clkinv_2 _6086_ (.A(_2937_),
+ sky130_fd_sc_hd__xnor2_1 _6123_ (.A(_2936_),
+    .B(_2933_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_2938_));
- sky130_fd_sc_hd__and3_1 _6087_ (.A(_2926_),
-    .B(_2936_),
-    .C(_2938_),
+ sky130_fd_sc_hd__o211a_1 _6124_ (.A1(_1257_),
+    .A2(_2937_),
+    .B1(_2938_),
+    .C1(_2608_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2939_));
- sky130_fd_sc_hd__clkbuf_1 _6088_ (.A(_2939_),
+ sky130_fd_sc_hd__a21o_1 _6125_ (.A1(_2936_),
+    .A2(_2920_),
+    .B1(_2939_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0553_));
- sky130_fd_sc_hd__a21bo_1 _6089_ (.A1(\u_uart2wb.u_async_reg_bus.in_timer[6] ),
-    .A2(_2937_),
-    .B1_N(_2926_),
+    .X(_0548_));
+ sky130_fd_sc_hd__a21oi_1 _6126_ (.A1(_2638_),
+    .A2(_2632_),
+    .B1(la_data_in[10]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2940_));
- sky130_fd_sc_hd__o21ba_1 _6090_ (.A1(\u_uart2wb.u_async_reg_bus.in_timer[6] ),
-    .A2(_2937_),
-    .B1_N(_2940_),
+    .Y(_2940_));
+ sky130_fd_sc_hd__o21ai_1 _6127_ (.A1(_2645_),
+    .A2(_2940_),
+    .B1(_2925_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0554_));
- sky130_fd_sc_hd__a21o_1 _6091_ (.A1(\u_uart2wb.u_async_reg_bus.in_timer[6] ),
-    .A2(_2937_),
-    .B1(\u_uart2wb.u_async_reg_bus.in_timer[7] ),
+    .Y(_2941_));
+ sky130_fd_sc_hd__or3_1 _6128_ (.A(_2936_),
+    .B(\u_uart2wb.u_core.u_clk_ctl.low_count[5] ),
+    .C(_2933_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2941_));
- sky130_fd_sc_hd__nand4_1 _6092_ (.A(_2930_),
-    .B(_2638_),
-    .C(_2639_),
-    .D(_2923_),
+    .X(_2942_));
+ sky130_fd_sc_hd__o21ai_1 _6129_ (.A1(_2936_),
+    .A2(_2933_),
+    .B1(\u_uart2wb.u_core.u_clk_ctl.low_count[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2942_));
- sky130_fd_sc_hd__and3_1 _6093_ (.A(_2926_),
-    .B(_2941_),
-    .C(_2942_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2943_));
- sky130_fd_sc_hd__clkbuf_1 _6094_ (.A(_2943_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0555_));
- sky130_fd_sc_hd__inv_2 _6095_ (.A(\u_uart2wb.u_async_reg_bus.in_timer[8] ),
+    .Y(_2943_));
+ sky130_fd_sc_hd__a21oi_1 _6130_ (.A1(_2942_),
+    .A2(_2943_),
+    .B1(_2929_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Y(_2944_));
- sky130_fd_sc_hd__a21boi_1 _6096_ (.A1(_2944_),
-    .A2(_2942_),
-    .B1_N(_2929_),
+ sky130_fd_sc_hd__a22o_1 _6131_ (.A1(\u_uart2wb.u_core.u_clk_ctl.low_count[5] ),
+    .A2(_2650_),
+    .B1(_2941_),
+    .B2(_2944_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_0556_));
- sky130_fd_sc_hd__o21a_1 _6097_ (.A1(_2635_),
-    .A2(_2645_),
-    .B1(_2648_),
+    .X(_0549_));
+ sky130_fd_sc_hd__nor2_1 _6132_ (.A(la_data_in[11]),
+    .B(_2645_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0557_));
- sky130_fd_sc_hd__o211a_1 _6098_ (.A1(\u_uart2wb.u_async_reg_bus.out_flag_ss ),
-    .A2(_2644_),
-    .B1(_2929_),
-    .C1(_2642_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0558_));
- sky130_fd_sc_hd__mux2_1 _6099_ (.A0(wbs_dat_i[0]),
-    .A1(\u_async_wb.u_resp_if.mem[1][0] ),
-    .S(_1479_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2945_));
- sky130_fd_sc_hd__clkbuf_1 _6100_ (.A(_2945_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0559_));
- sky130_fd_sc_hd__mux2_1 _6101_ (.A0(wbs_dat_i[1]),
-    .A1(\u_async_wb.u_resp_if.mem[1][1] ),
-    .S(_1479_),
+    .Y(_2945_));
+ sky130_fd_sc_hd__o21a_1 _6133_ (.A1(_2655_),
+    .A2(_2945_),
+    .B1(_2916_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2946_));
- sky130_fd_sc_hd__clkbuf_1 _6102_ (.A(_2946_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0560_));
- sky130_fd_sc_hd__mux2_1 _6103_ (.A0(wbs_dat_i[2]),
-    .A1(\u_async_wb.u_resp_if.mem[1][2] ),
-    .S(_1479_),
+ sky130_fd_sc_hd__clkbuf_1 _6134_ (.A(_1253_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2947_));
- sky130_fd_sc_hd__clkbuf_1 _6104_ (.A(_2947_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0561_));
- sky130_fd_sc_hd__clkbuf_2 _6105_ (.A(_1478_),
+ sky130_fd_sc_hd__or2_1 _6135_ (.A(_2649_),
+    .B(_2947_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2948_));
- sky130_fd_sc_hd__mux2_1 _6106_ (.A0(wbs_dat_i[3]),
-    .A1(\u_async_wb.u_resp_if.mem[1][3] ),
-    .S(_2948_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _6136_ (.A(_2618_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2949_));
- sky130_fd_sc_hd__clkbuf_1 _6107_ (.A(_2949_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0562_));
- sky130_fd_sc_hd__mux2_1 _6108_ (.A0(wbs_dat_i[4]),
-    .A1(\u_async_wb.u_resp_if.mem[1][4] ),
-    .S(_2948_),
+ sky130_fd_sc_hd__or2_1 _6137_ (.A(_2949_),
+    .B(_2942_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2950_));
- sky130_fd_sc_hd__clkbuf_1 _6109_ (.A(_2950_),
+ sky130_fd_sc_hd__a2bb2o_1 _6138_ (.A1_N(_2946_),
+    .A2_N(_2948_),
+    .B1(\u_uart2wb.u_core.u_clk_ctl.low_count[6] ),
+    .B2(_2950_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0563_));
- sky130_fd_sc_hd__mux2_1 _6110_ (.A0(wbs_dat_i[5]),
-    .A1(\u_async_wb.u_resp_if.mem[1][5] ),
-    .S(_2948_),
+    .X(_0550_));
+ sky130_fd_sc_hd__clkbuf_1 _6139_ (.A(\u_uart2wb.u_core.u_clk_ctl.low_count[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2951_));
- sky130_fd_sc_hd__clkbuf_1 _6111_ (.A(_2951_),
+ sky130_fd_sc_hd__nor2_1 _6140_ (.A(_2951_),
+    .B(_2947_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0564_));
- sky130_fd_sc_hd__mux2_1 _6112_ (.A0(wbs_dat_i[6]),
-    .A1(\u_async_wb.u_resp_if.mem[1][6] ),
-    .S(_2948_),
+    .Y(_2952_));
+ sky130_fd_sc_hd__nor2_1 _6141_ (.A(_2658_),
+    .B(_2655_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2952_));
- sky130_fd_sc_hd__clkbuf_1 _6113_ (.A(_2952_),
+    .Y(_2953_));
+ sky130_fd_sc_hd__o21ai_1 _6142_ (.A1(_2659_),
+    .A2(_2953_),
+    .B1(_2925_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0565_));
- sky130_fd_sc_hd__clkbuf_2 _6114_ (.A(_1477_),
+    .Y(_2954_));
+ sky130_fd_sc_hd__a32o_1 _6143_ (.A1(_2628_),
+    .A2(_2952_),
+    .A3(_2954_),
+    .B1(_2948_),
+    .B2(_2951_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2953_));
- sky130_fd_sc_hd__clkbuf_2 _6115_ (.A(_2953_),
+    .X(_0551_));
+ sky130_fd_sc_hd__nor2_1 _6144_ (.A(la_data_in[13]),
+    .B(_2659_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2954_));
- sky130_fd_sc_hd__mux2_1 _6116_ (.A0(wbs_dat_i[7]),
-    .A1(\u_async_wb.u_resp_if.mem[1][7] ),
-    .S(_2954_),
+    .Y(_2955_));
+ sky130_fd_sc_hd__nor2_1 _6145_ (.A(_2666_),
+    .B(_2955_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2955_));
- sky130_fd_sc_hd__clkbuf_1 _6117_ (.A(_2955_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0566_));
- sky130_fd_sc_hd__mux2_1 _6118_ (.A0(wbs_dat_i[8]),
-    .A1(\u_async_wb.u_resp_if.mem[1][8] ),
-    .S(_2954_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2956_));
- sky130_fd_sc_hd__clkbuf_1 _6119_ (.A(_2956_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0567_));
- sky130_fd_sc_hd__mux2_1 _6120_ (.A0(wbs_dat_i[9]),
-    .A1(\u_async_wb.u_resp_if.mem[1][9] ),
-    .S(_2954_),
+    .Y(_2956_));
+ sky130_fd_sc_hd__o31a_1 _6146_ (.A1(_2951_),
+    .A2(_2649_),
+    .A3(_2947_),
+    .B1(\u_uart2wb.u_core.u_clk_ctl.low_count[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2957_));
- sky130_fd_sc_hd__clkbuf_1 _6121_ (.A(_2957_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0568_));
- sky130_fd_sc_hd__mux2_1 _6122_ (.A0(wbs_dat_i[10]),
-    .A1(\u_async_wb.u_resp_if.mem[1][10] ),
-    .S(_2954_),
+ sky130_fd_sc_hd__or3_1 _6147_ (.A(_2951_),
+    .B(\u_uart2wb.u_core.u_clk_ctl.low_count[8] ),
+    .C(_2947_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2958_));
- sky130_fd_sc_hd__clkbuf_1 _6123_ (.A(_2958_),
+ sky130_fd_sc_hd__nor2_1 _6148_ (.A(_2949_),
+    .B(_2958_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0569_));
- sky130_fd_sc_hd__clkbuf_2 _6124_ (.A(_2953_),
+    .Y(_2959_));
+ sky130_fd_sc_hd__o32a_1 _6149_ (.A1(_2650_),
+    .A2(_1257_),
+    .A3(_2956_),
+    .B1(_2957_),
+    .B2(_2959_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2959_));
- sky130_fd_sc_hd__mux2_1 _6125_ (.A0(wbs_dat_i[11]),
-    .A1(\u_async_wb.u_resp_if.mem[1][11] ),
-    .S(_2959_),
+    .X(_0552_));
+ sky130_fd_sc_hd__nor2_1 _6150_ (.A(la_data_in[14]),
+    .B(_2666_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2960_));
- sky130_fd_sc_hd__clkbuf_1 _6126_ (.A(_2960_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0570_));
- sky130_fd_sc_hd__mux2_1 _6127_ (.A0(wbs_dat_i[12]),
-    .A1(\u_async_wb.u_resp_if.mem[1][12] ),
-    .S(_2959_),
+    .Y(_2960_));
+ sky130_fd_sc_hd__or2_1 _6151_ (.A(_2670_),
+    .B(_2960_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2961_));
- sky130_fd_sc_hd__clkbuf_1 _6128_ (.A(_2961_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0571_));
- sky130_fd_sc_hd__mux2_1 _6129_ (.A0(wbs_dat_i[13]),
-    .A1(\u_async_wb.u_resp_if.mem[1][13] ),
-    .S(_2959_),
+ sky130_fd_sc_hd__o21a_1 _6152_ (.A1(_2949_),
+    .A2(_2958_),
+    .B1(\u_uart2wb.u_core.u_clk_ctl.low_count[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2962_));
- sky130_fd_sc_hd__clkbuf_1 _6130_ (.A(_2962_),
+ sky130_fd_sc_hd__nor2_1 _6153_ (.A(_2929_),
+    .B(_2915_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0572_));
- sky130_fd_sc_hd__mux2_1 _6131_ (.A0(wbs_dat_i[14]),
-    .A1(\u_async_wb.u_resp_if.mem[1][14] ),
-    .S(_2959_),
+    .Y(_2963_));
+ sky130_fd_sc_hd__o2bb2a_1 _6154_ (.A1_N(_2620_),
+    .A2_N(_2961_),
+    .B1(_2962_),
+    .B2(_2963_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2963_));
- sky130_fd_sc_hd__clkbuf_1 _6132_ (.A(_2963_),
+    .X(_0553_));
+ sky130_fd_sc_hd__nand2_1 _6155_ (.A(_2677_),
+    .B(_2670_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0573_));
- sky130_fd_sc_hd__clkbuf_2 _6133_ (.A(_2953_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2964_));
- sky130_fd_sc_hd__mux2_1 _6134_ (.A0(wbs_dat_i[15]),
-    .A1(\u_async_wb.u_resp_if.mem[1][15] ),
-    .S(_2964_),
+    .Y(_2964_));
+ sky130_fd_sc_hd__or2_1 _6156_ (.A(_2677_),
+    .B(_2669_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2965_));
- sky130_fd_sc_hd__clkbuf_1 _6135_ (.A(_2965_),
+ sky130_fd_sc_hd__a21oi_1 _6157_ (.A1(_2964_),
+    .A2(_2965_),
+    .B1(\u_uart2wb.u_core.u_clk_ctl.low_count[11] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0574_));
- sky130_fd_sc_hd__mux2_1 _6136_ (.A0(wbs_dat_i[16]),
-    .A1(\u_async_wb.u_resp_if.mem[1][16] ),
-    .S(_2964_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2966_));
- sky130_fd_sc_hd__clkbuf_1 _6137_ (.A(_2966_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0575_));
- sky130_fd_sc_hd__mux2_1 _6138_ (.A0(wbs_dat_i[17]),
-    .A1(\u_async_wb.u_resp_if.mem[1][17] ),
-    .S(_2964_),
+    .Y(_2966_));
+ sky130_fd_sc_hd__or3_1 _6158_ (.A(\u_uart2wb.u_core.u_clk_ctl.low_count[10] ),
+    .B(_2618_),
+    .C(_2915_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2967_));
- sky130_fd_sc_hd__clkbuf_1 _6139_ (.A(_2967_),
+ sky130_fd_sc_hd__o21ai_1 _6159_ (.A1(_2949_),
+    .A2(_2915_),
+    .B1(\u_uart2wb.u_core.u_clk_ctl.low_count[10] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0576_));
- sky130_fd_sc_hd__mux2_1 _6140_ (.A0(wbs_dat_i[18]),
-    .A1(\u_async_wb.u_resp_if.mem[1][18] ),
-    .S(_2964_),
+    .Y(_2968_));
+ sky130_fd_sc_hd__o21ai_1 _6160_ (.A1(_2966_),
+    .A2(_2967_),
+    .B1(_2968_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2968_));
- sky130_fd_sc_hd__clkbuf_1 _6141_ (.A(_2968_),
+    .Y(_0554_));
+ sky130_fd_sc_hd__a32o_1 _6161_ (.A1(_2677_),
+    .A2(_2644_),
+    .A3(_2670_),
+    .B1(_2967_),
+    .B2(\u_uart2wb.u_core.u_clk_ctl.low_count[11] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0577_));
- sky130_fd_sc_hd__clkbuf_2 _6142_ (.A(_2953_),
+    .X(_0555_));
+ sky130_fd_sc_hd__xor2_1 _6162_ (.A(\u_uart2wb.u_async_reg_bus.in_state[0] ),
+    .B(_2681_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2969_));
- sky130_fd_sc_hd__mux2_1 _6143_ (.A0(wbs_dat_i[19]),
-    .A1(\u_async_wb.u_resp_if.mem[1][19] ),
-    .S(_2969_),
+ sky130_fd_sc_hd__nand2_1 _6163_ (.A(_2688_),
+    .B(_2969_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2970_));
- sky130_fd_sc_hd__clkbuf_1 _6144_ (.A(_2970_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0578_));
- sky130_fd_sc_hd__mux2_1 _6145_ (.A0(wbs_dat_i[20]),
-    .A1(\u_async_wb.u_resp_if.mem[1][20] ),
-    .S(_2969_),
+    .Y(_2970_));
+ sky130_fd_sc_hd__clkbuf_2 _6164_ (.A(_2970_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2971_));
- sky130_fd_sc_hd__clkbuf_1 _6146_ (.A(_2971_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0579_));
- sky130_fd_sc_hd__mux2_1 _6147_ (.A0(wbs_dat_i[21]),
-    .A1(\u_async_wb.u_resp_if.mem[1][21] ),
-    .S(_2969_),
+ sky130_fd_sc_hd__and2_1 _6165_ (.A(\u_uart2wb.u_async_reg_bus.in_timer[0] ),
+    .B(_2971_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2972_));
- sky130_fd_sc_hd__clkbuf_1 _6148_ (.A(_2972_),
+ sky130_fd_sc_hd__o21ba_1 _6166_ (.A1(\u_uart2wb.u_async_reg_bus.in_timer[0] ),
+    .A2(_2690_),
+    .B1_N(_2972_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0580_));
- sky130_fd_sc_hd__mux2_1 _6149_ (.A0(wbs_dat_i[22]),
-    .A1(\u_async_wb.u_resp_if.mem[1][22] ),
-    .S(_2969_),
+    .X(_0556_));
+ sky130_fd_sc_hd__nand2_1 _6167_ (.A(\u_uart2wb.u_async_reg_bus.in_timer[1] ),
+    .B(\u_uart2wb.u_async_reg_bus.in_timer[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2973_));
- sky130_fd_sc_hd__clkbuf_1 _6150_ (.A(_2973_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0581_));
- sky130_fd_sc_hd__clkbuf_2 _6151_ (.A(_1477_),
+    .Y(_2973_));
+ sky130_fd_sc_hd__clkbuf_2 _6168_ (.A(_2969_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2974_));
- sky130_fd_sc_hd__mux2_1 _6152_ (.A0(wbs_dat_i[23]),
-    .A1(\u_async_wb.u_resp_if.mem[1][23] ),
-    .S(_2974_),
+ sky130_fd_sc_hd__o21a_1 _6169_ (.A1(_2973_),
+    .A2(_2689_),
+    .B1(_2974_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2975_));
- sky130_fd_sc_hd__clkbuf_1 _6153_ (.A(_2975_),
+ sky130_fd_sc_hd__o21a_1 _6170_ (.A1(\u_uart2wb.u_async_reg_bus.in_timer[1] ),
+    .A2(_2972_),
+    .B1(_2975_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0582_));
- sky130_fd_sc_hd__mux2_1 _6154_ (.A0(wbs_dat_i[24]),
-    .A1(\u_async_wb.u_resp_if.mem[1][24] ),
-    .S(_2974_),
+    .X(_0557_));
+ sky130_fd_sc_hd__or4_1 _6171_ (.A(\u_uart2wb.u_async_reg_bus.in_timer[2] ),
+    .B(_2683_),
+    .C(_2973_),
+    .D(_2689_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2976_));
- sky130_fd_sc_hd__clkbuf_1 _6155_ (.A(_2976_),
+ sky130_fd_sc_hd__a21bo_1 _6172_ (.A1(\u_uart2wb.u_async_reg_bus.in_timer[2] ),
+    .A2(_2975_),
+    .B1_N(_2976_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0583_));
- sky130_fd_sc_hd__mux2_1 _6156_ (.A0(wbs_dat_i[25]),
-    .A1(\u_async_wb.u_resp_if.mem[1][25] ),
-    .S(_2974_),
+    .X(_0558_));
+ sky130_fd_sc_hd__clkbuf_1 _6173_ (.A(_2684_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2977_));
- sky130_fd_sc_hd__clkbuf_1 _6157_ (.A(_2977_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0584_));
- sky130_fd_sc_hd__mux2_1 _6158_ (.A0(wbs_dat_i[26]),
-    .A1(\u_async_wb.u_resp_if.mem[1][26] ),
-    .S(_2974_),
+ sky130_fd_sc_hd__and3_1 _6174_ (.A(\u_uart2wb.u_async_reg_bus.in_timer[3] ),
+    .B(_2977_),
+    .C(_2970_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2978_));
- sky130_fd_sc_hd__clkbuf_1 _6159_ (.A(_2978_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0585_));
- sky130_fd_sc_hd__clkbuf_2 _6160_ (.A(_1477_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _6175_ (.A(_2969_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2979_));
- sky130_fd_sc_hd__mux2_1 _6161_ (.A0(wbs_dat_i[27]),
-    .A1(\u_async_wb.u_resp_if.mem[1][27] ),
-    .S(_2979_),
+ sky130_fd_sc_hd__a21o_1 _6176_ (.A1(_2977_),
+    .A2(_2971_),
+    .B1(\u_uart2wb.u_async_reg_bus.in_timer[3] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2980_));
- sky130_fd_sc_hd__clkbuf_1 _6162_ (.A(_2980_),
+ sky130_fd_sc_hd__nand2_1 _6177_ (.A(_2979_),
+    .B(_2980_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0586_));
- sky130_fd_sc_hd__mux2_1 _6163_ (.A0(wbs_dat_i[28]),
-    .A1(\u_async_wb.u_resp_if.mem[1][28] ),
-    .S(_2979_),
+    .Y(_2981_));
+ sky130_fd_sc_hd__nor2_1 _6178_ (.A(_2978_),
+    .B(_2981_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2981_));
- sky130_fd_sc_hd__clkbuf_1 _6164_ (.A(_2981_),
+    .Y(_0559_));
+ sky130_fd_sc_hd__o21ai_1 _6179_ (.A1(\u_uart2wb.u_async_reg_bus.in_timer[4] ),
+    .A2(_2978_),
+    .B1(_2979_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0587_));
- sky130_fd_sc_hd__mux2_1 _6165_ (.A0(wbs_dat_i[29]),
-    .A1(\u_async_wb.u_resp_if.mem[1][29] ),
-    .S(_2979_),
+    .Y(_2982_));
+ sky130_fd_sc_hd__a21oi_1 _6180_ (.A1(\u_uart2wb.u_async_reg_bus.in_timer[4] ),
+    .A2(_2978_),
+    .B1(_2982_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2982_));
- sky130_fd_sc_hd__clkbuf_1 _6166_ (.A(_2982_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0588_));
- sky130_fd_sc_hd__mux2_1 _6167_ (.A0(wbs_dat_i[30]),
-    .A1(\u_async_wb.u_resp_if.mem[1][30] ),
-    .S(_2979_),
+    .Y(_0560_));
+ sky130_fd_sc_hd__a21o_1 _6181_ (.A1(\u_uart2wb.u_async_reg_bus.in_timer[4] ),
+    .A2(_2978_),
+    .B1(\u_uart2wb.u_async_reg_bus.in_timer[5] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2983_));
- sky130_fd_sc_hd__clkbuf_1 _6168_ (.A(_2983_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0589_));
- sky130_fd_sc_hd__mux2_1 _6169_ (.A0(wbs_dat_i[31]),
-    .A1(\u_async_wb.u_resp_if.mem[1][31] ),
-    .S(_1478_),
+ sky130_fd_sc_hd__and3_1 _6182_ (.A(_2977_),
+    .B(_2685_),
+    .C(_2970_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2984_));
- sky130_fd_sc_hd__clkbuf_1 _6170_ (.A(_2984_),
+ sky130_fd_sc_hd__clkinv_2 _6183_ (.A(_2984_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0590_));
- sky130_fd_sc_hd__mux2_1 _6171_ (.A0(wbs_err_i),
-    .A1(\u_async_wb.u_resp_if.mem[1][32] ),
-    .S(_1478_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_2985_));
- sky130_fd_sc_hd__clkbuf_1 _6172_ (.A(_2985_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0591_));
- sky130_fd_sc_hd__clkbuf_1 _6173_ (.A(\u_rtcclk.low_count[0] ),
+    .Y(_2985_));
+ sky130_fd_sc_hd__and3_1 _6184_ (.A(_2974_),
+    .B(_2983_),
+    .C(_2985_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2986_));
- sky130_fd_sc_hd__a21oi_1 _6174_ (.A1(_1598_),
-    .A2(_1634_),
-    .B1(_2986_),
+ sky130_fd_sc_hd__clkbuf_1 _6185_ (.A(_2986_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2987_));
- sky130_fd_sc_hd__mux2_1 _6175_ (.A0(_2986_),
-    .A1(_2987_),
-    .S(_1600_),
+    .X(_0561_));
+ sky130_fd_sc_hd__a21bo_1 _6186_ (.A1(\u_uart2wb.u_async_reg_bus.in_timer[6] ),
+    .A2(_2984_),
+    .B1_N(_2974_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2987_));
+ sky130_fd_sc_hd__o21ba_1 _6187_ (.A1(\u_uart2wb.u_async_reg_bus.in_timer[6] ),
+    .A2(_2984_),
+    .B1_N(_2987_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0562_));
+ sky130_fd_sc_hd__a21o_1 _6188_ (.A1(\u_uart2wb.u_async_reg_bus.in_timer[6] ),
+    .A2(_2984_),
+    .B1(\u_uart2wb.u_async_reg_bus.in_timer[7] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2988_));
- sky130_fd_sc_hd__clkbuf_1 _6176_ (.A(_2988_),
+ sky130_fd_sc_hd__nand4_1 _6189_ (.A(_2977_),
+    .B(_2685_),
+    .C(_2686_),
+    .D(_2971_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0592_));
- sky130_fd_sc_hd__or3_1 _6177_ (.A(_2986_),
-    .B(\u_rtcclk.low_count[1] ),
-    .C(_1593_),
+    .Y(_2989_));
+ sky130_fd_sc_hd__and3_1 _6190_ (.A(_2974_),
+    .B(_2988_),
+    .C(_2989_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2989_));
- sky130_fd_sc_hd__nand2_1 _6178_ (.A(_1598_),
-    .B(_1608_),
+    .X(_2990_));
+ sky130_fd_sc_hd__clkbuf_1 _6191_ (.A(_2990_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2990_));
- sky130_fd_sc_hd__or2_1 _6179_ (.A(_1597_),
-    .B(_1606_),
+    .X(_0563_));
+ sky130_fd_sc_hd__inv_2 _6192_ (.A(\u_uart2wb.u_async_reg_bus.in_timer[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_2991_));
- sky130_fd_sc_hd__a21oi_1 _6180_ (.A1(_2990_),
-    .A2(_2991_),
-    .B1(_1221_),
+    .Y(_2991_));
+ sky130_fd_sc_hd__a21boi_1 _6193_ (.A1(_2991_),
+    .A2(_2989_),
+    .B1_N(_2979_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2992_));
- sky130_fd_sc_hd__o21ai_1 _6181_ (.A1(_2986_),
-    .A2(_1630_),
-    .B1(\u_rtcclk.low_count[1] ),
+    .Y(_0564_));
+ sky130_fd_sc_hd__o21a_1 _6194_ (.A1(_2682_),
+    .A2(_2692_),
+    .B1(_2695_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2993_));
- sky130_fd_sc_hd__o21ai_1 _6182_ (.A1(_2989_),
-    .A2(_2992_),
-    .B1(_2993_),
+    .X(_0565_));
+ sky130_fd_sc_hd__o211a_1 _6195_ (.A1(\u_uart2wb.u_async_reg_bus.out_flag_ss ),
+    .A2(_2691_),
+    .B1(_2979_),
+    .C1(_2689_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_0593_));
- sky130_fd_sc_hd__a21oi_1 _6183_ (.A1(_1597_),
-    .A2(_1608_),
-    .B1(_1613_),
+    .X(_0566_));
+ sky130_fd_sc_hd__mux2_1 _6196_ (.A0(\u_async_wb.u_resp_if.mem[1][0] ),
+    .A1(wbs_dat_i[0]),
+    .S(_1495_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2994_));
- sky130_fd_sc_hd__o21a_1 _6184_ (.A1(_1618_),
-    .A2(_2994_),
-    .B1(_1635_),
+    .X(_2992_));
+ sky130_fd_sc_hd__clkbuf_1 _6197_ (.A(_2992_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0567_));
+ sky130_fd_sc_hd__mux2_1 _6198_ (.A0(\u_async_wb.u_resp_if.mem[1][1] ),
+    .A1(wbs_dat_i[1]),
+    .S(_1495_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2993_));
+ sky130_fd_sc_hd__clkbuf_1 _6199_ (.A(_2993_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0568_));
+ sky130_fd_sc_hd__mux2_1 _6200_ (.A0(\u_async_wb.u_resp_if.mem[1][2] ),
+    .A1(wbs_dat_i[2]),
+    .S(_1495_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_2994_));
+ sky130_fd_sc_hd__clkbuf_1 _6201_ (.A(_2994_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0569_));
+ sky130_fd_sc_hd__clkbuf_2 _6202_ (.A(_1494_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2995_));
- sky130_fd_sc_hd__or4_1 _6185_ (.A(\u_rtcclk.low_count[0] ),
-    .B(\u_rtcclk.low_count[1] ),
-    .C(\u_rtcclk.low_count[2] ),
-    .D(_1592_),
+ sky130_fd_sc_hd__clkbuf_2 _6203_ (.A(_2995_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_2996_));
- sky130_fd_sc_hd__a2bb2o_1 _6186_ (.A1_N(_2995_),
-    .A2_N(_2996_),
-    .B1(_2989_),
-    .B2(\u_rtcclk.low_count[2] ),
+ sky130_fd_sc_hd__mux2_1 _6204_ (.A0(\u_async_wb.u_resp_if.mem[1][3] ),
+    .A1(wbs_dat_i[3]),
+    .S(_2996_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0594_));
- sky130_fd_sc_hd__nor2_1 _6187_ (.A(_1621_),
-    .B(_1618_),
+    .X(_2997_));
+ sky130_fd_sc_hd__clkbuf_1 _6205_ (.A(_2997_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2997_));
- sky130_fd_sc_hd__o21ai_1 _6188_ (.A1(_1620_),
-    .A2(_2997_),
-    .B1(_1635_),
+    .X(_0570_));
+ sky130_fd_sc_hd__mux2_1 _6206_ (.A0(\u_async_wb.u_resp_if.mem[1][4] ),
+    .A1(wbs_dat_i[4]),
+    .S(_2996_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2998_));
- sky130_fd_sc_hd__nor2_1 _6189_ (.A(_1630_),
-    .B(_1217_),
+    .X(_2998_));
+ sky130_fd_sc_hd__clkbuf_1 _6207_ (.A(_2998_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_2999_));
- sky130_fd_sc_hd__a22o_1 _6190_ (.A1(\u_rtcclk.low_count[3] ),
-    .A2(_2996_),
-    .B1(_2998_),
-    .B2(_2999_),
+    .X(_0571_));
+ sky130_fd_sc_hd__mux2_1 _6208_ (.A0(\u_async_wb.u_resp_if.mem[1][5] ),
+    .A1(wbs_dat_i[5]),
+    .S(_2996_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0595_));
- sky130_fd_sc_hd__xor2_1 _6191_ (.A(_1627_),
-    .B(_1619_),
+    .X(_2999_));
+ sky130_fd_sc_hd__clkbuf_1 _6209_ (.A(_2999_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0572_));
+ sky130_fd_sc_hd__mux2_1 _6210_ (.A0(\u_async_wb.u_resp_if.mem[1][6] ),
+    .A1(wbs_dat_i[6]),
+    .S(_2996_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3000_));
- sky130_fd_sc_hd__xnor2_1 _6192_ (.A(\u_rtcclk.low_count[4] ),
-    .B(_1217_),
+ sky130_fd_sc_hd__clkbuf_1 _6211_ (.A(_3000_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_3001_));
- sky130_fd_sc_hd__o211a_1 _6193_ (.A1(_1220_),
-    .A2(_3000_),
-    .B1(_3001_),
-    .C1(_1225_),
+    .X(_0573_));
+ sky130_fd_sc_hd__clkbuf_2 _6212_ (.A(_2995_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3001_));
+ sky130_fd_sc_hd__mux2_1 _6213_ (.A0(\u_async_wb.u_resp_if.mem[1][7] ),
+    .A1(wbs_dat_i[7]),
+    .S(_3001_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3002_));
- sky130_fd_sc_hd__a21o_1 _6194_ (.A1(\u_rtcclk.low_count[4] ),
-    .A2(_1594_),
-    .B1(_3002_),
+ sky130_fd_sc_hd__clkbuf_1 _6214_ (.A(_3002_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0596_));
- sky130_fd_sc_hd__a21oi_1 _6195_ (.A1(_1627_),
-    .A2(_1620_),
-    .B1(_1631_),
+    .X(_0574_));
+ sky130_fd_sc_hd__mux2_1 _6215_ (.A0(\u_async_wb.u_resp_if.mem[1][8] ),
+    .A1(wbs_dat_i[8]),
+    .S(_3001_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_3003_));
- sky130_fd_sc_hd__nor2_1 _6196_ (.A(_1632_),
-    .B(_3003_),
+    .X(_3003_));
+ sky130_fd_sc_hd__clkbuf_1 _6216_ (.A(_3003_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_3004_));
- sky130_fd_sc_hd__o31a_1 _6197_ (.A1(\u_rtcclk.low_count[4] ),
-    .A2(_1593_),
-    .A3(_1217_),
-    .B1(\u_rtcclk.low_count[5] ),
+    .X(_0575_));
+ sky130_fd_sc_hd__mux2_1 _6217_ (.A0(\u_async_wb.u_resp_if.mem[1][9] ),
+    .A1(wbs_dat_i[9]),
+    .S(_3001_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3004_));
+ sky130_fd_sc_hd__clkbuf_1 _6218_ (.A(_3004_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0576_));
+ sky130_fd_sc_hd__mux2_1 _6219_ (.A0(\u_async_wb.u_resp_if.mem[1][10] ),
+    .A1(wbs_dat_i[10]),
+    .S(_3001_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3005_));
- sky130_fd_sc_hd__or2_1 _6198_ (.A(_1592_),
-    .B(_1218_),
+ sky130_fd_sc_hd__clkbuf_1 _6220_ (.A(_3005_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0577_));
+ sky130_fd_sc_hd__clkbuf_2 _6221_ (.A(_1494_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3006_));
- sky130_fd_sc_hd__inv_2 _6199_ (.A(_3006_),
+ sky130_fd_sc_hd__clkbuf_2 _6222_ (.A(_3006_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_3007_));
- sky130_fd_sc_hd__o32a_1 _6200_ (.A1(_1630_),
-    .A2(_1221_),
-    .A3(_3004_),
-    .B1(_3005_),
-    .B2(_3007_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0597_));
- sky130_fd_sc_hd__or2_1 _6201_ (.A(\u_rtcclk.low_count[6] ),
-    .B(_3006_),
+    .X(_3007_));
+ sky130_fd_sc_hd__mux2_1 _6223_ (.A0(\u_async_wb.u_resp_if.mem[1][11] ),
+    .A1(wbs_dat_i[11]),
+    .S(_3007_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3008_));
- sky130_fd_sc_hd__nor2_1 _6202_ (.A(_1638_),
-    .B(_1632_),
+ sky130_fd_sc_hd__clkbuf_1 _6224_ (.A(_3008_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_3009_));
- sky130_fd_sc_hd__o21ba_1 _6203_ (.A1(_1639_),
-    .A2(_3009_),
-    .B1_N(\u_rtcclk.low_count[7] ),
+    .X(_0578_));
+ sky130_fd_sc_hd__mux2_1 _6225_ (.A0(\u_async_wb.u_resp_if.mem[1][12] ),
+    .A1(wbs_dat_i[12]),
+    .S(_3007_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3009_));
+ sky130_fd_sc_hd__clkbuf_1 _6226_ (.A(_3009_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0579_));
+ sky130_fd_sc_hd__mux2_1 _6227_ (.A0(\u_async_wb.u_resp_if.mem[1][13] ),
+    .A1(wbs_dat_i[13]),
+    .S(_3007_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3010_));
- sky130_fd_sc_hd__nand2_1 _6204_ (.A(\u_rtcclk.low_count[6] ),
-    .B(_3006_),
+ sky130_fd_sc_hd__clkbuf_1 _6228_ (.A(_3010_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_3011_));
- sky130_fd_sc_hd__o21ai_1 _6205_ (.A1(_3008_),
-    .A2(_3010_),
-    .B1(_3011_),
+    .X(_0580_));
+ sky130_fd_sc_hd__mux2_1 _6229_ (.A0(\u_async_wb.u_resp_if.mem[1][14] ),
+    .A1(wbs_dat_i[14]),
+    .S(_3007_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_0598_));
- sky130_fd_sc_hd__a32o_1 _6206_ (.A1(_1225_),
-    .A2(_1635_),
-    .A3(_1639_),
-    .B1(_3008_),
-    .B2(\u_rtcclk.low_count[7] ),
+    .X(_3011_));
+ sky130_fd_sc_hd__clkbuf_1 _6230_ (.A(_3011_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0599_));
- sky130_fd_sc_hd__clkbuf_1 _6207_ (.A(\u_uart2wb.u_async_reg_bus.out_state[0] ),
+    .X(_0581_));
+ sky130_fd_sc_hd__clkbuf_2 _6231_ (.A(_3006_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3012_));
- sky130_fd_sc_hd__and2_1 _6208_ (.A(wb_ack_o),
-    .B(_1553_),
+ sky130_fd_sc_hd__mux2_1 _6232_ (.A0(\u_async_wb.u_resp_if.mem[1][15] ),
+    .A1(wbs_dat_i[15]),
+    .S(_3012_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3013_));
- sky130_fd_sc_hd__or3b_1 _6209_ (.A(\u_uart2wb.u_async_reg_bus.out_state[1] ),
-    .B(_3013_),
-    .C_N(\u_uart2wb.u_async_reg_bus.out_state[0] ),
+ sky130_fd_sc_hd__clkbuf_1 _6233_ (.A(_3013_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0582_));
+ sky130_fd_sc_hd__mux2_1 _6234_ (.A0(\u_async_wb.u_resp_if.mem[1][16] ),
+    .A1(wbs_dat_i[16]),
+    .S(_3012_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3014_));
- sky130_fd_sc_hd__nand2_1 _6210_ (.A(_3012_),
-    .B(_3014_),
+ sky130_fd_sc_hd__clkbuf_1 _6235_ (.A(_3014_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_3015_));
- sky130_fd_sc_hd__or3b_1 _6211_ (.A(_3012_),
+    .X(_0583_));
+ sky130_fd_sc_hd__mux2_1 _6236_ (.A0(\u_async_wb.u_resp_if.mem[1][17] ),
+    .A1(wbs_dat_i[17]),
+    .S(_3012_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3015_));
+ sky130_fd_sc_hd__clkbuf_1 _6237_ (.A(_3015_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0584_));
+ sky130_fd_sc_hd__mux2_1 _6238_ (.A0(\u_async_wb.u_resp_if.mem[1][18] ),
+    .A1(wbs_dat_i[18]),
+    .S(_3012_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3016_));
+ sky130_fd_sc_hd__clkbuf_1 _6239_ (.A(_3016_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0585_));
+ sky130_fd_sc_hd__clkbuf_2 _6240_ (.A(_3006_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3017_));
+ sky130_fd_sc_hd__mux2_1 _6241_ (.A0(\u_async_wb.u_resp_if.mem[1][19] ),
+    .A1(wbs_dat_i[19]),
+    .S(_3017_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3018_));
+ sky130_fd_sc_hd__clkbuf_1 _6242_ (.A(_3018_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0586_));
+ sky130_fd_sc_hd__mux2_1 _6243_ (.A0(\u_async_wb.u_resp_if.mem[1][20] ),
+    .A1(wbs_dat_i[20]),
+    .S(_3017_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3019_));
+ sky130_fd_sc_hd__clkbuf_1 _6244_ (.A(_3019_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0587_));
+ sky130_fd_sc_hd__mux2_1 _6245_ (.A0(\u_async_wb.u_resp_if.mem[1][21] ),
+    .A1(wbs_dat_i[21]),
+    .S(_3017_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3020_));
+ sky130_fd_sc_hd__clkbuf_1 _6246_ (.A(_3020_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0588_));
+ sky130_fd_sc_hd__mux2_1 _6247_ (.A0(\u_async_wb.u_resp_if.mem[1][22] ),
+    .A1(wbs_dat_i[22]),
+    .S(_3017_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3021_));
+ sky130_fd_sc_hd__clkbuf_1 _6248_ (.A(_3021_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0589_));
+ sky130_fd_sc_hd__clkbuf_2 _6249_ (.A(_3006_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3022_));
+ sky130_fd_sc_hd__mux2_1 _6250_ (.A0(\u_async_wb.u_resp_if.mem[1][23] ),
+    .A1(wbs_dat_i[23]),
+    .S(_3022_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3023_));
+ sky130_fd_sc_hd__clkbuf_1 _6251_ (.A(_3023_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0590_));
+ sky130_fd_sc_hd__mux2_1 _6252_ (.A0(\u_async_wb.u_resp_if.mem[1][24] ),
+    .A1(wbs_dat_i[24]),
+    .S(_3022_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3024_));
+ sky130_fd_sc_hd__clkbuf_1 _6253_ (.A(_3024_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0591_));
+ sky130_fd_sc_hd__mux2_1 _6254_ (.A0(\u_async_wb.u_resp_if.mem[1][25] ),
+    .A1(wbs_dat_i[25]),
+    .S(_3022_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3025_));
+ sky130_fd_sc_hd__clkbuf_1 _6255_ (.A(_3025_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0592_));
+ sky130_fd_sc_hd__mux2_1 _6256_ (.A0(\u_async_wb.u_resp_if.mem[1][26] ),
+    .A1(wbs_dat_i[26]),
+    .S(_3022_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3026_));
+ sky130_fd_sc_hd__clkbuf_1 _6257_ (.A(_3026_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0593_));
+ sky130_fd_sc_hd__clkbuf_2 _6258_ (.A(_1494_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3027_));
+ sky130_fd_sc_hd__mux2_1 _6259_ (.A0(\u_async_wb.u_resp_if.mem[1][27] ),
+    .A1(wbs_dat_i[27]),
+    .S(_3027_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3028_));
+ sky130_fd_sc_hd__clkbuf_1 _6260_ (.A(_3028_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0594_));
+ sky130_fd_sc_hd__mux2_1 _6261_ (.A0(\u_async_wb.u_resp_if.mem[1][28] ),
+    .A1(wbs_dat_i[28]),
+    .S(_3027_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3029_));
+ sky130_fd_sc_hd__clkbuf_1 _6262_ (.A(_3029_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0595_));
+ sky130_fd_sc_hd__mux2_1 _6263_ (.A0(\u_async_wb.u_resp_if.mem[1][29] ),
+    .A1(wbs_dat_i[29]),
+    .S(_3027_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3030_));
+ sky130_fd_sc_hd__clkbuf_1 _6264_ (.A(_3030_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0596_));
+ sky130_fd_sc_hd__mux2_1 _6265_ (.A0(\u_async_wb.u_resp_if.mem[1][30] ),
+    .A1(wbs_dat_i[30]),
+    .S(_3027_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3031_));
+ sky130_fd_sc_hd__clkbuf_1 _6266_ (.A(_3031_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0597_));
+ sky130_fd_sc_hd__mux2_1 _6267_ (.A0(\u_async_wb.u_resp_if.mem[1][31] ),
+    .A1(wbs_dat_i[31]),
+    .S(_2995_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3032_));
+ sky130_fd_sc_hd__clkbuf_1 _6268_ (.A(_3032_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0598_));
+ sky130_fd_sc_hd__mux2_1 _6269_ (.A0(\u_async_wb.u_resp_if.mem[1][32] ),
+    .A1(wbs_err_i),
+    .S(_2995_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3033_));
+ sky130_fd_sc_hd__clkbuf_1 _6270_ (.A(_3033_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0599_));
+ sky130_fd_sc_hd__clkbuf_1 _6271_ (.A(\u_rtcclk.low_count[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3034_));
+ sky130_fd_sc_hd__a21oi_1 _6272_ (.A1(_1637_),
+    .A2(_1677_),
+    .B1(_3034_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_3035_));
+ sky130_fd_sc_hd__mux2_1 _6273_ (.A0(_3034_),
+    .A1(_3035_),
+    .S(_1225_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3036_));
+ sky130_fd_sc_hd__clkbuf_1 _6274_ (.A(_3036_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0600_));
+ sky130_fd_sc_hd__nand2_1 _6275_ (.A(_1644_),
+    .B(_1645_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_3037_));
+ sky130_fd_sc_hd__or2_1 _6276_ (.A(_1636_),
+    .B(_1645_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3038_));
+ sky130_fd_sc_hd__or2_1 _6277_ (.A(_3034_),
+    .B(\u_rtcclk.low_count[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3039_));
+ sky130_fd_sc_hd__nand2_1 _6278_ (.A(_3034_),
+    .B(\u_rtcclk.low_count[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_3040_));
+ sky130_fd_sc_hd__a21oi_1 _6279_ (.A1(_3039_),
+    .A2(_3040_),
+    .B1(_1232_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_3041_));
+ sky130_fd_sc_hd__a31o_1 _6280_ (.A1(_1232_),
+    .A2(_3037_),
+    .A3(_3038_),
+    .B1(_3041_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3042_));
+ sky130_fd_sc_hd__mux2_1 _6281_ (.A0(\u_rtcclk.low_count[1] ),
+    .A1(_3042_),
+    .S(_1225_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3043_));
+ sky130_fd_sc_hd__clkbuf_1 _6282_ (.A(_3043_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0601_));
+ sky130_fd_sc_hd__and3_1 _6283_ (.A(_1644_),
+    .B(_1648_),
+    .C(\u_glb_ctrl.gen_bit_reg[15].u_bit_reg.data_out ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3044_));
+ sky130_fd_sc_hd__a21oi_1 _6284_ (.A1(_1644_),
+    .A2(_1648_),
+    .B1(_1655_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_3045_));
+ sky130_fd_sc_hd__o21a_1 _6285_ (.A1(_3044_),
+    .A2(_3045_),
+    .B1(_1653_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3046_));
+ sky130_fd_sc_hd__or3_1 _6286_ (.A(\u_rtcclk.low_count[2] ),
+    .B(_1228_),
+    .C(_3039_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3047_));
+ sky130_fd_sc_hd__or2_1 _6287_ (.A(_1651_),
+    .B(_3039_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3048_));
+ sky130_fd_sc_hd__a2bb2o_1 _6288_ (.A1_N(_3046_),
+    .A2_N(_3047_),
+    .B1(\u_rtcclk.low_count[2] ),
+    .B2(_3048_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0602_));
+ sky130_fd_sc_hd__nor2_1 _6289_ (.A(_1660_),
+    .B(_3044_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_3049_));
+ sky130_fd_sc_hd__o21ai_1 _6290_ (.A1(_1659_),
+    .A2(_3049_),
+    .B1(_1653_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_3050_));
+ sky130_fd_sc_hd__nor2_1 _6291_ (.A(_1673_),
+    .B(_1229_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_3051_));
+ sky130_fd_sc_hd__a22o_1 _6292_ (.A1(\u_rtcclk.low_count[3] ),
+    .A2(_3047_),
+    .B1(_3050_),
+    .B2(_3051_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0603_));
+ sky130_fd_sc_hd__inv_2 _6293_ (.A(_1229_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_3052_));
+ sky130_fd_sc_hd__nor2_1 _6294_ (.A(_1670_),
+    .B(_1659_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_3053_));
+ sky130_fd_sc_hd__o21a_1 _6295_ (.A1(_1669_),
+    .A2(_3053_),
+    .B1(_1677_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3054_));
+ sky130_fd_sc_hd__a21oi_1 _6296_ (.A1(\u_rtcclk.low_count[4] ),
+    .A2(_3052_),
+    .B1(_3054_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_3055_));
+ sky130_fd_sc_hd__o22a_1 _6297_ (.A1(\u_rtcclk.low_count[4] ),
+    .A2(_3051_),
+    .B1(_3055_),
+    .B2(_1652_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0604_));
+ sky130_fd_sc_hd__xnor2_1 _6298_ (.A(_1674_),
+    .B(_1668_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_3056_));
+ sky130_fd_sc_hd__o21ai_1 _6299_ (.A1(\u_rtcclk.low_count[4] ),
+    .A2(_1229_),
+    .B1(\u_rtcclk.low_count[5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_3057_));
+ sky130_fd_sc_hd__a221o_1 _6300_ (.A1(_1677_),
+    .A2(_3056_),
+    .B1(_3057_),
+    .B2(_1230_),
+    .C1(_1651_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3058_));
+ sky130_fd_sc_hd__a21bo_1 _6301_ (.A1(\u_rtcclk.low_count[5] ),
+    .A2(_1652_),
+    .B1_N(_3058_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0605_));
+ sky130_fd_sc_hd__or3_1 _6302_ (.A(\u_rtcclk.low_count[6] ),
+    .B(_1228_),
+    .C(_1230_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3059_));
+ sky130_fd_sc_hd__a21oi_1 _6303_ (.A1(_1674_),
+    .A2(_1669_),
+    .B1(_1680_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_3060_));
+ sky130_fd_sc_hd__o21ba_1 _6304_ (.A1(_1682_),
+    .A2(_3060_),
+    .B1_N(\u_rtcclk.low_count[7] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3061_));
+ sky130_fd_sc_hd__o21ai_1 _6305_ (.A1(_1673_),
+    .A2(_1230_),
+    .B1(\u_rtcclk.low_count[6] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_3062_));
+ sky130_fd_sc_hd__o21ai_1 _6306_ (.A1(_3059_),
+    .A2(_3061_),
+    .B1(_3062_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_0606_));
+ sky130_fd_sc_hd__a32o_1 _6307_ (.A1(_1226_),
+    .A2(_1653_),
+    .A3(_1682_),
+    .B1(_3059_),
+    .B2(\u_rtcclk.low_count[7] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0607_));
+ sky130_fd_sc_hd__clkbuf_1 _6308_ (.A(\u_uart2wb.u_async_reg_bus.out_state[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3063_));
+ sky130_fd_sc_hd__and2_1 _6309_ (.A(wb_ack_o),
+    .B(_1568_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3064_));
+ sky130_fd_sc_hd__or3b_1 _6310_ (.A(\u_uart2wb.u_async_reg_bus.out_state[1] ),
+    .B(_3064_),
+    .C_N(\u_uart2wb.u_async_reg_bus.out_state[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3065_));
+ sky130_fd_sc_hd__nand2_1 _6311_ (.A(_3063_),
+    .B(_3065_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_3066_));
+ sky130_fd_sc_hd__or3b_1 _6312_ (.A(_3063_),
     .B(\u_uart2wb.u_async_reg_bus.out_state[1] ),
     .C_N(\u_uart2wb.u_async_reg_bus.in_flag_ss ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_3016_));
- sky130_fd_sc_hd__a21bo_1 _6212_ (.A1(\u_uart2wb.u_async_reg_bus.out_reg_cs ),
-    .A2(_3015_),
-    .B1_N(_3016_),
+    .X(_3067_));
+ sky130_fd_sc_hd__a21bo_1 _6313_ (.A1(\u_uart2wb.u_async_reg_bus.out_reg_cs ),
+    .A2(_3066_),
+    .B1_N(_3067_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0600_));
- sky130_fd_sc_hd__nand2_1 _6213_ (.A(_3016_),
-    .B(_3014_),
+    .X(_0608_));
+ sky130_fd_sc_hd__nand2_1 _6314_ (.A(_3067_),
+    .B(_3065_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_0601_));
- sky130_fd_sc_hd__inv_2 _6214_ (.A(\u_uart2wb.u_async_reg_bus.out_state[1] ),
+    .Y(_0609_));
+ sky130_fd_sc_hd__inv_2 _6315_ (.A(\u_uart2wb.u_async_reg_bus.out_state[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_3017_));
- sky130_fd_sc_hd__and3_1 _6215_ (.A(\u_uart2wb.u_async_reg_bus.out_state[0] ),
-    .B(_3017_),
-    .C(_3013_),
+    .Y(_3068_));
+ sky130_fd_sc_hd__and3_1 _6316_ (.A(\u_uart2wb.u_async_reg_bus.out_state[0] ),
+    .B(_3068_),
+    .C(_3064_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_3018_));
- sky130_fd_sc_hd__and3b_1 _6216_ (.A_N(_3012_),
+    .X(_3069_));
+ sky130_fd_sc_hd__and3b_1 _6317_ (.A_N(_3063_),
     .B(\u_uart2wb.u_async_reg_bus.out_state[1] ),
     .C(\u_uart2wb.u_async_reg_bus.in_flag_ss ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_3019_));
- sky130_fd_sc_hd__or2_1 _6217_ (.A(_3018_),
-    .B(_3019_),
+    .X(_3070_));
+ sky130_fd_sc_hd__or2_1 _6318_ (.A(_3069_),
+    .B(_3070_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_3020_));
- sky130_fd_sc_hd__clkbuf_1 _6218_ (.A(_3020_),
+    .X(_3071_));
+ sky130_fd_sc_hd__clkbuf_1 _6319_ (.A(_3071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0602_));
- sky130_fd_sc_hd__a21o_1 _6219_ (.A1(_3012_),
-    .A2(_3017_),
-    .B1(_3019_),
+    .X(_0610_));
+ sky130_fd_sc_hd__a21o_1 _6320_ (.A1(_3063_),
+    .A2(_3068_),
+    .B1(_3070_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_3021_));
- sky130_fd_sc_hd__a21o_1 _6220_ (.A1(\u_uart2wb.u_async_reg_bus.out_flag ),
-    .A2(_3021_),
-    .B1(_3018_),
+    .X(_3072_));
+ sky130_fd_sc_hd__a21o_1 _6321_ (.A1(\u_uart2wb.u_async_reg_bus.out_flag ),
+    .A2(_3072_),
+    .B1(_3069_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0603_));
- sky130_fd_sc_hd__and4b_2 _6221_ (.A_N(_2634_),
-    .B(_1553_),
+    .X(_0611_));
+ sky130_fd_sc_hd__and4b_2 _6322_ (.A_N(_2681_),
+    .B(_1568_),
     .C(\u_uart2wb.u_async_reg_bus.out_flag_ss ),
     .D(\u_uart2wb.u_async_reg_bus.in_state[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_3022_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _6222_ (.A(_3022_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_3023_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _6223_ (.A(_3023_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_3024_));
- sky130_fd_sc_hd__a22o_1 _6224_ (.A1(_2464_),
-    .A2(_2648_),
-    .B1(_3024_),
-    .B2(\wb_dat_o[0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0604_));
- sky130_fd_sc_hd__a22o_1 _6225_ (.A1(_2469_),
-    .A2(_2648_),
-    .B1(_3024_),
-    .B2(\wb_dat_o[1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0605_));
- sky130_fd_sc_hd__clkbuf_1 _6226_ (.A(_2647_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_3025_));
- sky130_fd_sc_hd__a22o_1 _6227_ (.A1(_2468_),
-    .A2(_3025_),
-    .B1(_3024_),
-    .B2(\wb_dat_o[2] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0606_));
- sky130_fd_sc_hd__a22o_1 _6228_ (.A1(_2460_),
-    .A2(_3025_),
-    .B1(_3024_),
-    .B2(\wb_dat_o[3] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0607_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _6229_ (.A(_3023_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_3026_));
- sky130_fd_sc_hd__a22o_1 _6230_ (.A1(_2073_),
-    .A2(_3025_),
-    .B1(_3026_),
-    .B2(\wb_dat_o[4] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0608_));
- sky130_fd_sc_hd__a22o_1 _6231_ (.A1(_2096_),
-    .A2(_3025_),
-    .B1(_3026_),
-    .B2(\wb_dat_o[5] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0609_));
- sky130_fd_sc_hd__clkbuf_1 _6232_ (.A(_2646_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_3027_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _6233_ (.A(_3027_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_3028_));
- sky130_fd_sc_hd__a22o_1 _6234_ (.A1(\u_uart2wb.reg_rdata[6] ),
-    .A2(_3028_),
-    .B1(_3026_),
-    .B2(\wb_dat_o[6] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0610_));
- sky130_fd_sc_hd__a22o_1 _6235_ (.A1(\u_uart2wb.reg_rdata[7] ),
-    .A2(_3028_),
-    .B1(_3026_),
-    .B2(\wb_dat_o[7] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0611_));
- sky130_fd_sc_hd__clkbuf_1 _6236_ (.A(_3023_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_3029_));
- sky130_fd_sc_hd__a22o_1 _6237_ (.A1(_2129_),
-    .A2(_3028_),
-    .B1(_3029_),
-    .B2(\wb_dat_o[8] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0612_));
- sky130_fd_sc_hd__a22o_1 _6238_ (.A1(_2139_),
-    .A2(_3028_),
-    .B1(_3029_),
-    .B2(\wb_dat_o[9] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0613_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _6239_ (.A(_3027_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_3030_));
- sky130_fd_sc_hd__a22o_1 _6240_ (.A1(_2138_),
-    .A2(_3030_),
-    .B1(_3029_),
-    .B2(\wb_dat_o[10] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0614_));
- sky130_fd_sc_hd__a22o_1 _6241_ (.A1(_2130_),
-    .A2(_3030_),
-    .B1(_3029_),
-    .B2(\wb_dat_o[11] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0615_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _6242_ (.A(_3023_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_3031_));
- sky130_fd_sc_hd__a22o_1 _6243_ (.A1(_2310_),
-    .A2(_3030_),
-    .B1(_3031_),
-    .B2(\wb_dat_o[12] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0616_));
- sky130_fd_sc_hd__a22o_1 _6244_ (.A1(_2311_),
-    .A2(_3030_),
-    .B1(_3031_),
-    .B2(\wb_dat_o[13] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0617_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _6245_ (.A(_3027_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_3032_));
- sky130_fd_sc_hd__a22o_1 _6246_ (.A1(_2319_),
-    .A2(_3032_),
-    .B1(_3031_),
-    .B2(\wb_dat_o[14] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0618_));
- sky130_fd_sc_hd__a22o_1 _6247_ (.A1(_2312_),
-    .A2(_3032_),
-    .B1(_3031_),
-    .B2(\wb_dat_o[15] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0619_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _6248_ (.A(_3022_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_3033_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _6249_ (.A(_3033_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_3034_));
- sky130_fd_sc_hd__a22o_1 _6250_ (.A1(\u_uart2wb.reg_rdata[16] ),
-    .A2(_3032_),
-    .B1(_3034_),
-    .B2(\wb_dat_o[16] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0620_));
- sky130_fd_sc_hd__a22o_1 _6251_ (.A1(_2175_),
-    .A2(_3032_),
-    .B1(_3034_),
-    .B2(\wb_dat_o[17] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0621_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _6252_ (.A(_3027_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_3035_));
- sky130_fd_sc_hd__a22o_1 _6253_ (.A1(_2173_),
-    .A2(_3035_),
-    .B1(_3034_),
-    .B2(\wb_dat_o[18] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0622_));
- sky130_fd_sc_hd__a22o_1 _6254_ (.A1(\u_uart2wb.reg_rdata[19] ),
-    .A2(_3035_),
-    .B1(_3034_),
-    .B2(\wb_dat_o[19] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0623_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _6255_ (.A(_3033_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_3036_));
- sky130_fd_sc_hd__a22o_1 _6256_ (.A1(\u_uart2wb.reg_rdata[20] ),
-    .A2(_3035_),
-    .B1(_3036_),
-    .B2(\wb_dat_o[20] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0624_));
- sky130_fd_sc_hd__a22o_1 _6257_ (.A1(_2205_),
-    .A2(_3035_),
-    .B1(_3036_),
-    .B2(\wb_dat_o[21] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0625_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _6258_ (.A(_2646_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_3037_));
- sky130_fd_sc_hd__a22o_1 _6259_ (.A1(\u_uart2wb.reg_rdata[22] ),
-    .A2(_3037_),
-    .B1(_3036_),
-    .B2(\wb_dat_o[22] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0626_));
- sky130_fd_sc_hd__a22o_1 _6260_ (.A1(\u_uart2wb.reg_rdata[23] ),
-    .A2(_3037_),
-    .B1(_3036_),
-    .B2(\wb_dat_o[23] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0627_));
- sky130_fd_sc_hd__clkbuf_1 _6261_ (.A(_3033_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_3038_));
- sky130_fd_sc_hd__a22o_1 _6262_ (.A1(_2228_),
-    .A2(_3037_),
-    .B1(_3038_),
-    .B2(\wb_dat_o[24] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0628_));
- sky130_fd_sc_hd__a22o_1 _6263_ (.A1(_2236_),
-    .A2(_3037_),
-    .B1(_3038_),
-    .B2(\wb_dat_o[25] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0629_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _6264_ (.A(_2646_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_3039_));
- sky130_fd_sc_hd__a22o_1 _6265_ (.A1(_2229_),
-    .A2(_3039_),
-    .B1(_3038_),
-    .B2(\wb_dat_o[26] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0630_));
- sky130_fd_sc_hd__a22o_1 _6266_ (.A1(_2230_),
-    .A2(_3039_),
-    .B1(_3038_),
-    .B2(\wb_dat_o[27] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0631_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _6267_ (.A(_3033_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_3040_));
- sky130_fd_sc_hd__a22o_1 _6268_ (.A1(_2273_),
-    .A2(_3039_),
-    .B1(_3040_),
-    .B2(\wb_dat_o[28] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0632_));
- sky130_fd_sc_hd__a22o_1 _6269_ (.A1(_2281_),
-    .A2(_3039_),
-    .B1(_3040_),
-    .B2(\wb_dat_o[29] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0633_));
- sky130_fd_sc_hd__a22o_1 _6270_ (.A1(_2284_),
-    .A2(_2647_),
-    .B1(_3040_),
-    .B2(\wb_dat_o[30] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0634_));
- sky130_fd_sc_hd__a22o_1 _6271_ (.A1(_2282_),
-    .A2(_2647_),
-    .B1(_3040_),
-    .B2(\wb_dat_o[31] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0635_));
- sky130_fd_sc_hd__a21oi_1 _6272_ (.A1(_1860_),
-    .A2(_2923_),
-    .B1(_2636_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Y(_0636_));
- sky130_fd_sc_hd__mux2_1 _6273_ (.A0(_1376_),
-    .A1(_1574_),
-    .S(_1648_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_3041_));
- sky130_fd_sc_hd__clkbuf_1 _6274_ (.A(_3041_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0637_));
- sky130_fd_sc_hd__mux2_1 _6275_ (.A0(_1275_),
-    .A1(\u_glb_ctrl.gen_bit_reg[2].u_bit_reg.data_out ),
-    .S(_1648_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_3042_));
- sky130_fd_sc_hd__clkbuf_1 _6276_ (.A(_3042_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0638_));
- sky130_fd_sc_hd__mux2_1 _6277_ (.A0(_1370_),
-    .A1(\u_glb_ctrl.gen_bit_reg[28].u_bit_reg.data_out ),
-    .S(_1648_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_3043_));
- sky130_fd_sc_hd__clkbuf_1 _6278_ (.A(_3043_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0639_));
- sky130_fd_sc_hd__clkbuf_2 _6279_ (.A(_1647_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_3044_));
- sky130_fd_sc_hd__mux2_1 _6280_ (.A0(_1366_),
-    .A1(\u_glb_ctrl.gen_bit_reg[27].u_bit_reg.data_out ),
-    .S(_3044_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_3045_));
- sky130_fd_sc_hd__clkbuf_1 _6281_ (.A(_3045_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0640_));
- sky130_fd_sc_hd__mux2_1 _6282_ (.A0(_1363_),
-    .A1(\u_glb_ctrl.gen_bit_reg[26].u_bit_reg.data_out ),
-    .S(_3044_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_3046_));
- sky130_fd_sc_hd__clkbuf_1 _6283_ (.A(_3046_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0641_));
- sky130_fd_sc_hd__mux2_1 _6284_ (.A0(_1359_),
-    .A1(\u_glb_ctrl.gen_bit_reg[25].u_bit_reg.data_out ),
-    .S(_3044_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_3047_));
- sky130_fd_sc_hd__clkbuf_1 _6285_ (.A(_3047_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0642_));
- sky130_fd_sc_hd__mux2_1 _6286_ (.A0(_1356_),
-    .A1(\u_glb_ctrl.gen_bit_reg[24].u_bit_reg.data_out ),
-    .S(_3044_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_3048_));
- sky130_fd_sc_hd__clkbuf_1 _6287_ (.A(_3048_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0643_));
- sky130_fd_sc_hd__clkbuf_2 _6288_ (.A(_1647_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_3049_));
- sky130_fd_sc_hd__mux2_1 _6289_ (.A0(_1351_),
-    .A1(\u_cpu_ref_sel.S ),
-    .S(_3049_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_3050_));
- sky130_fd_sc_hd__clkbuf_1 _6290_ (.A(_3050_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0644_));
- sky130_fd_sc_hd__mux2_1 _6291_ (.A0(_1348_),
-    .A1(\u_cpu_clk_sel.S ),
-    .S(_3049_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_3051_));
- sky130_fd_sc_hd__clkbuf_1 _6292_ (.A(_3051_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0645_));
- sky130_fd_sc_hd__mux2_1 _6293_ (.A0(_1344_),
-    .A1(_1577_),
-    .S(_3049_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_3052_));
- sky130_fd_sc_hd__clkbuf_1 _6294_ (.A(_3052_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0646_));
- sky130_fd_sc_hd__mux2_1 _6295_ (.A0(_1341_),
-    .A1(_1576_),
-    .S(_3049_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_3053_));
- sky130_fd_sc_hd__clkbuf_1 _6296_ (.A(_3053_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0647_));
- sky130_fd_sc_hd__buf_2 _6297_ (.A(_1647_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_3054_));
- sky130_fd_sc_hd__mux2_1 _6298_ (.A0(_1271_),
-    .A1(\u_glb_ctrl.gen_bit_reg[1].u_bit_reg.data_out ),
-    .S(_3054_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_3055_));
- sky130_fd_sc_hd__clkbuf_1 _6299_ (.A(_3055_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0648_));
- sky130_fd_sc_hd__mux2_1 _6300_ (.A0(_1334_),
-    .A1(_1631_),
-    .S(_3054_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_3056_));
- sky130_fd_sc_hd__clkbuf_1 _6301_ (.A(_3056_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0649_));
- sky130_fd_sc_hd__mux2_1 _6302_ (.A0(_1330_),
-    .A1(_1627_),
-    .S(_3054_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_3057_));
- sky130_fd_sc_hd__clkbuf_1 _6303_ (.A(_3057_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0650_));
- sky130_fd_sc_hd__mux2_1 _6304_ (.A0(_1327_),
-    .A1(_1621_),
-    .S(_3054_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_3058_));
- sky130_fd_sc_hd__clkbuf_1 _6305_ (.A(_3058_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0651_));
- sky130_fd_sc_hd__buf_2 _6306_ (.A(_1646_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_3059_));
- sky130_fd_sc_hd__clkbuf_2 _6307_ (.A(_3059_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_3060_));
- sky130_fd_sc_hd__mux2_1 _6308_ (.A0(_1323_),
-    .A1(_1613_),
-    .S(_3060_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_3061_));
- sky130_fd_sc_hd__clkbuf_1 _6309_ (.A(_3061_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0652_));
- sky130_fd_sc_hd__mux2_1 _6310_ (.A0(_1320_),
-    .A1(_1608_),
-    .S(_3060_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_3062_));
- sky130_fd_sc_hd__clkbuf_1 _6311_ (.A(_3062_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0653_));
- sky130_fd_sc_hd__mux2_1 _6312_ (.A0(_1315_),
-    .A1(_1598_),
-    .S(_3060_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_3063_));
- sky130_fd_sc_hd__clkbuf_1 _6313_ (.A(_3063_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0654_));
- sky130_fd_sc_hd__mux2_1 _6314_ (.A0(_1312_),
-    .A1(_1596_),
-    .S(_3060_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_3064_));
- sky130_fd_sc_hd__clkbuf_1 _6315_ (.A(_3064_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0655_));
- sky130_fd_sc_hd__buf_2 _6316_ (.A(_3059_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_3065_));
- sky130_fd_sc_hd__mux2_1 _6317_ (.A0(_1308_),
-    .A1(\u_glb_ctrl.gen_bit_reg[11].u_bit_reg.data_out ),
-    .S(_3065_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_3066_));
- sky130_fd_sc_hd__clkbuf_1 _6318_ (.A(_3066_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0656_));
- sky130_fd_sc_hd__mux2_1 _6319_ (.A0(_1305_),
-    .A1(\u_glb_ctrl.gen_bit_reg[10].u_bit_reg.data_out ),
-    .S(_3065_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_3067_));
- sky130_fd_sc_hd__clkbuf_1 _6320_ (.A(_3067_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0657_));
- sky130_fd_sc_hd__mux2_1 _6321_ (.A0(_1268_),
-    .A1(\u_buf_wb_rst.A ),
-    .S(_3065_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_3068_));
- sky130_fd_sc_hd__clkbuf_1 _6322_ (.A(_3068_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0658_));
- sky130_fd_sc_hd__mux2_1 _6323_ (.A0(_1298_),
-    .A1(_1557_),
-    .S(_3065_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_3069_));
- sky130_fd_sc_hd__clkbuf_1 _6324_ (.A(_3069_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0659_));
- sky130_fd_sc_hd__clkbuf_2 _6325_ (.A(_3059_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_3070_));
- sky130_fd_sc_hd__mux2_1 _6326_ (.A0(_1292_),
-    .A1(\u_glb_ctrl.gen_bit_reg[7].u_bit_reg.data_out ),
-    .S(_3070_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_3071_));
- sky130_fd_sc_hd__clkbuf_1 _6327_ (.A(_3071_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0660_));
- sky130_fd_sc_hd__mux2_1 _6328_ (.A0(_1289_),
-    .A1(\u_glb_ctrl.gen_bit_reg[6].u_bit_reg.data_out ),
-    .S(_3070_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_3072_));
- sky130_fd_sc_hd__clkbuf_1 _6329_ (.A(_3072_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0661_));
- sky130_fd_sc_hd__mux2_1 _6330_ (.A0(_1285_),
-    .A1(\u_glb_ctrl.gen_bit_reg[5].u_bit_reg.data_out ),
-    .S(_3070_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
     .X(_3073_));
- sky130_fd_sc_hd__clkbuf_1 _6331_ (.A(_3073_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0662_));
- sky130_fd_sc_hd__mux2_1 _6332_ (.A0(_1282_),
-    .A1(\u_glb_ctrl.gen_bit_reg[4].u_bit_reg.data_out ),
-    .S(_3070_),
+ sky130_fd_sc_hd__clkbuf_1 _6323_ (.A(_3073_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3074_));
- sky130_fd_sc_hd__clkbuf_1 _6333_ (.A(_3074_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0663_));
- sky130_fd_sc_hd__clkbuf_4 _6334_ (.A(_3059_),
+ sky130_fd_sc_hd__clkbuf_1 _6324_ (.A(_3074_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3075_));
- sky130_fd_sc_hd__mux2_1 _6335_ (.A0(_1278_),
-    .A1(\u_glb_ctrl.gen_bit_reg[3].u_bit_reg.data_out ),
-    .S(_3075_),
+ sky130_fd_sc_hd__a22o_1 _6325_ (.A1(_2514_),
+    .A2(_2695_),
+    .B1(_3075_),
+    .B2(\wb_dat_o[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0612_));
+ sky130_fd_sc_hd__a22o_1 _6326_ (.A1(_2519_),
+    .A2(_2695_),
+    .B1(_3075_),
+    .B2(\wb_dat_o[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0613_));
+ sky130_fd_sc_hd__clkbuf_1 _6327_ (.A(_2694_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3076_));
- sky130_fd_sc_hd__clkbuf_1 _6336_ (.A(_3076_),
+ sky130_fd_sc_hd__a22o_1 _6328_ (.A1(_2518_),
+    .A2(_3076_),
+    .B1(_3075_),
+    .B2(\wb_dat_o[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0664_));
- sky130_fd_sc_hd__mux2_1 _6337_ (.A0(_1379_),
-    .A1(\u_glb_ctrl.gen_bit_reg[31].u_bit_reg.data_out ),
-    .S(_3075_),
+    .X(_0614_));
+ sky130_fd_sc_hd__a22o_1 _6329_ (.A1(_2510_),
+    .A2(_3076_),
+    .B1(_3075_),
+    .B2(\wb_dat_o[3] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0615_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _6330_ (.A(_3074_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3077_));
- sky130_fd_sc_hd__clkbuf_1 _6338_ (.A(_3077_),
+ sky130_fd_sc_hd__a22o_1 _6331_ (.A1(_2122_),
+    .A2(_3076_),
+    .B1(_3077_),
+    .B2(\wb_dat_o[4] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0665_));
- sky130_fd_sc_hd__mux2_1 _6339_ (.A0(_1373_),
-    .A1(_1569_),
-    .S(_3075_),
+    .X(_0616_));
+ sky130_fd_sc_hd__a22o_1 _6332_ (.A1(_2141_),
+    .A2(_3076_),
+    .B1(_3077_),
+    .B2(\wb_dat_o[5] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0617_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _6333_ (.A(_2693_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3078_));
- sky130_fd_sc_hd__clkbuf_1 _6340_ (.A(_3078_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0666_));
- sky130_fd_sc_hd__mux2_1 _6341_ (.A0(_1337_),
-    .A1(_1638_),
-    .S(_3075_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _6334_ (.A(_3078_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3079_));
- sky130_fd_sc_hd__clkbuf_1 _6342_ (.A(_3079_),
+ sky130_fd_sc_hd__a22o_1 _6335_ (.A1(\u_uart2wb.reg_rdata[6] ),
+    .A2(_3079_),
+    .B1(_3077_),
+    .B2(\wb_dat_o[6] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0667_));
- sky130_fd_sc_hd__and2b_1 _6343_ (.A_N(_1578_),
-    .B(_1577_),
+    .X(_0618_));
+ sky130_fd_sc_hd__a22o_1 _6336_ (.A1(_2117_),
+    .A2(_3079_),
+    .B1(_3077_),
+    .B2(\wb_dat_o[7] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0619_));
+ sky130_fd_sc_hd__clkbuf_1 _6337_ (.A(_3074_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3080_));
- sky130_fd_sc_hd__o21ai_1 _6344_ (.A1(_1213_),
-    .A2(_1214_),
-    .B1(\u_cpuclk.low_count[0] ),
+ sky130_fd_sc_hd__a22o_1 _6338_ (.A1(_2174_),
+    .A2(_3079_),
+    .B1(_3080_),
+    .B2(\wb_dat_o[8] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_3081_));
- sky130_fd_sc_hd__o21ai_1 _6345_ (.A1(_1582_),
-    .A2(_3080_),
-    .B1(_3081_),
+    .X(_0620_));
+ sky130_fd_sc_hd__a22o_1 _6339_ (.A1(_2184_),
+    .A2(_3079_),
+    .B1(_3080_),
+    .B2(\wb_dat_o[9] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_0668_));
- sky130_fd_sc_hd__mux2_1 _6346_ (.A0(_3080_),
-    .A1(_1578_),
-    .S(_1582_),
+    .X(_0621_));
+ sky130_fd_sc_hd__clkbuf_1 _6340_ (.A(_3078_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3081_));
+ sky130_fd_sc_hd__a22o_1 _6341_ (.A1(_2183_),
+    .A2(_3081_),
+    .B1(_3080_),
+    .B2(\wb_dat_o[10] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0622_));
+ sky130_fd_sc_hd__a22o_1 _6342_ (.A1(_2175_),
+    .A2(_3081_),
+    .B1(_3080_),
+    .B2(\wb_dat_o[11] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0623_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _6343_ (.A(_3074_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3082_));
- sky130_fd_sc_hd__clkbuf_1 _6347_ (.A(_3082_),
+ sky130_fd_sc_hd__a22o_1 _6344_ (.A1(_2359_),
+    .A2(_3081_),
+    .B1(_3082_),
+    .B2(\wb_dat_o[12] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0669_));
- sky130_fd_sc_hd__and3_1 _6348_ (.A(_1584_),
-    .B(_0941_),
-    .C(_1745_),
+    .X(_0624_));
+ sky130_fd_sc_hd__a22o_1 _6345_ (.A1(_2360_),
+    .A2(_3081_),
+    .B1(_3082_),
+    .B2(\wb_dat_o[13] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0625_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _6346_ (.A(_3078_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3083_));
- sky130_fd_sc_hd__buf_2 _6349_ (.A(_3083_),
+ sky130_fd_sc_hd__a22o_1 _6347_ (.A1(_2367_),
+    .A2(_3083_),
+    .B1(_3082_),
+    .B2(\wb_dat_o[14] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0626_));
+ sky130_fd_sc_hd__a22o_1 _6348_ (.A1(_2361_),
+    .A2(_3083_),
+    .B1(_3082_),
+    .B2(\wb_dat_o[15] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0627_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _6349_ (.A(_3073_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3084_));
- sky130_fd_sc_hd__mux2_1 _6350_ (.A0(_1463_),
-    .A1(_1909_),
-    .S(_3084_),
+ sky130_fd_sc_hd__clkbuf_1 _6350_ (.A(_3084_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3085_));
- sky130_fd_sc_hd__clkbuf_1 _6351_ (.A(_3085_),
+ sky130_fd_sc_hd__a22o_1 _6351_ (.A1(\u_uart2wb.reg_rdata[16] ),
+    .A2(_3083_),
+    .B1(_3085_),
+    .B2(\wb_dat_o[16] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0670_));
- sky130_fd_sc_hd__mux2_1 _6352_ (.A0(_1461_),
-    .A1(_1907_),
-    .S(_3084_),
+    .X(_0628_));
+ sky130_fd_sc_hd__a22o_1 _6352_ (.A1(_2222_),
+    .A2(_3083_),
+    .B1(_3085_),
+    .B2(\wb_dat_o[17] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0629_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _6353_ (.A(_3078_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3086_));
- sky130_fd_sc_hd__clkbuf_1 _6353_ (.A(_3086_),
+ sky130_fd_sc_hd__a22o_1 _6354_ (.A1(_2219_),
+    .A2(_3086_),
+    .B1(_3085_),
+    .B2(\wb_dat_o[18] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0671_));
- sky130_fd_sc_hd__mux2_1 _6354_ (.A0(_1459_),
-    .A1(_1905_),
-    .S(_3084_),
+    .X(_0630_));
+ sky130_fd_sc_hd__a22o_1 _6355_ (.A1(\u_uart2wb.reg_rdata[19] ),
+    .A2(_3086_),
+    .B1(_3085_),
+    .B2(\wb_dat_o[19] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0631_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _6356_ (.A(_3084_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3087_));
- sky130_fd_sc_hd__clkbuf_1 _6355_ (.A(_3087_),
+ sky130_fd_sc_hd__a22o_1 _6357_ (.A1(\u_uart2wb.reg_rdata[20] ),
+    .A2(_3086_),
+    .B1(_3087_),
+    .B2(\wb_dat_o[20] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0672_));
- sky130_fd_sc_hd__mux2_1 _6356_ (.A0(_1456_),
-    .A1(_1903_),
-    .S(_3084_),
+    .X(_0632_));
+ sky130_fd_sc_hd__a22o_1 _6358_ (.A1(_2254_),
+    .A2(_3086_),
+    .B1(_3087_),
+    .B2(\wb_dat_o[21] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0633_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _6359_ (.A(_2693_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3088_));
- sky130_fd_sc_hd__clkbuf_1 _6357_ (.A(_3088_),
+ sky130_fd_sc_hd__a22o_1 _6360_ (.A1(\u_uart2wb.reg_rdata[22] ),
+    .A2(_3088_),
+    .B1(_3087_),
+    .B2(\wb_dat_o[22] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0673_));
- sky130_fd_sc_hd__clkbuf_2 _6358_ (.A(_3083_),
+    .X(_0634_));
+ sky130_fd_sc_hd__a22o_1 _6361_ (.A1(\u_uart2wb.reg_rdata[23] ),
+    .A2(_3088_),
+    .B1(_3087_),
+    .B2(\wb_dat_o[23] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0635_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _6362_ (.A(_3084_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3089_));
- sky130_fd_sc_hd__mux2_1 _6359_ (.A0(_1454_),
-    .A1(_1898_),
-    .S(_3089_),
+ sky130_fd_sc_hd__a22o_1 _6363_ (.A1(_2277_),
+    .A2(_3088_),
+    .B1(_3089_),
+    .B2(\wb_dat_o[24] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0636_));
+ sky130_fd_sc_hd__a22o_1 _6364_ (.A1(_2286_),
+    .A2(_3088_),
+    .B1(_3089_),
+    .B2(\wb_dat_o[25] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0637_));
+ sky130_fd_sc_hd__clkbuf_1 _6365_ (.A(_2693_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3090_));
- sky130_fd_sc_hd__clkbuf_1 _6360_ (.A(_3090_),
+ sky130_fd_sc_hd__a22o_1 _6366_ (.A1(_2278_),
+    .A2(_3090_),
+    .B1(_3089_),
+    .B2(\wb_dat_o[26] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0674_));
- sky130_fd_sc_hd__mux2_1 _6361_ (.A0(_1452_),
-    .A1(_1896_),
-    .S(_3089_),
+    .X(_0638_));
+ sky130_fd_sc_hd__a22o_1 _6367_ (.A1(_2279_),
+    .A2(_3090_),
+    .B1(_3089_),
+    .B2(\wb_dat_o[27] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0639_));
+ sky130_fd_sc_hd__clkbuf_1 _6368_ (.A(_3084_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3091_));
- sky130_fd_sc_hd__clkbuf_1 _6362_ (.A(_3091_),
+ sky130_fd_sc_hd__a22o_1 _6369_ (.A1(_2321_),
+    .A2(_3090_),
+    .B1(_3091_),
+    .B2(\wb_dat_o[28] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0675_));
- sky130_fd_sc_hd__mux2_1 _6363_ (.A0(_1450_),
-    .A1(_1894_),
-    .S(_3089_),
+    .X(_0640_));
+ sky130_fd_sc_hd__a22o_1 _6370_ (.A1(_2327_),
+    .A2(_3090_),
+    .B1(_3091_),
+    .B2(\wb_dat_o[29] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0641_));
+ sky130_fd_sc_hd__a22o_1 _6371_ (.A1(_2330_),
+    .A2(_2694_),
+    .B1(_3091_),
+    .B2(\wb_dat_o[30] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0642_));
+ sky130_fd_sc_hd__a22o_1 _6372_ (.A1(_2328_),
+    .A2(_2694_),
+    .B1(_3091_),
+    .B2(\wb_dat_o[31] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0643_));
+ sky130_fd_sc_hd__a21oi_1 _6373_ (.A1(_1910_),
+    .A2(_2971_),
+    .B1(_2683_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Y(_0644_));
+ sky130_fd_sc_hd__mux2_1 _6374_ (.A0(_1393_),
+    .A1(_1618_),
+    .S(_1688_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3092_));
- sky130_fd_sc_hd__clkbuf_1 _6364_ (.A(_3092_),
+ sky130_fd_sc_hd__clkbuf_1 _6375_ (.A(_3092_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0676_));
- sky130_fd_sc_hd__inv_2 _6365_ (.A(_1470_),
+    .X(_0645_));
+ sky130_fd_sc_hd__mux2_1 _6376_ (.A0(_1292_),
+    .A1(\u_glb_ctrl.gen_bit_reg[2].u_bit_reg.data_out ),
+    .S(_1688_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Y(_3093_));
- sky130_fd_sc_hd__mux2_1 _6366_ (.A0(\u_async_wb.u_resp_if.grey_wr_ptr[0] ),
-    .A1(_3093_),
-    .S(_1480_),
+    .X(_3093_));
+ sky130_fd_sc_hd__clkbuf_1 _6377_ (.A(_3093_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0646_));
+ sky130_fd_sc_hd__mux2_1 _6378_ (.A0(_1387_),
+    .A1(_1604_),
+    .S(_1688_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3094_));
- sky130_fd_sc_hd__clkbuf_1 _6367_ (.A(_3094_),
+ sky130_fd_sc_hd__clkbuf_1 _6379_ (.A(_3094_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0677_));
- sky130_fd_sc_hd__mux2_1 _6368_ (.A0(\u_async_wb.u_resp_if.grey_wr_ptr[1] ),
-    .A1(_1483_),
-    .S(_1480_),
+    .X(_0647_));
+ sky130_fd_sc_hd__clkbuf_2 _6380_ (.A(_1687_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3095_));
- sky130_fd_sc_hd__clkbuf_1 _6369_ (.A(_3095_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0678_));
- sky130_fd_sc_hd__or4_2 _6370_ (.A(_0925_),
-    .B(_1546_),
-    .C(_1390_),
-    .D(_1706_),
+ sky130_fd_sc_hd__mux2_1 _6381_ (.A0(_1383_),
+    .A1(_1597_),
+    .S(_3095_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3096_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _6371_ (.A(_3096_),
+ sky130_fd_sc_hd__clkbuf_1 _6382_ (.A(_3096_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0648_));
+ sky130_fd_sc_hd__mux2_1 _6383_ (.A0(_1380_),
+    .A1(_1591_),
+    .S(_3095_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3097_));
- sky130_fd_sc_hd__clkbuf_4 _6372_ (.A(_3097_),
+ sky130_fd_sc_hd__clkbuf_1 _6384_ (.A(_3097_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0649_));
+ sky130_fd_sc_hd__mux2_1 _6385_ (.A0(_1376_),
+    .A1(_1590_),
+    .S(_3095_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3098_));
- sky130_fd_sc_hd__mux2_1 _6373_ (.A0(_1376_),
-    .A1(\u_clk_ctrl1.gen_bit_reg[30].u_bit_reg.data_out ),
-    .S(_3098_),
+ sky130_fd_sc_hd__clkbuf_1 _6386_ (.A(_3098_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0650_));
+ sky130_fd_sc_hd__mux2_1 _6387_ (.A0(_1373_),
+    .A1(_1690_),
+    .S(_3095_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3099_));
- sky130_fd_sc_hd__clkbuf_1 _6374_ (.A(_3099_),
+ sky130_fd_sc_hd__clkbuf_1 _6388_ (.A(_3099_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0679_));
- sky130_fd_sc_hd__mux2_1 _6375_ (.A0(_1275_),
-    .A1(\u_clk_ctrl1.gen_bit_reg[2].u_bit_reg.data_out ),
-    .S(_3098_),
+    .X(_0651_));
+ sky130_fd_sc_hd__clkbuf_2 _6389_ (.A(_1687_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3100_));
- sky130_fd_sc_hd__clkbuf_1 _6376_ (.A(_3100_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0680_));
- sky130_fd_sc_hd__mux2_1 _6377_ (.A0(_1370_),
-    .A1(\u_clk_ctrl1.gen_bit_reg[28].u_bit_reg.data_out ),
-    .S(_3098_),
+ sky130_fd_sc_hd__mux2_1 _6390_ (.A0(_1368_),
+    .A1(\u_cpu_ref_sel.S ),
+    .S(_3100_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3101_));
- sky130_fd_sc_hd__clkbuf_1 _6378_ (.A(_3101_),
+ sky130_fd_sc_hd__clkbuf_1 _6391_ (.A(_3101_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0681_));
- sky130_fd_sc_hd__mux2_1 _6379_ (.A0(_1366_),
-    .A1(\u_clk_ctrl1.gen_bit_reg[27].u_bit_reg.data_out ),
-    .S(_3098_),
+    .X(_0652_));
+ sky130_fd_sc_hd__mux2_1 _6392_ (.A0(_1365_),
+    .A1(\u_cpu_clk_sel.S ),
+    .S(_3100_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3102_));
- sky130_fd_sc_hd__clkbuf_1 _6380_ (.A(_3102_),
+ sky130_fd_sc_hd__clkbuf_1 _6393_ (.A(_3102_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0682_));
- sky130_fd_sc_hd__clkbuf_2 _6381_ (.A(_3097_),
+    .X(_0653_));
+ sky130_fd_sc_hd__mux2_1 _6394_ (.A0(_1361_),
+    .A1(_1621_),
+    .S(_3100_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3103_));
- sky130_fd_sc_hd__mux2_1 _6382_ (.A0(_1363_),
-    .A1(\u_clk_ctrl1.gen_bit_reg[26].u_bit_reg.data_out ),
-    .S(_3103_),
+ sky130_fd_sc_hd__clkbuf_1 _6395_ (.A(_3103_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0654_));
+ sky130_fd_sc_hd__mux2_1 _6396_ (.A0(_1358_),
+    .A1(_1620_),
+    .S(_3100_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3104_));
- sky130_fd_sc_hd__clkbuf_1 _6383_ (.A(_3104_),
+ sky130_fd_sc_hd__clkbuf_1 _6397_ (.A(_3104_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0683_));
- sky130_fd_sc_hd__mux2_1 _6384_ (.A0(_1359_),
-    .A1(\u_clk_ctrl1.gen_bit_reg[25].u_bit_reg.data_out ),
-    .S(_3103_),
+    .X(_0655_));
+ sky130_fd_sc_hd__buf_2 _6398_ (.A(_1687_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3105_));
- sky130_fd_sc_hd__clkbuf_1 _6385_ (.A(_3105_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0684_));
- sky130_fd_sc_hd__mux2_1 _6386_ (.A0(_1356_),
-    .A1(\u_clk_ctrl1.gen_bit_reg[24].u_bit_reg.data_out ),
-    .S(_3103_),
+ sky130_fd_sc_hd__mux2_1 _6399_ (.A0(_1288_),
+    .A1(\u_glb_ctrl.gen_bit_reg[1].u_bit_reg.data_out ),
+    .S(_3105_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3106_));
- sky130_fd_sc_hd__clkbuf_1 _6387_ (.A(_3106_),
+ sky130_fd_sc_hd__clkbuf_1 _6400_ (.A(_3106_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0685_));
- sky130_fd_sc_hd__mux2_1 _6388_ (.A0(_1351_),
-    .A1(\u_clk_ctrl1.gen_bit_reg[23].u_bit_reg.data_out ),
-    .S(_3103_),
+    .X(_0656_));
+ sky130_fd_sc_hd__mux2_1 _6401_ (.A0(_1351_),
+    .A1(_1674_),
+    .S(_3105_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3107_));
- sky130_fd_sc_hd__clkbuf_1 _6389_ (.A(_3107_),
+ sky130_fd_sc_hd__clkbuf_1 _6402_ (.A(_3107_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0686_));
- sky130_fd_sc_hd__clkbuf_2 _6390_ (.A(_3097_),
+    .X(_0657_));
+ sky130_fd_sc_hd__mux2_1 _6403_ (.A0(_1347_),
+    .A1(_1670_),
+    .S(_3105_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3108_));
- sky130_fd_sc_hd__mux2_1 _6391_ (.A0(_1348_),
-    .A1(\u_clk_ctrl1.gen_bit_reg[22].u_bit_reg.data_out ),
-    .S(_3108_),
+ sky130_fd_sc_hd__clkbuf_1 _6404_ (.A(_3108_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0658_));
+ sky130_fd_sc_hd__mux2_1 _6405_ (.A0(_1344_),
+    .A1(_1660_),
+    .S(_3105_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3109_));
- sky130_fd_sc_hd__clkbuf_1 _6392_ (.A(_3109_),
+ sky130_fd_sc_hd__clkbuf_1 _6406_ (.A(_3109_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0687_));
- sky130_fd_sc_hd__mux2_1 _6393_ (.A0(_1344_),
-    .A1(\u_clk_ctrl1.gen_bit_reg[21].u_bit_reg.data_out ),
-    .S(_3108_),
+    .X(_0659_));
+ sky130_fd_sc_hd__buf_2 _6407_ (.A(_1686_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3110_));
- sky130_fd_sc_hd__clkbuf_1 _6394_ (.A(_3110_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0688_));
- sky130_fd_sc_hd__mux2_1 _6395_ (.A0(_1341_),
-    .A1(\u_clk_ctrl1.gen_bit_reg[20].u_bit_reg.data_out ),
-    .S(_3108_),
+ sky130_fd_sc_hd__clkbuf_2 _6408_ (.A(_3110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3111_));
- sky130_fd_sc_hd__clkbuf_1 _6396_ (.A(_3111_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0689_));
- sky130_fd_sc_hd__mux2_1 _6397_ (.A0(_1271_),
-    .A1(\u_clk_ctrl1.gen_bit_reg[1].u_bit_reg.data_out ),
-    .S(_3108_),
+ sky130_fd_sc_hd__mux2_1 _6409_ (.A0(_1340_),
+    .A1(_1655_),
+    .S(_3111_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3112_));
- sky130_fd_sc_hd__clkbuf_1 _6398_ (.A(_3112_),
+ sky130_fd_sc_hd__clkbuf_1 _6410_ (.A(_3112_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0690_));
- sky130_fd_sc_hd__clkbuf_2 _6399_ (.A(_3097_),
+    .X(_0660_));
+ sky130_fd_sc_hd__mux2_1 _6411_ (.A0(_1337_),
+    .A1(_1648_),
+    .S(_3111_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3113_));
- sky130_fd_sc_hd__mux2_1 _6400_ (.A0(_1334_),
-    .A1(\u_clk_ctrl1.gen_bit_reg[18].u_bit_reg.data_out ),
-    .S(_3113_),
+ sky130_fd_sc_hd__clkbuf_1 _6412_ (.A(_3113_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0661_));
+ sky130_fd_sc_hd__mux2_1 _6413_ (.A0(_1332_),
+    .A1(_1637_),
+    .S(_3111_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3114_));
- sky130_fd_sc_hd__clkbuf_1 _6401_ (.A(_3114_),
+ sky130_fd_sc_hd__clkbuf_1 _6414_ (.A(_3114_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0691_));
- sky130_fd_sc_hd__mux2_1 _6402_ (.A0(_1330_),
-    .A1(\u_clk_ctrl1.gen_bit_reg[17].u_bit_reg.data_out ),
-    .S(_3113_),
+    .X(_0662_));
+ sky130_fd_sc_hd__mux2_1 _6415_ (.A0(_1329_),
+    .A1(_1647_),
+    .S(_3111_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3115_));
- sky130_fd_sc_hd__clkbuf_1 _6403_ (.A(_3115_),
+ sky130_fd_sc_hd__clkbuf_1 _6416_ (.A(_3115_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0692_));
- sky130_fd_sc_hd__mux2_1 _6404_ (.A0(_1327_),
-    .A1(\u_clk_ctrl1.gen_bit_reg[16].u_bit_reg.data_out ),
-    .S(_3113_),
+    .X(_0663_));
+ sky130_fd_sc_hd__buf_2 _6417_ (.A(_3110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3116_));
- sky130_fd_sc_hd__clkbuf_1 _6405_ (.A(_3116_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0693_));
- sky130_fd_sc_hd__mux2_1 _6406_ (.A0(_1323_),
-    .A1(\u_clk_ctrl1.gen_bit_reg[15].u_bit_reg.data_out ),
-    .S(_3113_),
+ sky130_fd_sc_hd__mux2_1 _6418_ (.A0(_1325_),
+    .A1(\u_glb_ctrl.gen_bit_reg[11].u_bit_reg.data_out ),
+    .S(_3116_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3117_));
- sky130_fd_sc_hd__clkbuf_1 _6407_ (.A(_3117_),
+ sky130_fd_sc_hd__clkbuf_1 _6419_ (.A(_3117_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0694_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _6408_ (.A(_3096_),
+    .X(_0664_));
+ sky130_fd_sc_hd__mux2_1 _6420_ (.A0(_1322_),
+    .A1(\u_glb_ctrl.gen_bit_reg[10].u_bit_reg.data_out ),
+    .S(_3116_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3118_));
- sky130_fd_sc_hd__clkbuf_2 _6409_ (.A(_3118_),
+ sky130_fd_sc_hd__clkbuf_1 _6421_ (.A(_3118_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0665_));
+ sky130_fd_sc_hd__mux2_1 _6422_ (.A0(_1285_),
+    .A1(\u_buf_wb_rst.A ),
+    .S(_3116_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3119_));
- sky130_fd_sc_hd__mux2_1 _6410_ (.A0(_1320_),
-    .A1(\u_clk_ctrl1.gen_bit_reg[14].u_bit_reg.data_out ),
-    .S(_3119_),
+ sky130_fd_sc_hd__clkbuf_1 _6423_ (.A(_3119_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0666_));
+ sky130_fd_sc_hd__mux2_1 _6424_ (.A0(_1315_),
+    .A1(_1572_),
+    .S(_3116_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3120_));
- sky130_fd_sc_hd__clkbuf_1 _6411_ (.A(_3120_),
+ sky130_fd_sc_hd__clkbuf_1 _6425_ (.A(_3120_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0695_));
- sky130_fd_sc_hd__mux2_1 _6412_ (.A0(_1315_),
-    .A1(\u_clk_ctrl1.gen_bit_reg[13].u_bit_reg.data_out ),
-    .S(_3119_),
+    .X(_0667_));
+ sky130_fd_sc_hd__clkbuf_2 _6426_ (.A(_3110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3121_));
- sky130_fd_sc_hd__clkbuf_1 _6413_ (.A(_3121_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0696_));
- sky130_fd_sc_hd__mux2_1 _6414_ (.A0(_1312_),
-    .A1(\u_clk_ctrl1.gen_bit_reg[12].u_bit_reg.data_out ),
-    .S(_3119_),
+ sky130_fd_sc_hd__mux2_1 _6427_ (.A0(_1309_),
+    .A1(\u_glb_ctrl.gen_bit_reg[7].u_bit_reg.data_out ),
+    .S(_3121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3122_));
- sky130_fd_sc_hd__clkbuf_1 _6415_ (.A(_3122_),
+ sky130_fd_sc_hd__clkbuf_1 _6428_ (.A(_3122_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0697_));
- sky130_fd_sc_hd__mux2_1 _6416_ (.A0(_1308_),
-    .A1(\u_clk_ctrl1.gen_bit_reg[11].u_bit_reg.data_out ),
-    .S(_3119_),
+    .X(_0668_));
+ sky130_fd_sc_hd__mux2_1 _6429_ (.A0(_1306_),
+    .A1(\u_glb_ctrl.gen_bit_reg[6].u_bit_reg.data_out ),
+    .S(_3121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3123_));
- sky130_fd_sc_hd__clkbuf_1 _6417_ (.A(_3123_),
+ sky130_fd_sc_hd__clkbuf_1 _6430_ (.A(_3123_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0698_));
- sky130_fd_sc_hd__clkbuf_2 _6418_ (.A(_3118_),
+    .X(_0669_));
+ sky130_fd_sc_hd__mux2_1 _6431_ (.A0(_1302_),
+    .A1(\u_glb_ctrl.gen_bit_reg[5].u_bit_reg.data_out ),
+    .S(_3121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3124_));
- sky130_fd_sc_hd__mux2_1 _6419_ (.A0(_1305_),
-    .A1(\u_clk_ctrl1.gen_bit_reg[10].u_bit_reg.data_out ),
-    .S(_3124_),
+ sky130_fd_sc_hd__clkbuf_1 _6432_ (.A(_3124_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0670_));
+ sky130_fd_sc_hd__mux2_1 _6433_ (.A0(_1299_),
+    .A1(\u_glb_ctrl.gen_bit_reg[4].u_bit_reg.data_out ),
+    .S(_3121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3125_));
- sky130_fd_sc_hd__clkbuf_1 _6420_ (.A(_3125_),
+ sky130_fd_sc_hd__clkbuf_1 _6434_ (.A(_3125_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0699_));
- sky130_fd_sc_hd__mux2_1 _6421_ (.A0(_1268_),
-    .A1(\u_clk_ctrl1.gen_bit_reg[0].u_bit_reg.data_out ),
-    .S(_3124_),
+    .X(_0671_));
+ sky130_fd_sc_hd__clkbuf_4 _6435_ (.A(_3110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3126_));
- sky130_fd_sc_hd__clkbuf_1 _6422_ (.A(_3126_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0700_));
- sky130_fd_sc_hd__mux2_1 _6423_ (.A0(_1298_),
-    .A1(\u_clk_ctrl1.gen_bit_reg[8].u_bit_reg.data_out ),
-    .S(_3124_),
+ sky130_fd_sc_hd__mux2_1 _6436_ (.A0(_1295_),
+    .A1(\u_glb_ctrl.gen_bit_reg[3].u_bit_reg.data_out ),
+    .S(_3126_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3127_));
- sky130_fd_sc_hd__clkbuf_1 _6424_ (.A(_3127_),
+ sky130_fd_sc_hd__clkbuf_1 _6437_ (.A(_3127_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0701_));
- sky130_fd_sc_hd__mux2_1 _6425_ (.A0(_1292_),
-    .A1(\u_clk_ctrl1.gen_bit_reg[7].u_bit_reg.data_out ),
-    .S(_3124_),
+    .X(_0672_));
+ sky130_fd_sc_hd__mux2_1 _6438_ (.A0(_1396_),
+    .A1(\u_glb_ctrl.gen_bit_reg[31].u_bit_reg.data_out ),
+    .S(_3126_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3128_));
- sky130_fd_sc_hd__clkbuf_1 _6426_ (.A(_3128_),
+ sky130_fd_sc_hd__clkbuf_1 _6439_ (.A(_3128_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0702_));
- sky130_fd_sc_hd__clkbuf_2 _6427_ (.A(_3118_),
+    .X(_0673_));
+ sky130_fd_sc_hd__mux2_1 _6440_ (.A0(_1390_),
+    .A1(_1612_),
+    .S(_3126_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3129_));
- sky130_fd_sc_hd__mux2_1 _6428_ (.A0(_1289_),
-    .A1(\u_clk_ctrl1.gen_bit_reg[6].u_bit_reg.data_out ),
-    .S(_3129_),
+ sky130_fd_sc_hd__clkbuf_1 _6441_ (.A(_3129_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0674_));
+ sky130_fd_sc_hd__mux2_1 _6442_ (.A0(_1354_),
+    .A1(_1680_),
+    .S(_3126_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3130_));
- sky130_fd_sc_hd__clkbuf_1 _6429_ (.A(_3130_),
+ sky130_fd_sc_hd__clkbuf_1 _6443_ (.A(_3130_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0703_));
- sky130_fd_sc_hd__mux2_1 _6430_ (.A0(_1285_),
-    .A1(\u_clk_ctrl1.gen_bit_reg[5].u_bit_reg.data_out ),
-    .S(_3129_),
+    .X(_0675_));
+ sky130_fd_sc_hd__and2b_1 _6444_ (.A_N(_1622_),
+    .B(_1621_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3131_));
- sky130_fd_sc_hd__clkbuf_1 _6431_ (.A(_3131_),
+ sky130_fd_sc_hd__o21ai_1 _6445_ (.A1(_1218_),
+    .A2(_1219_),
+    .B1(\u_cpuclk.low_count[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0704_));
- sky130_fd_sc_hd__mux2_1 _6432_ (.A0(_1282_),
-    .A1(\u_clk_ctrl1.gen_bit_reg[4].u_bit_reg.data_out ),
-    .S(_3129_),
+    .Y(_3132_));
+ sky130_fd_sc_hd__o21ai_1 _6446_ (.A1(_1626_),
+    .A2(_3131_),
+    .B1(_3132_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_3132_));
- sky130_fd_sc_hd__clkbuf_1 _6433_ (.A(_3132_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0705_));
- sky130_fd_sc_hd__mux2_1 _6434_ (.A0(_1278_),
-    .A1(\u_clk_ctrl1.gen_bit_reg[3].u_bit_reg.data_out ),
-    .S(_3129_),
+    .Y(_0676_));
+ sky130_fd_sc_hd__mux2_1 _6447_ (.A0(_3131_),
+    .A1(_1622_),
+    .S(_1626_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3133_));
- sky130_fd_sc_hd__clkbuf_1 _6435_ (.A(_3133_),
+ sky130_fd_sc_hd__clkbuf_1 _6448_ (.A(_3133_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0706_));
- sky130_fd_sc_hd__clkbuf_4 _6436_ (.A(_3118_),
+    .X(_0677_));
+ sky130_fd_sc_hd__and3_1 _6449_ (.A(_1628_),
+    .B(_1399_),
+    .C(_1778_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3134_));
- sky130_fd_sc_hd__mux2_1 _6437_ (.A0(_1379_),
-    .A1(\u_clk_ctrl1.gen_bit_reg[31].u_bit_reg.data_out ),
-    .S(_3134_),
+ sky130_fd_sc_hd__buf_2 _6450_ (.A(_3134_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3135_));
- sky130_fd_sc_hd__clkbuf_1 _6438_ (.A(_3135_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0707_));
- sky130_fd_sc_hd__mux2_1 _6439_ (.A0(_1373_),
-    .A1(\u_clk_ctrl1.gen_bit_reg[29].u_bit_reg.data_out ),
-    .S(_3134_),
+ sky130_fd_sc_hd__mux2_1 _6451_ (.A0(_1481_),
+    .A1(_1961_),
+    .S(_3135_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3136_));
- sky130_fd_sc_hd__clkbuf_1 _6440_ (.A(_3136_),
+ sky130_fd_sc_hd__clkbuf_1 _6452_ (.A(_3136_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0708_));
- sky130_fd_sc_hd__mux2_1 _6441_ (.A0(_1337_),
-    .A1(\u_clk_ctrl1.gen_bit_reg[19].u_bit_reg.data_out ),
-    .S(_3134_),
+    .X(_0678_));
+ sky130_fd_sc_hd__mux2_1 _6453_ (.A0(_1479_),
+    .A1(_1959_),
+    .S(_3135_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3137_));
- sky130_fd_sc_hd__clkbuf_1 _6442_ (.A(_3137_),
+ sky130_fd_sc_hd__clkbuf_1 _6454_ (.A(_3137_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0709_));
- sky130_fd_sc_hd__mux2_1 _6443_ (.A0(_1465_),
-    .A1(_1912_),
-    .S(_3089_),
+    .X(_0679_));
+ sky130_fd_sc_hd__mux2_1 _6455_ (.A0(_1477_),
+    .A1(_1957_),
+    .S(_3135_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3138_));
- sky130_fd_sc_hd__clkbuf_1 _6444_ (.A(_3138_),
+ sky130_fd_sc_hd__clkbuf_1 _6456_ (.A(_3138_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0710_));
- sky130_fd_sc_hd__mux2_1 _6445_ (.A0(\u_clk_ctrl2.gen_bit_reg[30].u_bit_reg.data_out ),
-    .A1(_1375_),
-    .S(_1590_),
+    .X(_0680_));
+ sky130_fd_sc_hd__mux2_1 _6457_ (.A0(_1474_),
+    .A1(_1955_),
+    .S(_3135_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3139_));
- sky130_fd_sc_hd__clkbuf_1 _6446_ (.A(_3139_),
+ sky130_fd_sc_hd__clkbuf_1 _6458_ (.A(_3139_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0711_));
- sky130_fd_sc_hd__mux2_1 _6447_ (.A0(\u_clk_ctrl2.gen_bit_reg[2].u_bit_reg.data_out ),
-    .A1(_1898_),
-    .S(_1590_),
+    .X(_0681_));
+ sky130_fd_sc_hd__clkbuf_2 _6459_ (.A(_3134_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3140_));
- sky130_fd_sc_hd__clkbuf_1 _6448_ (.A(_3140_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0712_));
- sky130_fd_sc_hd__mux2_1 _6449_ (.A0(\u_clk_ctrl2.gen_bit_reg[28].u_bit_reg.data_out ),
-    .A1(_1369_),
-    .S(_1590_),
+ sky130_fd_sc_hd__mux2_1 _6460_ (.A0(_1472_),
+    .A1(_1950_),
+    .S(_3140_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3141_));
- sky130_fd_sc_hd__clkbuf_1 _6450_ (.A(_3141_),
+ sky130_fd_sc_hd__clkbuf_1 _6461_ (.A(_3141_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0713_));
- sky130_fd_sc_hd__clkbuf_2 _6451_ (.A(_1589_),
+    .X(_0682_));
+ sky130_fd_sc_hd__mux2_1 _6462_ (.A0(_1470_),
+    .A1(_1948_),
+    .S(_3140_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3142_));
- sky130_fd_sc_hd__mux2_1 _6452_ (.A0(\u_clk_ctrl2.gen_bit_reg[27].u_bit_reg.data_out ),
-    .A1(_1365_),
-    .S(_3142_),
+ sky130_fd_sc_hd__clkbuf_1 _6463_ (.A(_3142_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0683_));
+ sky130_fd_sc_hd__mux2_1 _6464_ (.A0(_1468_),
+    .A1(_1946_),
+    .S(_3140_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3143_));
- sky130_fd_sc_hd__clkbuf_1 _6453_ (.A(_3143_),
+ sky130_fd_sc_hd__clkbuf_1 _6465_ (.A(_3143_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0714_));
- sky130_fd_sc_hd__mux2_1 _6454_ (.A0(\u_clk_ctrl2.gen_bit_reg[26].u_bit_reg.data_out ),
-    .A1(_1362_),
-    .S(_3142_),
+    .X(_0684_));
+ sky130_fd_sc_hd__mux2_1 _6466_ (.A0(_1488_),
+    .A1(\u_async_wb.u_resp_if.grey_wr_ptr[0] ),
+    .S(_1496_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3144_));
- sky130_fd_sc_hd__clkbuf_1 _6455_ (.A(_3144_),
+ sky130_fd_sc_hd__clkbuf_1 _6467_ (.A(_3144_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0715_));
- sky130_fd_sc_hd__mux2_1 _6456_ (.A0(\u_clk_ctrl2.gen_bit_reg[25].u_bit_reg.data_out ),
-    .A1(_1358_),
-    .S(_3142_),
+    .X(_0685_));
+ sky130_fd_sc_hd__mux2_1 _6468_ (.A0(_1498_),
+    .A1(\u_async_wb.u_resp_if.grey_wr_ptr[1] ),
+    .S(_1496_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3145_));
- sky130_fd_sc_hd__clkbuf_1 _6457_ (.A(_3145_),
+ sky130_fd_sc_hd__clkbuf_1 _6469_ (.A(_3145_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0716_));
- sky130_fd_sc_hd__mux2_1 _6458_ (.A0(\u_clk_ctrl2.gen_bit_reg[24].u_bit_reg.data_out ),
-    .A1(_1355_),
-    .S(_3142_),
+    .X(_0686_));
+ sky130_fd_sc_hd__or4_2 _6470_ (.A(_0945_),
+    .B(_0925_),
+    .C(_1408_),
+    .D(_1630_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3146_));
- sky130_fd_sc_hd__clkbuf_1 _6459_ (.A(_3146_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0717_));
- sky130_fd_sc_hd__dlymetal6s2s_1 _6460_ (.A(_1589_),
+ sky130_fd_sc_hd__clkbuf_1 _6471_ (.A(_3146_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3147_));
- sky130_fd_sc_hd__mux2_1 _6461_ (.A0(\u_clk_ctrl2.gen_bit_reg[23].u_bit_reg.data_out ),
-    .A1(_1350_),
-    .S(_3147_),
+ sky130_fd_sc_hd__clkbuf_4 _6472_ (.A(_3147_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3148_));
- sky130_fd_sc_hd__clkbuf_1 _6462_ (.A(_3148_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0718_));
- sky130_fd_sc_hd__mux2_1 _6463_ (.A0(\u_clk_ctrl2.gen_bit_reg[22].u_bit_reg.data_out ),
-    .A1(_1347_),
-    .S(_3147_),
+ sky130_fd_sc_hd__mux2_1 _6473_ (.A0(_1393_),
+    .A1(\u_clk_ctrl1.gen_bit_reg[30].u_bit_reg.data_out ),
+    .S(_3148_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3149_));
- sky130_fd_sc_hd__clkbuf_1 _6464_ (.A(_3149_),
+ sky130_fd_sc_hd__clkbuf_1 _6474_ (.A(_3149_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0719_));
- sky130_fd_sc_hd__mux2_1 _6465_ (.A0(\u_clk_ctrl2.gen_bit_reg[21].u_bit_reg.data_out ),
-    .A1(_1343_),
-    .S(_3147_),
+    .X(_0687_));
+ sky130_fd_sc_hd__mux2_1 _6475_ (.A0(_1292_),
+    .A1(\u_clk_ctrl1.gen_bit_reg[2].u_bit_reg.data_out ),
+    .S(_3148_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3150_));
- sky130_fd_sc_hd__clkbuf_1 _6466_ (.A(_3150_),
+ sky130_fd_sc_hd__clkbuf_1 _6476_ (.A(_3150_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0720_));
- sky130_fd_sc_hd__mux2_1 _6467_ (.A0(\u_clk_ctrl2.gen_bit_reg[20].u_bit_reg.data_out ),
-    .A1(_1340_),
-    .S(_3147_),
+    .X(_0688_));
+ sky130_fd_sc_hd__mux2_1 _6477_ (.A0(_1387_),
+    .A1(\u_clk_ctrl1.gen_bit_reg[28].u_bit_reg.data_out ),
+    .S(_3148_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3151_));
- sky130_fd_sc_hd__clkbuf_1 _6468_ (.A(_3151_),
+ sky130_fd_sc_hd__clkbuf_1 _6478_ (.A(_3151_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0721_));
- sky130_fd_sc_hd__clkbuf_2 _6469_ (.A(_1589_),
+    .X(_0689_));
+ sky130_fd_sc_hd__mux2_1 _6479_ (.A0(_1383_),
+    .A1(\u_clk_ctrl1.gen_bit_reg[27].u_bit_reg.data_out ),
+    .S(_3148_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3152_));
- sky130_fd_sc_hd__mux2_1 _6470_ (.A0(\u_clk_ctrl2.gen_bit_reg[1].u_bit_reg.data_out ),
-    .A1(_1896_),
-    .S(_3152_),
+ sky130_fd_sc_hd__clkbuf_1 _6480_ (.A(_3152_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0690_));
+ sky130_fd_sc_hd__buf_2 _6481_ (.A(_3147_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3153_));
- sky130_fd_sc_hd__clkbuf_1 _6471_ (.A(_3153_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0722_));
- sky130_fd_sc_hd__mux2_1 _6472_ (.A0(\u_clk_ctrl2.gen_bit_reg[18].u_bit_reg.data_out ),
-    .A1(_1333_),
-    .S(_3152_),
+ sky130_fd_sc_hd__mux2_1 _6482_ (.A0(_1380_),
+    .A1(\u_clk_ctrl1.gen_bit_reg[26].u_bit_reg.data_out ),
+    .S(_3153_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3154_));
- sky130_fd_sc_hd__clkbuf_1 _6473_ (.A(_3154_),
+ sky130_fd_sc_hd__clkbuf_1 _6483_ (.A(_3154_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0723_));
- sky130_fd_sc_hd__mux2_1 _6474_ (.A0(\u_clk_ctrl2.gen_bit_reg[17].u_bit_reg.data_out ),
-    .A1(_1329_),
-    .S(_3152_),
+    .X(_0691_));
+ sky130_fd_sc_hd__mux2_1 _6484_ (.A0(_1376_),
+    .A1(\u_clk_ctrl1.gen_bit_reg[25].u_bit_reg.data_out ),
+    .S(_3153_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3155_));
- sky130_fd_sc_hd__clkbuf_1 _6475_ (.A(_3155_),
+ sky130_fd_sc_hd__clkbuf_1 _6485_ (.A(_3155_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0724_));
- sky130_fd_sc_hd__mux2_1 _6476_ (.A0(\u_clk_ctrl2.gen_bit_reg[16].u_bit_reg.data_out ),
-    .A1(_1326_),
-    .S(_3152_),
+    .X(_0692_));
+ sky130_fd_sc_hd__mux2_1 _6486_ (.A0(_1373_),
+    .A1(\u_clk_ctrl1.gen_bit_reg[24].u_bit_reg.data_out ),
+    .S(_3153_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3156_));
- sky130_fd_sc_hd__clkbuf_1 _6477_ (.A(_3156_),
+ sky130_fd_sc_hd__clkbuf_1 _6487_ (.A(_3156_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0725_));
- sky130_fd_sc_hd__clkbuf_2 _6478_ (.A(_1588_),
+    .X(_0693_));
+ sky130_fd_sc_hd__mux2_1 _6488_ (.A0(_1368_),
+    .A1(\u_clk_ctrl1.gen_bit_reg[23].u_bit_reg.data_out ),
+    .S(_3153_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3157_));
- sky130_fd_sc_hd__clkbuf_2 _6479_ (.A(_3157_),
+ sky130_fd_sc_hd__clkbuf_1 _6489_ (.A(_3157_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0694_));
+ sky130_fd_sc_hd__clkbuf_2 _6490_ (.A(_3147_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3158_));
- sky130_fd_sc_hd__mux2_1 _6480_ (.A0(\u_clk_ctrl2.gen_bit_reg[15].u_bit_reg.data_out ),
-    .A1(_1322_),
+ sky130_fd_sc_hd__mux2_1 _6491_ (.A0(_1365_),
+    .A1(\u_clk_ctrl1.gen_bit_reg[22].u_bit_reg.data_out ),
     .S(_3158_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3159_));
- sky130_fd_sc_hd__clkbuf_1 _6481_ (.A(_3159_),
+ sky130_fd_sc_hd__clkbuf_1 _6492_ (.A(_3159_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0726_));
- sky130_fd_sc_hd__mux2_1 _6482_ (.A0(\u_clk_ctrl2.gen_bit_reg[14].u_bit_reg.data_out ),
-    .A1(_1319_),
+    .X(_0695_));
+ sky130_fd_sc_hd__mux2_1 _6493_ (.A0(_1361_),
+    .A1(\u_clk_ctrl1.gen_bit_reg[21].u_bit_reg.data_out ),
     .S(_3158_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3160_));
- sky130_fd_sc_hd__clkbuf_1 _6483_ (.A(_3160_),
+ sky130_fd_sc_hd__clkbuf_1 _6494_ (.A(_3160_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0727_));
- sky130_fd_sc_hd__mux2_1 _6484_ (.A0(\u_clk_ctrl2.gen_bit_reg[13].u_bit_reg.data_out ),
-    .A1(_1314_),
+    .X(_0696_));
+ sky130_fd_sc_hd__mux2_1 _6495_ (.A0(_1358_),
+    .A1(\u_clk_ctrl1.gen_bit_reg[20].u_bit_reg.data_out ),
     .S(_3158_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3161_));
- sky130_fd_sc_hd__clkbuf_1 _6485_ (.A(_3161_),
+ sky130_fd_sc_hd__clkbuf_1 _6496_ (.A(_3161_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0728_));
- sky130_fd_sc_hd__mux2_1 _6486_ (.A0(\u_clk_ctrl2.gen_bit_reg[12].u_bit_reg.data_out ),
-    .A1(_1311_),
+    .X(_0697_));
+ sky130_fd_sc_hd__mux2_1 _6497_ (.A0(_1288_),
+    .A1(\u_clk_ctrl1.gen_bit_reg[1].u_bit_reg.data_out ),
     .S(_3158_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3162_));
- sky130_fd_sc_hd__clkbuf_1 _6487_ (.A(_3162_),
+ sky130_fd_sc_hd__clkbuf_1 _6498_ (.A(_3162_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0729_));
- sky130_fd_sc_hd__buf_2 _6488_ (.A(_3157_),
+    .X(_0698_));
+ sky130_fd_sc_hd__clkbuf_2 _6499_ (.A(_3147_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3163_));
- sky130_fd_sc_hd__mux2_1 _6489_ (.A0(\u_clk_ctrl2.gen_bit_reg[11].u_bit_reg.data_out ),
-    .A1(_1307_),
+ sky130_fd_sc_hd__mux2_1 _6500_ (.A0(_1351_),
+    .A1(\u_clk_ctrl1.gen_bit_reg[18].u_bit_reg.data_out ),
     .S(_3163_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3164_));
- sky130_fd_sc_hd__clkbuf_1 _6490_ (.A(_3164_),
+ sky130_fd_sc_hd__clkbuf_1 _6501_ (.A(_3164_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0730_));
- sky130_fd_sc_hd__mux2_1 _6491_ (.A0(\u_clk_ctrl2.gen_bit_reg[10].u_bit_reg.data_out ),
-    .A1(_1304_),
+    .X(_0699_));
+ sky130_fd_sc_hd__mux2_1 _6502_ (.A0(_1347_),
+    .A1(\u_clk_ctrl1.gen_bit_reg[17].u_bit_reg.data_out ),
     .S(_3163_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3165_));
- sky130_fd_sc_hd__clkbuf_1 _6492_ (.A(_3165_),
+ sky130_fd_sc_hd__clkbuf_1 _6503_ (.A(_3165_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0731_));
- sky130_fd_sc_hd__mux2_1 _6493_ (.A0(\u_clk_ctrl2.gen_bit_reg[0].u_bit_reg.data_out ),
-    .A1(_1894_),
+    .X(_0700_));
+ sky130_fd_sc_hd__mux2_1 _6504_ (.A0(_1344_),
+    .A1(\u_clk_ctrl1.gen_bit_reg[16].u_bit_reg.data_out ),
     .S(_3163_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3166_));
- sky130_fd_sc_hd__clkbuf_1 _6494_ (.A(_3166_),
+ sky130_fd_sc_hd__clkbuf_1 _6505_ (.A(_3166_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0732_));
- sky130_fd_sc_hd__mux2_1 _6495_ (.A0(\u_clk_ctrl2.gen_bit_reg[8].u_bit_reg.data_out ),
-    .A1(_1297_),
+    .X(_0701_));
+ sky130_fd_sc_hd__mux2_1 _6506_ (.A0(_1340_),
+    .A1(\u_clk_ctrl1.gen_bit_reg[15].u_bit_reg.data_out ),
     .S(_3163_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3167_));
- sky130_fd_sc_hd__clkbuf_1 _6496_ (.A(_3167_),
+ sky130_fd_sc_hd__clkbuf_1 _6507_ (.A(_3167_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0733_));
- sky130_fd_sc_hd__clkbuf_2 _6497_ (.A(_3157_),
+    .X(_0702_));
+ sky130_fd_sc_hd__buf_2 _6508_ (.A(_3146_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3168_));
- sky130_fd_sc_hd__mux2_1 _6498_ (.A0(\u_clk_ctrl2.gen_bit_reg[7].u_bit_reg.data_out ),
-    .A1(_1912_),
-    .S(_3168_),
+ sky130_fd_sc_hd__clkbuf_2 _6509_ (.A(_3168_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3169_));
- sky130_fd_sc_hd__clkbuf_1 _6499_ (.A(_3169_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0734_));
- sky130_fd_sc_hd__mux2_1 _6500_ (.A0(\u_clk_ctrl2.gen_bit_reg[6].u_bit_reg.data_out ),
-    .A1(_1909_),
-    .S(_3168_),
+ sky130_fd_sc_hd__mux2_1 _6510_ (.A0(_1337_),
+    .A1(\u_clk_ctrl1.gen_bit_reg[14].u_bit_reg.data_out ),
+    .S(_3169_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3170_));
- sky130_fd_sc_hd__clkbuf_1 _6501_ (.A(_3170_),
+ sky130_fd_sc_hd__clkbuf_1 _6511_ (.A(_3170_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0735_));
- sky130_fd_sc_hd__mux2_1 _6502_ (.A0(\u_clk_ctrl2.gen_bit_reg[5].u_bit_reg.data_out ),
-    .A1(_1907_),
-    .S(_3168_),
+    .X(_0703_));
+ sky130_fd_sc_hd__mux2_1 _6512_ (.A0(_1332_),
+    .A1(\u_clk_ctrl1.gen_bit_reg[13].u_bit_reg.data_out ),
+    .S(_3169_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3171_));
- sky130_fd_sc_hd__clkbuf_1 _6503_ (.A(_3171_),
+ sky130_fd_sc_hd__clkbuf_1 _6513_ (.A(_3171_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0736_));
- sky130_fd_sc_hd__mux2_1 _6504_ (.A0(\u_clk_ctrl2.gen_bit_reg[4].u_bit_reg.data_out ),
-    .A1(_1905_),
-    .S(_3168_),
+    .X(_0704_));
+ sky130_fd_sc_hd__mux2_1 _6514_ (.A0(_1329_),
+    .A1(\u_clk_ctrl1.gen_bit_reg[12].u_bit_reg.data_out ),
+    .S(_3169_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3172_));
- sky130_fd_sc_hd__clkbuf_1 _6505_ (.A(_3172_),
+ sky130_fd_sc_hd__clkbuf_1 _6515_ (.A(_3172_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0737_));
- sky130_fd_sc_hd__clkbuf_4 _6506_ (.A(_3157_),
+    .X(_0705_));
+ sky130_fd_sc_hd__mux2_1 _6516_ (.A0(_1325_),
+    .A1(\u_clk_ctrl1.gen_bit_reg[11].u_bit_reg.data_out ),
+    .S(_3169_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3173_));
- sky130_fd_sc_hd__mux2_1 _6507_ (.A0(\u_clk_ctrl2.gen_bit_reg[3].u_bit_reg.data_out ),
-    .A1(_1903_),
-    .S(_3173_),
+ sky130_fd_sc_hd__clkbuf_1 _6517_ (.A(_3173_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0706_));
+ sky130_fd_sc_hd__buf_2 _6518_ (.A(_3168_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3174_));
- sky130_fd_sc_hd__clkbuf_1 _6508_ (.A(_3174_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0738_));
- sky130_fd_sc_hd__mux2_1 _6509_ (.A0(\u_clk_ctrl2.gen_bit_reg[31].u_bit_reg.data_out ),
-    .A1(_1378_),
-    .S(_3173_),
+ sky130_fd_sc_hd__mux2_1 _6519_ (.A0(_1322_),
+    .A1(\u_clk_ctrl1.gen_bit_reg[10].u_bit_reg.data_out ),
+    .S(_3174_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3175_));
- sky130_fd_sc_hd__clkbuf_1 _6510_ (.A(_3175_),
+ sky130_fd_sc_hd__clkbuf_1 _6520_ (.A(_3175_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0739_));
- sky130_fd_sc_hd__mux2_1 _6511_ (.A0(\u_clk_ctrl2.gen_bit_reg[29].u_bit_reg.data_out ),
-    .A1(_1372_),
-    .S(_3173_),
+    .X(_0707_));
+ sky130_fd_sc_hd__mux2_1 _6521_ (.A0(_1285_),
+    .A1(\u_clk_ctrl1.gen_bit_reg[0].u_bit_reg.data_out ),
+    .S(_3174_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3176_));
- sky130_fd_sc_hd__clkbuf_1 _6512_ (.A(_3176_),
+ sky130_fd_sc_hd__clkbuf_1 _6522_ (.A(_3176_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0740_));
- sky130_fd_sc_hd__mux2_1 _6513_ (.A0(\u_clk_ctrl2.gen_bit_reg[19].u_bit_reg.data_out ),
-    .A1(_1336_),
-    .S(_3173_),
+    .X(_0708_));
+ sky130_fd_sc_hd__mux2_1 _6523_ (.A0(_1315_),
+    .A1(\u_clk_ctrl1.gen_bit_reg[8].u_bit_reg.data_out ),
+    .S(_3174_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3177_));
- sky130_fd_sc_hd__clkbuf_1 _6514_ (.A(_3177_),
+ sky130_fd_sc_hd__clkbuf_1 _6524_ (.A(_3177_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0741_));
- sky130_fd_sc_hd__mux2_1 _6515_ (.A0(_1301_),
-    .A1(\u_clk_ctrl1.gen_bit_reg[9].u_bit_reg.data_out ),
-    .S(_3134_),
+    .X(_0709_));
+ sky130_fd_sc_hd__mux2_1 _6525_ (.A0(_1309_),
+    .A1(\u_clk_ctrl1.gen_bit_reg[7].u_bit_reg.data_out ),
+    .S(_3174_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3178_));
- sky130_fd_sc_hd__clkbuf_1 _6516_ (.A(_3178_),
+ sky130_fd_sc_hd__clkbuf_1 _6526_ (.A(_3178_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0742_));
- sky130_fd_sc_hd__or4b_4 _6517_ (.A(_1254_),
-    .B(_0933_),
-    .C(_0937_),
-    .D_N(_0930_),
+    .X(_0710_));
+ sky130_fd_sc_hd__dlymetal6s2s_1 _6527_ (.A(_3168_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3179_));
- sky130_fd_sc_hd__clkbuf_2 _6518_ (.A(_3179_),
+ sky130_fd_sc_hd__mux2_1 _6528_ (.A0(_1306_),
+    .A1(\u_clk_ctrl1.gen_bit_reg[6].u_bit_reg.data_out ),
+    .S(_3179_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3180_));
- sky130_fd_sc_hd__clkbuf_2 _6519_ (.A(_3180_),
+ sky130_fd_sc_hd__clkbuf_1 _6529_ (.A(_3180_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0711_));
+ sky130_fd_sc_hd__mux2_1 _6530_ (.A0(_1302_),
+    .A1(\u_clk_ctrl1.gen_bit_reg[5].u_bit_reg.data_out ),
+    .S(_3179_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3181_));
- sky130_fd_sc_hd__mux2_1 _6520_ (.A0(_1251_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][0] ),
-    .S(_3181_),
+ sky130_fd_sc_hd__clkbuf_1 _6531_ (.A(_3181_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0712_));
+ sky130_fd_sc_hd__mux2_1 _6532_ (.A0(_1299_),
+    .A1(\u_clk_ctrl1.gen_bit_reg[4].u_bit_reg.data_out ),
+    .S(_3179_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3182_));
- sky130_fd_sc_hd__clkbuf_1 _6521_ (.A(_3182_),
+ sky130_fd_sc_hd__clkbuf_1 _6533_ (.A(_3182_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0743_));
- sky130_fd_sc_hd__mux2_1 _6522_ (.A0(_1260_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][1] ),
-    .S(_3181_),
+    .X(_0713_));
+ sky130_fd_sc_hd__mux2_1 _6534_ (.A0(_1295_),
+    .A1(\u_clk_ctrl1.gen_bit_reg[3].u_bit_reg.data_out ),
+    .S(_3179_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3183_));
- sky130_fd_sc_hd__clkbuf_1 _6523_ (.A(_3183_),
+ sky130_fd_sc_hd__clkbuf_1 _6535_ (.A(_3183_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0744_));
- sky130_fd_sc_hd__mux2_1 _6524_ (.A0(_1262_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][2] ),
-    .S(_3181_),
+    .X(_0714_));
+ sky130_fd_sc_hd__clkbuf_4 _6536_ (.A(_3168_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3184_));
- sky130_fd_sc_hd__clkbuf_1 _6525_ (.A(_3184_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0745_));
- sky130_fd_sc_hd__clkbuf_2 _6526_ (.A(_3180_),
+ sky130_fd_sc_hd__mux2_1 _6537_ (.A0(_1396_),
+    .A1(\u_clk_ctrl1.gen_bit_reg[31].u_bit_reg.data_out ),
+    .S(_3184_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3185_));
- sky130_fd_sc_hd__mux2_1 _6527_ (.A0(_1264_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][3] ),
-    .S(_3185_),
+ sky130_fd_sc_hd__clkbuf_1 _6538_ (.A(_3185_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0715_));
+ sky130_fd_sc_hd__mux2_1 _6539_ (.A0(_1390_),
+    .A1(\u_clk_ctrl1.gen_bit_reg[29].u_bit_reg.data_out ),
+    .S(_3184_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3186_));
- sky130_fd_sc_hd__clkbuf_1 _6528_ (.A(_3186_),
+ sky130_fd_sc_hd__clkbuf_1 _6540_ (.A(_3186_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0746_));
- sky130_fd_sc_hd__mux2_1 _6529_ (.A0(_1268_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][4] ),
-    .S(_3185_),
+    .X(_0716_));
+ sky130_fd_sc_hd__mux2_1 _6541_ (.A0(_1354_),
+    .A1(\u_clk_ctrl1.gen_bit_reg[19].u_bit_reg.data_out ),
+    .S(_3184_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3187_));
- sky130_fd_sc_hd__clkbuf_1 _6530_ (.A(_3187_),
+ sky130_fd_sc_hd__clkbuf_1 _6542_ (.A(_3187_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0747_));
- sky130_fd_sc_hd__mux2_1 _6531_ (.A0(_1271_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][5] ),
-    .S(_3185_),
+    .X(_0717_));
+ sky130_fd_sc_hd__mux2_1 _6543_ (.A0(_1483_),
+    .A1(_1964_),
+    .S(_3140_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3188_));
- sky130_fd_sc_hd__clkbuf_1 _6532_ (.A(_3188_),
+ sky130_fd_sc_hd__clkbuf_1 _6544_ (.A(_3188_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0748_));
- sky130_fd_sc_hd__mux2_1 _6533_ (.A0(_1275_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][6] ),
-    .S(_3185_),
+    .X(_0718_));
+ sky130_fd_sc_hd__mux2_1 _6545_ (.A0(\u_clk_ctrl2.gen_bit_reg[30].u_bit_reg.data_out ),
+    .A1(_1392_),
+    .S(_1634_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3189_));
- sky130_fd_sc_hd__clkbuf_1 _6534_ (.A(_3189_),
+ sky130_fd_sc_hd__clkbuf_1 _6546_ (.A(_3189_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0749_));
- sky130_fd_sc_hd__clkbuf_2 _6535_ (.A(_3180_),
+    .X(_0719_));
+ sky130_fd_sc_hd__mux2_1 _6547_ (.A0(\u_clk_ctrl2.gen_bit_reg[2].u_bit_reg.data_out ),
+    .A1(_1950_),
+    .S(_1634_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3190_));
- sky130_fd_sc_hd__mux2_1 _6536_ (.A0(_1278_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][7] ),
-    .S(_3190_),
+ sky130_fd_sc_hd__clkbuf_1 _6548_ (.A(_3190_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0720_));
+ sky130_fd_sc_hd__mux2_1 _6549_ (.A0(\u_clk_ctrl2.gen_bit_reg[28].u_bit_reg.data_out ),
+    .A1(_1386_),
+    .S(_1634_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3191_));
- sky130_fd_sc_hd__clkbuf_1 _6537_ (.A(_3191_),
+ sky130_fd_sc_hd__clkbuf_1 _6550_ (.A(_3191_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0750_));
- sky130_fd_sc_hd__mux2_1 _6538_ (.A0(_1282_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][8] ),
-    .S(_3190_),
+    .X(_0721_));
+ sky130_fd_sc_hd__clkbuf_2 _6551_ (.A(_1633_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3192_));
- sky130_fd_sc_hd__clkbuf_1 _6539_ (.A(_3192_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0751_));
- sky130_fd_sc_hd__mux2_1 _6540_ (.A0(_1285_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][9] ),
-    .S(_3190_),
+ sky130_fd_sc_hd__mux2_1 _6552_ (.A0(\u_clk_ctrl2.gen_bit_reg[27].u_bit_reg.data_out ),
+    .A1(_1382_),
+    .S(_3192_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3193_));
- sky130_fd_sc_hd__clkbuf_1 _6541_ (.A(_3193_),
+ sky130_fd_sc_hd__clkbuf_1 _6553_ (.A(_3193_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0752_));
- sky130_fd_sc_hd__mux2_1 _6542_ (.A0(_1289_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][10] ),
-    .S(_3190_),
+    .X(_0722_));
+ sky130_fd_sc_hd__mux2_1 _6554_ (.A0(\u_clk_ctrl2.gen_bit_reg[26].u_bit_reg.data_out ),
+    .A1(_1379_),
+    .S(_3192_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3194_));
- sky130_fd_sc_hd__clkbuf_1 _6543_ (.A(_3194_),
+ sky130_fd_sc_hd__clkbuf_1 _6555_ (.A(_3194_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0753_));
- sky130_fd_sc_hd__buf_2 _6544_ (.A(_3179_),
+    .X(_0723_));
+ sky130_fd_sc_hd__mux2_1 _6556_ (.A0(\u_clk_ctrl2.gen_bit_reg[25].u_bit_reg.data_out ),
+    .A1(_1375_),
+    .S(_3192_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3195_));
- sky130_fd_sc_hd__clkbuf_4 _6545_ (.A(_3195_),
+ sky130_fd_sc_hd__clkbuf_1 _6557_ (.A(_3195_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0724_));
+ sky130_fd_sc_hd__mux2_1 _6558_ (.A0(\u_clk_ctrl2.gen_bit_reg[24].u_bit_reg.data_out ),
+    .A1(_1372_),
+    .S(_3192_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3196_));
- sky130_fd_sc_hd__buf_2 _6546_ (.A(_3196_),
+ sky130_fd_sc_hd__clkbuf_1 _6559_ (.A(_3196_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0725_));
+ sky130_fd_sc_hd__clkbuf_2 _6560_ (.A(_1633_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3197_));
- sky130_fd_sc_hd__mux2_1 _6547_ (.A0(_1292_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][11] ),
+ sky130_fd_sc_hd__mux2_1 _6561_ (.A0(\u_clk_ctrl2.gen_bit_reg[23].u_bit_reg.data_out ),
+    .A1(_1367_),
     .S(_3197_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3198_));
- sky130_fd_sc_hd__clkbuf_1 _6548_ (.A(_3198_),
+ sky130_fd_sc_hd__clkbuf_1 _6562_ (.A(_3198_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0754_));
- sky130_fd_sc_hd__mux2_1 _6549_ (.A0(_1298_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][12] ),
+    .X(_0726_));
+ sky130_fd_sc_hd__mux2_1 _6563_ (.A0(\u_clk_ctrl2.gen_bit_reg[22].u_bit_reg.data_out ),
+    .A1(_1364_),
     .S(_3197_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3199_));
- sky130_fd_sc_hd__clkbuf_1 _6550_ (.A(_3199_),
+ sky130_fd_sc_hd__clkbuf_1 _6564_ (.A(_3199_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0755_));
- sky130_fd_sc_hd__mux2_1 _6551_ (.A0(_1301_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][13] ),
+    .X(_0727_));
+ sky130_fd_sc_hd__mux2_1 _6565_ (.A0(\u_clk_ctrl2.gen_bit_reg[21].u_bit_reg.data_out ),
+    .A1(_1360_),
     .S(_3197_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3200_));
- sky130_fd_sc_hd__clkbuf_1 _6552_ (.A(_3200_),
+ sky130_fd_sc_hd__clkbuf_1 _6566_ (.A(_3200_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0756_));
- sky130_fd_sc_hd__mux2_1 _6553_ (.A0(_1305_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][14] ),
+    .X(_0728_));
+ sky130_fd_sc_hd__mux2_1 _6567_ (.A0(\u_clk_ctrl2.gen_bit_reg[20].u_bit_reg.data_out ),
+    .A1(_1357_),
     .S(_3197_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3201_));
- sky130_fd_sc_hd__clkbuf_1 _6554_ (.A(_3201_),
+ sky130_fd_sc_hd__clkbuf_1 _6568_ (.A(_3201_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0757_));
- sky130_fd_sc_hd__clkbuf_2 _6555_ (.A(_3196_),
+    .X(_0729_));
+ sky130_fd_sc_hd__buf_2 _6569_ (.A(_1633_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3202_));
- sky130_fd_sc_hd__mux2_1 _6556_ (.A0(_1308_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][15] ),
+ sky130_fd_sc_hd__mux2_1 _6570_ (.A0(\u_clk_ctrl2.gen_bit_reg[1].u_bit_reg.data_out ),
+    .A1(_1948_),
     .S(_3202_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3203_));
- sky130_fd_sc_hd__clkbuf_1 _6557_ (.A(_3203_),
+ sky130_fd_sc_hd__clkbuf_1 _6571_ (.A(_3203_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0758_));
- sky130_fd_sc_hd__mux2_1 _6558_ (.A0(_1312_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][16] ),
+    .X(_0730_));
+ sky130_fd_sc_hd__mux2_1 _6572_ (.A0(\u_clk_ctrl2.gen_bit_reg[18].u_bit_reg.data_out ),
+    .A1(_1350_),
     .S(_3202_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3204_));
- sky130_fd_sc_hd__clkbuf_1 _6559_ (.A(_3204_),
+ sky130_fd_sc_hd__clkbuf_1 _6573_ (.A(_3204_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0759_));
- sky130_fd_sc_hd__mux2_1 _6560_ (.A0(_1315_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][17] ),
+    .X(_0731_));
+ sky130_fd_sc_hd__mux2_1 _6574_ (.A0(\u_clk_ctrl2.gen_bit_reg[17].u_bit_reg.data_out ),
+    .A1(_1346_),
     .S(_3202_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3205_));
- sky130_fd_sc_hd__clkbuf_1 _6561_ (.A(_3205_),
+ sky130_fd_sc_hd__clkbuf_1 _6575_ (.A(_3205_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0760_));
- sky130_fd_sc_hd__mux2_1 _6562_ (.A0(_1320_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][18] ),
+    .X(_0732_));
+ sky130_fd_sc_hd__mux2_1 _6576_ (.A0(\u_clk_ctrl2.gen_bit_reg[16].u_bit_reg.data_out ),
+    .A1(_1343_),
     .S(_3202_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3206_));
- sky130_fd_sc_hd__clkbuf_1 _6563_ (.A(_3206_),
+ sky130_fd_sc_hd__clkbuf_1 _6577_ (.A(_3206_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0761_));
- sky130_fd_sc_hd__clkbuf_2 _6564_ (.A(_3196_),
+    .X(_0733_));
+ sky130_fd_sc_hd__buf_2 _6578_ (.A(_1632_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3207_));
- sky130_fd_sc_hd__mux2_1 _6565_ (.A0(_1323_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][19] ),
-    .S(_3207_),
+ sky130_fd_sc_hd__clkbuf_2 _6579_ (.A(_3207_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3208_));
- sky130_fd_sc_hd__clkbuf_1 _6566_ (.A(_3208_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0762_));
- sky130_fd_sc_hd__mux2_1 _6567_ (.A0(_1327_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][20] ),
-    .S(_3207_),
+ sky130_fd_sc_hd__mux2_1 _6580_ (.A0(\u_clk_ctrl2.gen_bit_reg[15].u_bit_reg.data_out ),
+    .A1(_1339_),
+    .S(_3208_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3209_));
- sky130_fd_sc_hd__clkbuf_1 _6568_ (.A(_3209_),
+ sky130_fd_sc_hd__clkbuf_1 _6581_ (.A(_3209_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0763_));
- sky130_fd_sc_hd__mux2_1 _6569_ (.A0(_1330_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][21] ),
-    .S(_3207_),
+    .X(_0734_));
+ sky130_fd_sc_hd__mux2_1 _6582_ (.A0(\u_clk_ctrl2.gen_bit_reg[14].u_bit_reg.data_out ),
+    .A1(_1336_),
+    .S(_3208_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3210_));
- sky130_fd_sc_hd__clkbuf_1 _6570_ (.A(_3210_),
+ sky130_fd_sc_hd__clkbuf_1 _6583_ (.A(_3210_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0764_));
- sky130_fd_sc_hd__mux2_1 _6571_ (.A0(_1334_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][22] ),
-    .S(_3207_),
+    .X(_0735_));
+ sky130_fd_sc_hd__mux2_1 _6584_ (.A0(\u_clk_ctrl2.gen_bit_reg[13].u_bit_reg.data_out ),
+    .A1(_1331_),
+    .S(_3208_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3211_));
- sky130_fd_sc_hd__clkbuf_1 _6572_ (.A(_3211_),
+ sky130_fd_sc_hd__clkbuf_1 _6585_ (.A(_3211_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0765_));
- sky130_fd_sc_hd__clkbuf_2 _6573_ (.A(_3196_),
+    .X(_0736_));
+ sky130_fd_sc_hd__mux2_1 _6586_ (.A0(\u_clk_ctrl2.gen_bit_reg[12].u_bit_reg.data_out ),
+    .A1(_1328_),
+    .S(_3208_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3212_));
- sky130_fd_sc_hd__mux2_1 _6574_ (.A0(_1337_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][23] ),
-    .S(_3212_),
+ sky130_fd_sc_hd__clkbuf_1 _6587_ (.A(_3212_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0737_));
+ sky130_fd_sc_hd__buf_2 _6588_ (.A(_3207_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3213_));
- sky130_fd_sc_hd__clkbuf_1 _6575_ (.A(_3213_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0766_));
- sky130_fd_sc_hd__mux2_1 _6576_ (.A0(_1341_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][24] ),
-    .S(_3212_),
+ sky130_fd_sc_hd__mux2_1 _6589_ (.A0(\u_clk_ctrl2.gen_bit_reg[11].u_bit_reg.data_out ),
+    .A1(_1324_),
+    .S(_3213_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3214_));
- sky130_fd_sc_hd__clkbuf_1 _6577_ (.A(_3214_),
+ sky130_fd_sc_hd__clkbuf_1 _6590_ (.A(_3214_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0767_));
- sky130_fd_sc_hd__mux2_1 _6578_ (.A0(_1344_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][25] ),
-    .S(_3212_),
+    .X(_0738_));
+ sky130_fd_sc_hd__mux2_1 _6591_ (.A0(\u_clk_ctrl2.gen_bit_reg[10].u_bit_reg.data_out ),
+    .A1(_1321_),
+    .S(_3213_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3215_));
- sky130_fd_sc_hd__clkbuf_1 _6579_ (.A(_3215_),
+ sky130_fd_sc_hd__clkbuf_1 _6592_ (.A(_3215_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0768_));
- sky130_fd_sc_hd__mux2_1 _6580_ (.A0(_1348_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][26] ),
-    .S(_3212_),
+    .X(_0739_));
+ sky130_fd_sc_hd__mux2_1 _6593_ (.A0(\u_clk_ctrl2.gen_bit_reg[0].u_bit_reg.data_out ),
+    .A1(_1946_),
+    .S(_3213_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3216_));
- sky130_fd_sc_hd__clkbuf_1 _6581_ (.A(_3216_),
+ sky130_fd_sc_hd__clkbuf_1 _6594_ (.A(_3216_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0769_));
- sky130_fd_sc_hd__buf_2 _6582_ (.A(_3195_),
+    .X(_0740_));
+ sky130_fd_sc_hd__mux2_1 _6595_ (.A0(\u_clk_ctrl2.gen_bit_reg[8].u_bit_reg.data_out ),
+    .A1(_1314_),
+    .S(_3213_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3217_));
- sky130_fd_sc_hd__clkbuf_2 _6583_ (.A(_3217_),
+ sky130_fd_sc_hd__clkbuf_1 _6596_ (.A(_3217_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0741_));
+ sky130_fd_sc_hd__clkbuf_2 _6597_ (.A(_3207_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3218_));
- sky130_fd_sc_hd__mux2_1 _6584_ (.A0(_1351_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][27] ),
+ sky130_fd_sc_hd__mux2_1 _6598_ (.A0(\u_clk_ctrl2.gen_bit_reg[7].u_bit_reg.data_out ),
+    .A1(_1964_),
     .S(_3218_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3219_));
- sky130_fd_sc_hd__clkbuf_1 _6585_ (.A(_3219_),
+ sky130_fd_sc_hd__clkbuf_1 _6599_ (.A(_3219_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0770_));
- sky130_fd_sc_hd__mux2_1 _6586_ (.A0(_1356_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][28] ),
+    .X(_0742_));
+ sky130_fd_sc_hd__mux2_1 _6600_ (.A0(\u_clk_ctrl2.gen_bit_reg[6].u_bit_reg.data_out ),
+    .A1(_1961_),
     .S(_3218_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3220_));
- sky130_fd_sc_hd__clkbuf_1 _6587_ (.A(_3220_),
+ sky130_fd_sc_hd__clkbuf_1 _6601_ (.A(_3220_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0771_));
- sky130_fd_sc_hd__mux2_1 _6588_ (.A0(_1359_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][29] ),
+    .X(_0743_));
+ sky130_fd_sc_hd__mux2_1 _6602_ (.A0(\u_clk_ctrl2.gen_bit_reg[5].u_bit_reg.data_out ),
+    .A1(_1959_),
     .S(_3218_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3221_));
- sky130_fd_sc_hd__clkbuf_1 _6589_ (.A(_3221_),
+ sky130_fd_sc_hd__clkbuf_1 _6603_ (.A(_3221_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0772_));
- sky130_fd_sc_hd__mux2_1 _6590_ (.A0(_1363_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][30] ),
+    .X(_0744_));
+ sky130_fd_sc_hd__mux2_1 _6604_ (.A0(\u_clk_ctrl2.gen_bit_reg[4].u_bit_reg.data_out ),
+    .A1(_1957_),
     .S(_3218_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3222_));
- sky130_fd_sc_hd__clkbuf_1 _6591_ (.A(_3222_),
+ sky130_fd_sc_hd__clkbuf_1 _6605_ (.A(_3222_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0773_));
- sky130_fd_sc_hd__clkbuf_2 _6592_ (.A(_3217_),
+    .X(_0745_));
+ sky130_fd_sc_hd__buf_2 _6606_ (.A(_3207_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3223_));
- sky130_fd_sc_hd__mux2_1 _6593_ (.A0(_1366_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][31] ),
+ sky130_fd_sc_hd__mux2_1 _6607_ (.A0(\u_clk_ctrl2.gen_bit_reg[3].u_bit_reg.data_out ),
+    .A1(_1955_),
     .S(_3223_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3224_));
- sky130_fd_sc_hd__clkbuf_1 _6594_ (.A(_3224_),
+ sky130_fd_sc_hd__clkbuf_1 _6608_ (.A(_3224_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0774_));
- sky130_fd_sc_hd__mux2_1 _6595_ (.A0(_1370_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][32] ),
+    .X(_0746_));
+ sky130_fd_sc_hd__mux2_1 _6609_ (.A0(\u_clk_ctrl2.gen_bit_reg[31].u_bit_reg.data_out ),
+    .A1(_1395_),
     .S(_3223_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3225_));
- sky130_fd_sc_hd__clkbuf_1 _6596_ (.A(_3225_),
+ sky130_fd_sc_hd__clkbuf_1 _6610_ (.A(_3225_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0775_));
- sky130_fd_sc_hd__mux2_1 _6597_ (.A0(_1373_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][33] ),
+    .X(_0747_));
+ sky130_fd_sc_hd__mux2_1 _6611_ (.A0(\u_clk_ctrl2.gen_bit_reg[29].u_bit_reg.data_out ),
+    .A1(_1389_),
     .S(_3223_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3226_));
- sky130_fd_sc_hd__clkbuf_1 _6598_ (.A(_3226_),
+ sky130_fd_sc_hd__clkbuf_1 _6612_ (.A(_3226_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0776_));
- sky130_fd_sc_hd__mux2_1 _6599_ (.A0(_1376_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][34] ),
+    .X(_0748_));
+ sky130_fd_sc_hd__mux2_1 _6613_ (.A0(\u_clk_ctrl2.gen_bit_reg[19].u_bit_reg.data_out ),
+    .A1(_1353_),
     .S(_3223_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3227_));
- sky130_fd_sc_hd__clkbuf_1 _6600_ (.A(_3227_),
+ sky130_fd_sc_hd__clkbuf_1 _6614_ (.A(_3227_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0777_));
- sky130_fd_sc_hd__buf_2 _6601_ (.A(_3217_),
+    .X(_0749_));
+ sky130_fd_sc_hd__mux2_1 _6615_ (.A0(_1318_),
+    .A1(\u_clk_ctrl1.gen_bit_reg[9].u_bit_reg.data_out ),
+    .S(_3184_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3228_));
- sky130_fd_sc_hd__mux2_1 _6602_ (.A0(_1379_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][35] ),
-    .S(_3228_),
+ sky130_fd_sc_hd__clkbuf_1 _6616_ (.A(_3228_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0750_));
+ sky130_fd_sc_hd__or4b_4 _6617_ (.A(_1271_),
+    .B(_0933_),
+    .C(_0938_),
+    .D_N(_0929_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3229_));
- sky130_fd_sc_hd__clkbuf_1 _6603_ (.A(_3229_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0778_));
- sky130_fd_sc_hd__mux2_1 _6604_ (.A0(_1382_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][36] ),
-    .S(_3228_),
+ sky130_fd_sc_hd__dlymetal6s2s_1 _6618_ (.A(_3229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3230_));
- sky130_fd_sc_hd__clkbuf_1 _6605_ (.A(_3230_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0779_));
- sky130_fd_sc_hd__mux2_1 _6606_ (.A0(_1384_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][37] ),
-    .S(_3228_),
+ sky130_fd_sc_hd__clkbuf_2 _6619_ (.A(_3230_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3231_));
- sky130_fd_sc_hd__clkbuf_1 _6607_ (.A(_3231_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0780_));
- sky130_fd_sc_hd__mux2_1 _6608_ (.A0(_1387_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][38] ),
-    .S(_3228_),
+ sky130_fd_sc_hd__mux2_1 _6620_ (.A0(_1268_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][0] ),
+    .S(_3231_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3232_));
- sky130_fd_sc_hd__clkbuf_1 _6609_ (.A(_3232_),
+ sky130_fd_sc_hd__clkbuf_1 _6621_ (.A(_3232_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0781_));
- sky130_fd_sc_hd__clkbuf_2 _6610_ (.A(_3217_),
+    .X(_0751_));
+ sky130_fd_sc_hd__mux2_1 _6622_ (.A0(_1277_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][1] ),
+    .S(_3231_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3233_));
- sky130_fd_sc_hd__mux2_1 _6611_ (.A0(_1391_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][39] ),
-    .S(_3233_),
+ sky130_fd_sc_hd__clkbuf_1 _6623_ (.A(_3233_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0752_));
+ sky130_fd_sc_hd__mux2_1 _6624_ (.A0(_1279_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][2] ),
+    .S(_3231_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3234_));
- sky130_fd_sc_hd__clkbuf_1 _6612_ (.A(_3234_),
+ sky130_fd_sc_hd__clkbuf_1 _6625_ (.A(_3234_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0782_));
- sky130_fd_sc_hd__mux2_1 _6613_ (.A0(_1398_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][40] ),
-    .S(_3233_),
+    .X(_0753_));
+ sky130_fd_sc_hd__clkbuf_2 _6626_ (.A(_3230_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3235_));
- sky130_fd_sc_hd__clkbuf_1 _6614_ (.A(_3235_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0783_));
- sky130_fd_sc_hd__mux2_1 _6615_ (.A0(_1400_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][41] ),
-    .S(_3233_),
+ sky130_fd_sc_hd__mux2_1 _6627_ (.A0(_1281_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][3] ),
+    .S(_3235_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3236_));
- sky130_fd_sc_hd__clkbuf_1 _6616_ (.A(_3236_),
+ sky130_fd_sc_hd__clkbuf_1 _6628_ (.A(_3236_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0784_));
- sky130_fd_sc_hd__mux2_1 _6617_ (.A0(_1402_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][42] ),
-    .S(_3233_),
+    .X(_0754_));
+ sky130_fd_sc_hd__mux2_1 _6629_ (.A0(_1285_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][4] ),
+    .S(_3235_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3237_));
- sky130_fd_sc_hd__clkbuf_1 _6618_ (.A(_3237_),
+ sky130_fd_sc_hd__clkbuf_1 _6630_ (.A(_3237_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0785_));
- sky130_fd_sc_hd__clkbuf_4 _6619_ (.A(_3179_),
+    .X(_0755_));
+ sky130_fd_sc_hd__mux2_1 _6631_ (.A0(_1288_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][5] ),
+    .S(_3235_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3238_));
- sky130_fd_sc_hd__clkbuf_2 _6620_ (.A(_3238_),
+ sky130_fd_sc_hd__clkbuf_1 _6632_ (.A(_3238_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0756_));
+ sky130_fd_sc_hd__mux2_1 _6633_ (.A0(_1292_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][6] ),
+    .S(_3235_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3239_));
- sky130_fd_sc_hd__mux2_1 _6621_ (.A0(_1404_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][43] ),
-    .S(_3239_),
+ sky130_fd_sc_hd__clkbuf_1 _6634_ (.A(_3239_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0757_));
+ sky130_fd_sc_hd__clkbuf_2 _6635_ (.A(_3230_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3240_));
- sky130_fd_sc_hd__clkbuf_1 _6622_ (.A(_3240_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0786_));
- sky130_fd_sc_hd__mux2_1 _6623_ (.A0(_1410_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][44] ),
-    .S(_3239_),
+ sky130_fd_sc_hd__mux2_1 _6636_ (.A0(_1295_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][7] ),
+    .S(_3240_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3241_));
- sky130_fd_sc_hd__clkbuf_1 _6624_ (.A(_3241_),
+ sky130_fd_sc_hd__clkbuf_1 _6637_ (.A(_3241_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0787_));
- sky130_fd_sc_hd__mux2_1 _6625_ (.A0(_1412_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][45] ),
-    .S(_3239_),
+    .X(_0758_));
+ sky130_fd_sc_hd__mux2_1 _6638_ (.A0(_1299_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][8] ),
+    .S(_3240_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3242_));
- sky130_fd_sc_hd__clkbuf_1 _6626_ (.A(_3242_),
+ sky130_fd_sc_hd__clkbuf_1 _6639_ (.A(_3242_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0788_));
- sky130_fd_sc_hd__mux2_1 _6627_ (.A0(_1414_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][46] ),
-    .S(_3239_),
+    .X(_0759_));
+ sky130_fd_sc_hd__mux2_1 _6640_ (.A0(_1302_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][9] ),
+    .S(_3240_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3243_));
- sky130_fd_sc_hd__clkbuf_1 _6628_ (.A(_3243_),
+ sky130_fd_sc_hd__clkbuf_1 _6641_ (.A(_3243_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0789_));
- sky130_fd_sc_hd__clkbuf_2 _6629_ (.A(_3238_),
+    .X(_0760_));
+ sky130_fd_sc_hd__mux2_1 _6642_ (.A0(_1306_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][10] ),
+    .S(_3240_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3244_));
- sky130_fd_sc_hd__mux2_1 _6630_ (.A0(_1416_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][47] ),
-    .S(_3244_),
+ sky130_fd_sc_hd__clkbuf_1 _6643_ (.A(_3244_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0761_));
+ sky130_fd_sc_hd__clkbuf_4 _6644_ (.A(_3229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3245_));
- sky130_fd_sc_hd__clkbuf_1 _6631_ (.A(_3245_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0790_));
- sky130_fd_sc_hd__mux2_1 _6632_ (.A0(_1420_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][48] ),
-    .S(_3244_),
+ sky130_fd_sc_hd__buf_2 _6645_ (.A(_3245_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3246_));
- sky130_fd_sc_hd__clkbuf_1 _6633_ (.A(_3246_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0791_));
- sky130_fd_sc_hd__mux2_1 _6634_ (.A0(_1422_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][49] ),
-    .S(_3244_),
+ sky130_fd_sc_hd__clkbuf_2 _6646_ (.A(_3246_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3247_));
- sky130_fd_sc_hd__clkbuf_1 _6635_ (.A(_3247_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0792_));
- sky130_fd_sc_hd__mux2_1 _6636_ (.A0(_1424_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][50] ),
-    .S(_3244_),
+ sky130_fd_sc_hd__mux2_1 _6647_ (.A0(_1309_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][11] ),
+    .S(_3247_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3248_));
- sky130_fd_sc_hd__clkbuf_1 _6637_ (.A(_3248_),
+ sky130_fd_sc_hd__clkbuf_1 _6648_ (.A(_3248_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0793_));
- sky130_fd_sc_hd__buf_2 _6638_ (.A(_3238_),
+    .X(_0762_));
+ sky130_fd_sc_hd__mux2_1 _6649_ (.A0(_1315_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][12] ),
+    .S(_3247_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3249_));
- sky130_fd_sc_hd__mux2_1 _6639_ (.A0(_1426_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][51] ),
-    .S(_3249_),
+ sky130_fd_sc_hd__clkbuf_1 _6650_ (.A(_3249_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0763_));
+ sky130_fd_sc_hd__mux2_1 _6651_ (.A0(_1318_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][13] ),
+    .S(_3247_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3250_));
- sky130_fd_sc_hd__clkbuf_1 _6640_ (.A(_3250_),
+ sky130_fd_sc_hd__clkbuf_1 _6652_ (.A(_3250_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0794_));
- sky130_fd_sc_hd__mux2_1 _6641_ (.A0(_1430_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][52] ),
-    .S(_3249_),
+    .X(_0764_));
+ sky130_fd_sc_hd__mux2_1 _6653_ (.A0(_1322_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][14] ),
+    .S(_3247_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3251_));
- sky130_fd_sc_hd__clkbuf_1 _6642_ (.A(_3251_),
+ sky130_fd_sc_hd__clkbuf_1 _6654_ (.A(_3251_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0795_));
- sky130_fd_sc_hd__mux2_1 _6643_ (.A0(_1432_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][53] ),
-    .S(_3249_),
+    .X(_0765_));
+ sky130_fd_sc_hd__buf_2 _6655_ (.A(_3246_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3252_));
- sky130_fd_sc_hd__clkbuf_1 _6644_ (.A(_3252_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0796_));
- sky130_fd_sc_hd__mux2_1 _6645_ (.A0(_1434_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][54] ),
-    .S(_3249_),
+ sky130_fd_sc_hd__mux2_1 _6656_ (.A0(_1325_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][15] ),
+    .S(_3252_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3253_));
- sky130_fd_sc_hd__clkbuf_1 _6646_ (.A(_3253_),
+ sky130_fd_sc_hd__clkbuf_1 _6657_ (.A(_3253_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0797_));
- sky130_fd_sc_hd__clkbuf_2 _6647_ (.A(_3238_),
+    .X(_0766_));
+ sky130_fd_sc_hd__mux2_1 _6658_ (.A0(_1329_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][16] ),
+    .S(_3252_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3254_));
- sky130_fd_sc_hd__mux2_1 _6648_ (.A0(_1436_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][55] ),
-    .S(_3254_),
+ sky130_fd_sc_hd__clkbuf_1 _6659_ (.A(_3254_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0767_));
+ sky130_fd_sc_hd__mux2_1 _6660_ (.A0(_1332_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][17] ),
+    .S(_3252_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3255_));
- sky130_fd_sc_hd__clkbuf_1 _6649_ (.A(_3255_),
+ sky130_fd_sc_hd__clkbuf_1 _6661_ (.A(_3255_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0798_));
- sky130_fd_sc_hd__mux2_1 _6650_ (.A0(_1440_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][56] ),
-    .S(_3254_),
+    .X(_0768_));
+ sky130_fd_sc_hd__mux2_1 _6662_ (.A0(_1337_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][18] ),
+    .S(_3252_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3256_));
- sky130_fd_sc_hd__clkbuf_1 _6651_ (.A(_3256_),
+ sky130_fd_sc_hd__clkbuf_1 _6663_ (.A(_3256_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0799_));
- sky130_fd_sc_hd__mux2_1 _6652_ (.A0(_1442_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][57] ),
-    .S(_3254_),
+    .X(_0769_));
+ sky130_fd_sc_hd__clkbuf_2 _6664_ (.A(_3246_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3257_));
- sky130_fd_sc_hd__clkbuf_1 _6653_ (.A(_3257_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0800_));
- sky130_fd_sc_hd__mux2_1 _6654_ (.A0(_1444_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][58] ),
-    .S(_3254_),
+ sky130_fd_sc_hd__mux2_1 _6665_ (.A0(_1340_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][19] ),
+    .S(_3257_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3258_));
- sky130_fd_sc_hd__clkbuf_1 _6655_ (.A(_3258_),
+ sky130_fd_sc_hd__clkbuf_1 _6666_ (.A(_3258_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0801_));
- sky130_fd_sc_hd__clkbuf_2 _6656_ (.A(_3195_),
+    .X(_0770_));
+ sky130_fd_sc_hd__mux2_1 _6667_ (.A0(_1344_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][20] ),
+    .S(_3257_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3259_));
- sky130_fd_sc_hd__mux2_1 _6657_ (.A0(_1446_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][59] ),
-    .S(_3259_),
+ sky130_fd_sc_hd__clkbuf_1 _6668_ (.A(_3259_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0771_));
+ sky130_fd_sc_hd__mux2_1 _6669_ (.A0(_1347_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][21] ),
+    .S(_3257_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3260_));
- sky130_fd_sc_hd__clkbuf_1 _6658_ (.A(_3260_),
+ sky130_fd_sc_hd__clkbuf_1 _6670_ (.A(_3260_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0802_));
- sky130_fd_sc_hd__and2_1 _6659_ (.A(\u_async_wb.u_cmd_if.mem[1][60] ),
-    .B(_3181_),
+    .X(_0772_));
+ sky130_fd_sc_hd__mux2_1 _6671_ (.A0(_1351_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][22] ),
+    .S(_3257_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3261_));
- sky130_fd_sc_hd__clkbuf_1 _6660_ (.A(_3261_),
+ sky130_fd_sc_hd__clkbuf_1 _6672_ (.A(_3261_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0803_));
- sky130_fd_sc_hd__mux2_1 _6661_ (.A0(_1450_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][61] ),
-    .S(_3259_),
+    .X(_0773_));
+ sky130_fd_sc_hd__clkbuf_2 _6673_ (.A(_3246_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3262_));
- sky130_fd_sc_hd__clkbuf_1 _6662_ (.A(_3262_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0804_));
- sky130_fd_sc_hd__mux2_1 _6663_ (.A0(_1452_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][62] ),
-    .S(_3259_),
+ sky130_fd_sc_hd__mux2_1 _6674_ (.A0(_1354_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][23] ),
+    .S(_3262_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3263_));
- sky130_fd_sc_hd__clkbuf_1 _6664_ (.A(_3263_),
+ sky130_fd_sc_hd__clkbuf_1 _6675_ (.A(_3263_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0805_));
- sky130_fd_sc_hd__mux2_1 _6665_ (.A0(_1454_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][63] ),
-    .S(_3259_),
+    .X(_0774_));
+ sky130_fd_sc_hd__mux2_1 _6676_ (.A0(_1358_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][24] ),
+    .S(_3262_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3264_));
- sky130_fd_sc_hd__clkbuf_1 _6666_ (.A(_3264_),
+ sky130_fd_sc_hd__clkbuf_1 _6677_ (.A(_3264_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0806_));
- sky130_fd_sc_hd__clkbuf_2 _6667_ (.A(_3195_),
+    .X(_0775_));
+ sky130_fd_sc_hd__mux2_1 _6678_ (.A0(_1361_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][25] ),
+    .S(_3262_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3265_));
- sky130_fd_sc_hd__mux2_1 _6668_ (.A0(_1456_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][64] ),
-    .S(_3265_),
+ sky130_fd_sc_hd__clkbuf_1 _6679_ (.A(_3265_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0776_));
+ sky130_fd_sc_hd__mux2_1 _6680_ (.A0(_1365_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][26] ),
+    .S(_3262_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3266_));
- sky130_fd_sc_hd__clkbuf_1 _6669_ (.A(_3266_),
+ sky130_fd_sc_hd__clkbuf_1 _6681_ (.A(_3266_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0807_));
- sky130_fd_sc_hd__mux2_1 _6670_ (.A0(_1459_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][65] ),
-    .S(_3265_),
+    .X(_0777_));
+ sky130_fd_sc_hd__buf_2 _6682_ (.A(_3245_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3267_));
- sky130_fd_sc_hd__clkbuf_1 _6671_ (.A(_3267_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0808_));
- sky130_fd_sc_hd__mux2_1 _6672_ (.A0(_1461_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][66] ),
-    .S(_3265_),
+ sky130_fd_sc_hd__buf_2 _6683_ (.A(_3267_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3268_));
- sky130_fd_sc_hd__clkbuf_1 _6673_ (.A(_3268_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0809_));
- sky130_fd_sc_hd__mux2_1 _6674_ (.A0(_1463_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][67] ),
-    .S(_3265_),
+ sky130_fd_sc_hd__mux2_1 _6684_ (.A0(_1368_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][27] ),
+    .S(_3268_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3269_));
- sky130_fd_sc_hd__clkbuf_1 _6675_ (.A(_3269_),
+ sky130_fd_sc_hd__clkbuf_1 _6685_ (.A(_3269_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0810_));
- sky130_fd_sc_hd__mux2_1 _6676_ (.A0(_1465_),
-    .A1(\u_async_wb.u_cmd_if.mem[1][68] ),
-    .S(_3180_),
+    .X(_0778_));
+ sky130_fd_sc_hd__mux2_1 _6686_ (.A0(_1373_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][28] ),
+    .S(_3268_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3270_));
- sky130_fd_sc_hd__clkbuf_1 _6677_ (.A(_3270_),
+ sky130_fd_sc_hd__clkbuf_1 _6687_ (.A(_3270_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0811_));
- sky130_fd_sc_hd__or4_4 _6678_ (.A(_0930_),
-    .B(_1485_),
-    .C(_0933_),
-    .D(_0937_),
+    .X(_0779_));
+ sky130_fd_sc_hd__mux2_1 _6688_ (.A0(_1376_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][29] ),
+    .S(_3268_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3271_));
- sky130_fd_sc_hd__clkbuf_2 _6679_ (.A(_3271_),
+ sky130_fd_sc_hd__clkbuf_1 _6689_ (.A(_3271_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0780_));
+ sky130_fd_sc_hd__mux2_1 _6690_ (.A0(_1380_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][30] ),
+    .S(_3268_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3272_));
- sky130_fd_sc_hd__clkbuf_2 _6680_ (.A(_3272_),
+ sky130_fd_sc_hd__clkbuf_1 _6691_ (.A(_3272_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0781_));
+ sky130_fd_sc_hd__clkbuf_2 _6692_ (.A(_3267_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3273_));
- sky130_fd_sc_hd__mux2_1 _6681_ (.A0(_1251_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][0] ),
+ sky130_fd_sc_hd__mux2_1 _6693_ (.A0(_1383_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][31] ),
     .S(_3273_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3274_));
- sky130_fd_sc_hd__clkbuf_1 _6682_ (.A(_3274_),
+ sky130_fd_sc_hd__clkbuf_1 _6694_ (.A(_3274_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0812_));
- sky130_fd_sc_hd__mux2_1 _6683_ (.A0(_1260_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][1] ),
+    .X(_0782_));
+ sky130_fd_sc_hd__mux2_1 _6695_ (.A0(_1387_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][32] ),
     .S(_3273_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3275_));
- sky130_fd_sc_hd__clkbuf_1 _6684_ (.A(_3275_),
+ sky130_fd_sc_hd__clkbuf_1 _6696_ (.A(_3275_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0813_));
- sky130_fd_sc_hd__mux2_1 _6685_ (.A0(_1262_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][2] ),
+    .X(_0783_));
+ sky130_fd_sc_hd__mux2_1 _6697_ (.A0(_1390_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][33] ),
     .S(_3273_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3276_));
- sky130_fd_sc_hd__clkbuf_1 _6686_ (.A(_3276_),
+ sky130_fd_sc_hd__clkbuf_1 _6698_ (.A(_3276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0814_));
- sky130_fd_sc_hd__clkbuf_2 _6687_ (.A(_3272_),
+    .X(_0784_));
+ sky130_fd_sc_hd__mux2_1 _6699_ (.A0(_1393_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][34] ),
+    .S(_3273_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3277_));
- sky130_fd_sc_hd__mux2_1 _6688_ (.A0(_1264_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][3] ),
-    .S(_3277_),
+ sky130_fd_sc_hd__clkbuf_1 _6700_ (.A(_3277_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0785_));
+ sky130_fd_sc_hd__buf_2 _6701_ (.A(_3267_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3278_));
- sky130_fd_sc_hd__clkbuf_1 _6689_ (.A(_3278_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0815_));
- sky130_fd_sc_hd__mux2_1 _6690_ (.A0(_1894_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][4] ),
-    .S(_3277_),
+ sky130_fd_sc_hd__mux2_1 _6702_ (.A0(_1396_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][35] ),
+    .S(_3278_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3279_));
- sky130_fd_sc_hd__clkbuf_1 _6691_ (.A(_3279_),
+ sky130_fd_sc_hd__clkbuf_1 _6703_ (.A(_3279_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0816_));
- sky130_fd_sc_hd__mux2_1 _6692_ (.A0(_1896_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][5] ),
-    .S(_3277_),
+    .X(_0786_));
+ sky130_fd_sc_hd__mux2_1 _6704_ (.A0(_1400_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][36] ),
+    .S(_3278_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3280_));
- sky130_fd_sc_hd__clkbuf_1 _6693_ (.A(_3280_),
+ sky130_fd_sc_hd__clkbuf_1 _6705_ (.A(_3280_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0817_));
- sky130_fd_sc_hd__mux2_1 _6694_ (.A0(_1898_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][6] ),
-    .S(_3277_),
+    .X(_0787_));
+ sky130_fd_sc_hd__mux2_1 _6706_ (.A0(_1402_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][37] ),
+    .S(_3278_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3281_));
- sky130_fd_sc_hd__clkbuf_1 _6695_ (.A(_3281_),
+ sky130_fd_sc_hd__clkbuf_1 _6707_ (.A(_3281_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0818_));
- sky130_fd_sc_hd__clkbuf_2 _6696_ (.A(_3272_),
+    .X(_0788_));
+ sky130_fd_sc_hd__mux2_1 _6708_ (.A0(_1405_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][38] ),
+    .S(_3278_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3282_));
- sky130_fd_sc_hd__mux2_1 _6697_ (.A0(_1903_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][7] ),
-    .S(_3282_),
+ sky130_fd_sc_hd__clkbuf_1 _6709_ (.A(_3282_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0789_));
+ sky130_fd_sc_hd__buf_2 _6710_ (.A(_3267_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3283_));
- sky130_fd_sc_hd__clkbuf_1 _6698_ (.A(_3283_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0819_));
- sky130_fd_sc_hd__mux2_1 _6699_ (.A0(_1905_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][8] ),
-    .S(_3282_),
+ sky130_fd_sc_hd__mux2_1 _6711_ (.A0(_1409_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][39] ),
+    .S(_3283_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3284_));
- sky130_fd_sc_hd__clkbuf_1 _6700_ (.A(_3284_),
+ sky130_fd_sc_hd__clkbuf_1 _6712_ (.A(_3284_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0820_));
- sky130_fd_sc_hd__mux2_1 _6701_ (.A0(_1907_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][9] ),
-    .S(_3282_),
+    .X(_0790_));
+ sky130_fd_sc_hd__mux2_1 _6713_ (.A0(_1416_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][40] ),
+    .S(_3283_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3285_));
- sky130_fd_sc_hd__clkbuf_1 _6702_ (.A(_3285_),
+ sky130_fd_sc_hd__clkbuf_1 _6714_ (.A(_3285_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0821_));
- sky130_fd_sc_hd__mux2_1 _6703_ (.A0(_1909_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][10] ),
-    .S(_3282_),
+    .X(_0791_));
+ sky130_fd_sc_hd__mux2_1 _6715_ (.A0(_1418_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][41] ),
+    .S(_3283_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3286_));
- sky130_fd_sc_hd__clkbuf_1 _6704_ (.A(_3286_),
+ sky130_fd_sc_hd__clkbuf_1 _6716_ (.A(_3286_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0822_));
- sky130_fd_sc_hd__clkbuf_2 _6705_ (.A(_3271_),
+    .X(_0792_));
+ sky130_fd_sc_hd__mux2_1 _6717_ (.A0(_1420_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][42] ),
+    .S(_3283_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3287_));
- sky130_fd_sc_hd__buf_2 _6706_ (.A(_3287_),
+ sky130_fd_sc_hd__clkbuf_1 _6718_ (.A(_3287_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0793_));
+ sky130_fd_sc_hd__clkbuf_4 _6719_ (.A(_3229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3288_));
- sky130_fd_sc_hd__buf_2 _6707_ (.A(_3288_),
+ sky130_fd_sc_hd__buf_2 _6720_ (.A(_3288_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3289_));
- sky130_fd_sc_hd__mux2_1 _6708_ (.A0(_1912_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][11] ),
+ sky130_fd_sc_hd__mux2_1 _6721_ (.A0(_1422_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][43] ),
     .S(_3289_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3290_));
- sky130_fd_sc_hd__clkbuf_1 _6709_ (.A(_3290_),
+ sky130_fd_sc_hd__clkbuf_1 _6722_ (.A(_3290_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0823_));
- sky130_fd_sc_hd__mux2_1 _6710_ (.A0(_1297_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][12] ),
+    .X(_0794_));
+ sky130_fd_sc_hd__mux2_1 _6723_ (.A0(_1428_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][44] ),
     .S(_3289_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3291_));
- sky130_fd_sc_hd__clkbuf_1 _6711_ (.A(_3291_),
+ sky130_fd_sc_hd__clkbuf_1 _6724_ (.A(_3291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0824_));
- sky130_fd_sc_hd__mux2_1 _6712_ (.A0(_1300_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][13] ),
+    .X(_0795_));
+ sky130_fd_sc_hd__mux2_1 _6725_ (.A0(_1430_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][45] ),
     .S(_3289_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3292_));
- sky130_fd_sc_hd__clkbuf_1 _6713_ (.A(_3292_),
+ sky130_fd_sc_hd__clkbuf_1 _6726_ (.A(_3292_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0825_));
- sky130_fd_sc_hd__mux2_1 _6714_ (.A0(_1304_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][14] ),
+    .X(_0796_));
+ sky130_fd_sc_hd__mux2_1 _6727_ (.A0(_1432_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][46] ),
     .S(_3289_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3293_));
- sky130_fd_sc_hd__clkbuf_1 _6715_ (.A(_3293_),
+ sky130_fd_sc_hd__clkbuf_1 _6728_ (.A(_3293_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0826_));
- sky130_fd_sc_hd__clkbuf_2 _6716_ (.A(_3288_),
+    .X(_0797_));
+ sky130_fd_sc_hd__clkbuf_2 _6729_ (.A(_3288_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3294_));
- sky130_fd_sc_hd__mux2_1 _6717_ (.A0(_1307_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][15] ),
+ sky130_fd_sc_hd__mux2_1 _6730_ (.A0(_1434_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][47] ),
     .S(_3294_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3295_));
- sky130_fd_sc_hd__clkbuf_1 _6718_ (.A(_3295_),
+ sky130_fd_sc_hd__clkbuf_1 _6731_ (.A(_3295_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0827_));
- sky130_fd_sc_hd__mux2_1 _6719_ (.A0(_1311_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][16] ),
+    .X(_0798_));
+ sky130_fd_sc_hd__mux2_1 _6732_ (.A0(_1438_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][48] ),
     .S(_3294_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3296_));
- sky130_fd_sc_hd__clkbuf_1 _6720_ (.A(_3296_),
+ sky130_fd_sc_hd__clkbuf_1 _6733_ (.A(_3296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0828_));
- sky130_fd_sc_hd__mux2_1 _6721_ (.A0(_1314_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][17] ),
+    .X(_0799_));
+ sky130_fd_sc_hd__mux2_1 _6734_ (.A0(_1440_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][49] ),
     .S(_3294_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3297_));
- sky130_fd_sc_hd__clkbuf_1 _6722_ (.A(_3297_),
+ sky130_fd_sc_hd__clkbuf_1 _6735_ (.A(_3297_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0829_));
- sky130_fd_sc_hd__mux2_1 _6723_ (.A0(_1319_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][18] ),
+    .X(_0800_));
+ sky130_fd_sc_hd__mux2_1 _6736_ (.A0(_1442_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][50] ),
     .S(_3294_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3298_));
- sky130_fd_sc_hd__clkbuf_1 _6724_ (.A(_3298_),
+ sky130_fd_sc_hd__clkbuf_1 _6737_ (.A(_3298_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0830_));
- sky130_fd_sc_hd__clkbuf_2 _6725_ (.A(_3288_),
+    .X(_0801_));
+ sky130_fd_sc_hd__clkbuf_2 _6738_ (.A(_3288_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3299_));
- sky130_fd_sc_hd__mux2_1 _6726_ (.A0(_1322_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][19] ),
+ sky130_fd_sc_hd__mux2_1 _6739_ (.A0(_1444_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][51] ),
     .S(_3299_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3300_));
- sky130_fd_sc_hd__clkbuf_1 _6727_ (.A(_3300_),
+ sky130_fd_sc_hd__clkbuf_1 _6740_ (.A(_3300_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0831_));
- sky130_fd_sc_hd__mux2_1 _6728_ (.A0(_1326_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][20] ),
+    .X(_0802_));
+ sky130_fd_sc_hd__mux2_1 _6741_ (.A0(_1448_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][52] ),
     .S(_3299_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3301_));
- sky130_fd_sc_hd__clkbuf_1 _6729_ (.A(_3301_),
+ sky130_fd_sc_hd__clkbuf_1 _6742_ (.A(_3301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0832_));
- sky130_fd_sc_hd__mux2_1 _6730_ (.A0(_1329_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][21] ),
+    .X(_0803_));
+ sky130_fd_sc_hd__mux2_1 _6743_ (.A0(_1450_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][53] ),
     .S(_3299_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3302_));
- sky130_fd_sc_hd__clkbuf_1 _6731_ (.A(_3302_),
+ sky130_fd_sc_hd__clkbuf_1 _6744_ (.A(_3302_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0833_));
- sky130_fd_sc_hd__mux2_1 _6732_ (.A0(_1333_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][22] ),
+    .X(_0804_));
+ sky130_fd_sc_hd__mux2_1 _6745_ (.A0(_1452_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][54] ),
     .S(_3299_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3303_));
- sky130_fd_sc_hd__clkbuf_1 _6733_ (.A(_3303_),
+ sky130_fd_sc_hd__clkbuf_1 _6746_ (.A(_3303_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0834_));
- sky130_fd_sc_hd__clkbuf_2 _6734_ (.A(_3288_),
+    .X(_0805_));
+ sky130_fd_sc_hd__clkbuf_2 _6747_ (.A(_3288_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3304_));
- sky130_fd_sc_hd__mux2_1 _6735_ (.A0(_1336_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][23] ),
+ sky130_fd_sc_hd__mux2_1 _6748_ (.A0(_1454_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][55] ),
     .S(_3304_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3305_));
- sky130_fd_sc_hd__clkbuf_1 _6736_ (.A(_3305_),
+ sky130_fd_sc_hd__clkbuf_1 _6749_ (.A(_3305_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0835_));
- sky130_fd_sc_hd__mux2_1 _6737_ (.A0(_1340_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][24] ),
+    .X(_0806_));
+ sky130_fd_sc_hd__mux2_1 _6750_ (.A0(_1458_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][56] ),
     .S(_3304_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3306_));
- sky130_fd_sc_hd__clkbuf_1 _6738_ (.A(_3306_),
+ sky130_fd_sc_hd__clkbuf_1 _6751_ (.A(_3306_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0836_));
- sky130_fd_sc_hd__mux2_1 _6739_ (.A0(_1343_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][25] ),
+    .X(_0807_));
+ sky130_fd_sc_hd__mux2_1 _6752_ (.A0(_1460_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][57] ),
     .S(_3304_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3307_));
- sky130_fd_sc_hd__clkbuf_1 _6740_ (.A(_3307_),
+ sky130_fd_sc_hd__clkbuf_1 _6753_ (.A(_3307_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0837_));
- sky130_fd_sc_hd__mux2_1 _6741_ (.A0(_1347_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][26] ),
+    .X(_0808_));
+ sky130_fd_sc_hd__mux2_1 _6754_ (.A0(_1462_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][58] ),
     .S(_3304_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3308_));
- sky130_fd_sc_hd__clkbuf_1 _6742_ (.A(_3308_),
+ sky130_fd_sc_hd__clkbuf_1 _6755_ (.A(_3308_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0838_));
- sky130_fd_sc_hd__buf_2 _6743_ (.A(_3287_),
+    .X(_0809_));
+ sky130_fd_sc_hd__clkbuf_2 _6756_ (.A(_3245_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3309_));
- sky130_fd_sc_hd__clkbuf_2 _6744_ (.A(_3309_),
+ sky130_fd_sc_hd__mux2_1 _6757_ (.A0(_1464_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][59] ),
+    .S(_3309_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3310_));
- sky130_fd_sc_hd__mux2_1 _6745_ (.A0(_1350_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][27] ),
-    .S(_3310_),
+ sky130_fd_sc_hd__clkbuf_1 _6758_ (.A(_3310_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0810_));
+ sky130_fd_sc_hd__and2_1 _6759_ (.A(\u_async_wb.u_cmd_if.mem[1][60] ),
+    .B(_3231_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3311_));
- sky130_fd_sc_hd__clkbuf_1 _6746_ (.A(_3311_),
+ sky130_fd_sc_hd__clkbuf_1 _6760_ (.A(_3311_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0839_));
- sky130_fd_sc_hd__mux2_1 _6747_ (.A0(_1355_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][28] ),
-    .S(_3310_),
+    .X(_0811_));
+ sky130_fd_sc_hd__mux2_1 _6761_ (.A0(_1468_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][61] ),
+    .S(_3309_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3312_));
- sky130_fd_sc_hd__clkbuf_1 _6748_ (.A(_3312_),
+ sky130_fd_sc_hd__clkbuf_1 _6762_ (.A(_3312_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0840_));
- sky130_fd_sc_hd__mux2_1 _6749_ (.A0(_1358_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][29] ),
-    .S(_3310_),
+    .X(_0812_));
+ sky130_fd_sc_hd__mux2_1 _6763_ (.A0(_1470_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][62] ),
+    .S(_3309_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3313_));
- sky130_fd_sc_hd__clkbuf_1 _6750_ (.A(_3313_),
+ sky130_fd_sc_hd__clkbuf_1 _6764_ (.A(_3313_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0841_));
- sky130_fd_sc_hd__mux2_1 _6751_ (.A0(_1362_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][30] ),
-    .S(_3310_),
+    .X(_0813_));
+ sky130_fd_sc_hd__mux2_1 _6765_ (.A0(_1472_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][63] ),
+    .S(_3309_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3314_));
- sky130_fd_sc_hd__clkbuf_1 _6752_ (.A(_3314_),
+ sky130_fd_sc_hd__clkbuf_1 _6766_ (.A(_3314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0842_));
- sky130_fd_sc_hd__clkbuf_2 _6753_ (.A(_3309_),
+    .X(_0814_));
+ sky130_fd_sc_hd__clkbuf_2 _6767_ (.A(_3245_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3315_));
- sky130_fd_sc_hd__mux2_1 _6754_ (.A0(_1365_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][31] ),
+ sky130_fd_sc_hd__mux2_1 _6768_ (.A0(_1474_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][64] ),
     .S(_3315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3316_));
- sky130_fd_sc_hd__clkbuf_1 _6755_ (.A(_3316_),
+ sky130_fd_sc_hd__clkbuf_1 _6769_ (.A(_3316_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0843_));
- sky130_fd_sc_hd__mux2_1 _6756_ (.A0(_1369_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][32] ),
+    .X(_0815_));
+ sky130_fd_sc_hd__mux2_1 _6770_ (.A0(_1477_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][65] ),
     .S(_3315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3317_));
- sky130_fd_sc_hd__clkbuf_1 _6757_ (.A(_3317_),
+ sky130_fd_sc_hd__clkbuf_1 _6771_ (.A(_3317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0844_));
- sky130_fd_sc_hd__mux2_1 _6758_ (.A0(_1372_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][33] ),
+    .X(_0816_));
+ sky130_fd_sc_hd__mux2_1 _6772_ (.A0(_1479_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][66] ),
     .S(_3315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3318_));
- sky130_fd_sc_hd__clkbuf_1 _6759_ (.A(_3318_),
+ sky130_fd_sc_hd__clkbuf_1 _6773_ (.A(_3318_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0845_));
- sky130_fd_sc_hd__mux2_1 _6760_ (.A0(_1375_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][34] ),
+    .X(_0817_));
+ sky130_fd_sc_hd__mux2_1 _6774_ (.A0(_1481_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][67] ),
     .S(_3315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3319_));
- sky130_fd_sc_hd__clkbuf_1 _6761_ (.A(_3319_),
+ sky130_fd_sc_hd__clkbuf_1 _6775_ (.A(_3319_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0846_));
- sky130_fd_sc_hd__buf_2 _6762_ (.A(_3309_),
+    .X(_0818_));
+ sky130_fd_sc_hd__mux2_1 _6776_ (.A0(_1483_),
+    .A1(\u_async_wb.u_cmd_if.mem[1][68] ),
+    .S(_3230_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3320_));
- sky130_fd_sc_hd__mux2_1 _6763_ (.A0(_1378_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][35] ),
-    .S(_3320_),
+ sky130_fd_sc_hd__clkbuf_1 _6777_ (.A(_3320_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0819_));
+ sky130_fd_sc_hd__or4_4 _6778_ (.A(_0929_),
+    .B(_1500_),
+    .C(_0933_),
+    .D(_0938_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3321_));
- sky130_fd_sc_hd__clkbuf_1 _6764_ (.A(_3321_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0847_));
- sky130_fd_sc_hd__mux2_1 _6765_ (.A0(_1382_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][36] ),
-    .S(_3320_),
+ sky130_fd_sc_hd__clkbuf_2 _6779_ (.A(_3321_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3322_));
- sky130_fd_sc_hd__clkbuf_1 _6766_ (.A(_3322_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0848_));
- sky130_fd_sc_hd__mux2_1 _6767_ (.A0(_1384_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][37] ),
-    .S(_3320_),
+ sky130_fd_sc_hd__clkbuf_2 _6780_ (.A(_3322_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3323_));
- sky130_fd_sc_hd__clkbuf_1 _6768_ (.A(_3323_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0849_));
- sky130_fd_sc_hd__mux2_1 _6769_ (.A0(_1387_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][38] ),
-    .S(_3320_),
+ sky130_fd_sc_hd__mux2_1 _6781_ (.A0(_1268_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][0] ),
+    .S(_3323_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3324_));
- sky130_fd_sc_hd__clkbuf_1 _6770_ (.A(_3324_),
+ sky130_fd_sc_hd__clkbuf_1 _6782_ (.A(_3324_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0850_));
- sky130_fd_sc_hd__clkbuf_2 _6771_ (.A(_3309_),
+    .X(_0820_));
+ sky130_fd_sc_hd__mux2_1 _6783_ (.A0(_1277_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][1] ),
+    .S(_3323_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3325_));
- sky130_fd_sc_hd__mux2_1 _6772_ (.A0(_1391_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][39] ),
-    .S(_3325_),
+ sky130_fd_sc_hd__clkbuf_1 _6784_ (.A(_3325_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0821_));
+ sky130_fd_sc_hd__mux2_1 _6785_ (.A0(_1279_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][2] ),
+    .S(_3323_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3326_));
- sky130_fd_sc_hd__clkbuf_1 _6773_ (.A(_3326_),
+ sky130_fd_sc_hd__clkbuf_1 _6786_ (.A(_3326_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0851_));
- sky130_fd_sc_hd__mux2_1 _6774_ (.A0(_1398_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][40] ),
-    .S(_3325_),
+    .X(_0822_));
+ sky130_fd_sc_hd__clkbuf_2 _6787_ (.A(_3322_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3327_));
- sky130_fd_sc_hd__clkbuf_1 _6775_ (.A(_3327_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0852_));
- sky130_fd_sc_hd__mux2_1 _6776_ (.A0(_1400_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][41] ),
-    .S(_3325_),
+ sky130_fd_sc_hd__mux2_1 _6788_ (.A0(_1281_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][3] ),
+    .S(_3327_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3328_));
- sky130_fd_sc_hd__clkbuf_1 _6777_ (.A(_3328_),
+ sky130_fd_sc_hd__clkbuf_1 _6789_ (.A(_3328_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0853_));
- sky130_fd_sc_hd__mux2_1 _6778_ (.A0(_1402_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][42] ),
-    .S(_3325_),
+    .X(_0823_));
+ sky130_fd_sc_hd__mux2_1 _6790_ (.A0(_1946_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][4] ),
+    .S(_3327_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3329_));
- sky130_fd_sc_hd__clkbuf_1 _6779_ (.A(_3329_),
+ sky130_fd_sc_hd__clkbuf_1 _6791_ (.A(_3329_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0854_));
- sky130_fd_sc_hd__buf_2 _6780_ (.A(_3271_),
+    .X(_0824_));
+ sky130_fd_sc_hd__mux2_1 _6792_ (.A0(_1948_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][5] ),
+    .S(_3327_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3330_));
- sky130_fd_sc_hd__clkbuf_2 _6781_ (.A(_3330_),
+ sky130_fd_sc_hd__clkbuf_1 _6793_ (.A(_3330_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0825_));
+ sky130_fd_sc_hd__mux2_1 _6794_ (.A0(_1950_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][6] ),
+    .S(_3327_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3331_));
- sky130_fd_sc_hd__mux2_1 _6782_ (.A0(_1404_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][43] ),
-    .S(_3331_),
+ sky130_fd_sc_hd__clkbuf_1 _6795_ (.A(_3331_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0826_));
+ sky130_fd_sc_hd__clkbuf_2 _6796_ (.A(_3322_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3332_));
- sky130_fd_sc_hd__clkbuf_1 _6783_ (.A(_3332_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0855_));
- sky130_fd_sc_hd__mux2_1 _6784_ (.A0(_1410_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][44] ),
-    .S(_3331_),
+ sky130_fd_sc_hd__mux2_1 _6797_ (.A0(_1955_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][7] ),
+    .S(_3332_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3333_));
- sky130_fd_sc_hd__clkbuf_1 _6785_ (.A(_3333_),
+ sky130_fd_sc_hd__clkbuf_1 _6798_ (.A(_3333_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0856_));
- sky130_fd_sc_hd__mux2_1 _6786_ (.A0(_1412_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][45] ),
-    .S(_3331_),
+    .X(_0827_));
+ sky130_fd_sc_hd__mux2_1 _6799_ (.A0(_1957_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][8] ),
+    .S(_3332_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3334_));
- sky130_fd_sc_hd__clkbuf_1 _6787_ (.A(_3334_),
+ sky130_fd_sc_hd__clkbuf_1 _6800_ (.A(_3334_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0857_));
- sky130_fd_sc_hd__mux2_1 _6788_ (.A0(_1414_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][46] ),
-    .S(_3331_),
+    .X(_0828_));
+ sky130_fd_sc_hd__mux2_1 _6801_ (.A0(_1959_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][9] ),
+    .S(_3332_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3335_));
- sky130_fd_sc_hd__clkbuf_1 _6789_ (.A(_3335_),
+ sky130_fd_sc_hd__clkbuf_1 _6802_ (.A(_3335_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0858_));
- sky130_fd_sc_hd__clkbuf_2 _6790_ (.A(_3330_),
+    .X(_0829_));
+ sky130_fd_sc_hd__mux2_1 _6803_ (.A0(_1961_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][10] ),
+    .S(_3332_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3336_));
- sky130_fd_sc_hd__mux2_1 _6791_ (.A0(_1416_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][47] ),
-    .S(_3336_),
+ sky130_fd_sc_hd__clkbuf_1 _6804_ (.A(_3336_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0830_));
+ sky130_fd_sc_hd__buf_2 _6805_ (.A(_3321_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3337_));
- sky130_fd_sc_hd__clkbuf_1 _6792_ (.A(_3337_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0859_));
- sky130_fd_sc_hd__mux2_1 _6793_ (.A0(_1420_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][48] ),
-    .S(_3336_),
+ sky130_fd_sc_hd__buf_2 _6806_ (.A(_3337_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3338_));
- sky130_fd_sc_hd__clkbuf_1 _6794_ (.A(_3338_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0860_));
- sky130_fd_sc_hd__mux2_1 _6795_ (.A0(_1422_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][49] ),
-    .S(_3336_),
+ sky130_fd_sc_hd__clkbuf_2 _6807_ (.A(_3338_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3339_));
- sky130_fd_sc_hd__clkbuf_1 _6796_ (.A(_3339_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0861_));
- sky130_fd_sc_hd__mux2_1 _6797_ (.A0(_1424_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][50] ),
-    .S(_3336_),
+ sky130_fd_sc_hd__mux2_1 _6808_ (.A0(_1964_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][11] ),
+    .S(_3339_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3340_));
- sky130_fd_sc_hd__clkbuf_1 _6798_ (.A(_3340_),
+ sky130_fd_sc_hd__clkbuf_1 _6809_ (.A(_3340_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0862_));
- sky130_fd_sc_hd__clkbuf_2 _6799_ (.A(_3330_),
+    .X(_0831_));
+ sky130_fd_sc_hd__mux2_1 _6810_ (.A0(_1314_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][12] ),
+    .S(_3339_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3341_));
- sky130_fd_sc_hd__mux2_1 _6800_ (.A0(_1426_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][51] ),
-    .S(_3341_),
+ sky130_fd_sc_hd__clkbuf_1 _6811_ (.A(_3341_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0832_));
+ sky130_fd_sc_hd__mux2_1 _6812_ (.A0(_1317_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][13] ),
+    .S(_3339_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3342_));
- sky130_fd_sc_hd__clkbuf_1 _6801_ (.A(_3342_),
+ sky130_fd_sc_hd__clkbuf_1 _6813_ (.A(_3342_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0863_));
- sky130_fd_sc_hd__mux2_1 _6802_ (.A0(_1430_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][52] ),
-    .S(_3341_),
+    .X(_0833_));
+ sky130_fd_sc_hd__mux2_1 _6814_ (.A0(_1321_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][14] ),
+    .S(_3339_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3343_));
- sky130_fd_sc_hd__clkbuf_1 _6803_ (.A(_3343_),
+ sky130_fd_sc_hd__clkbuf_1 _6815_ (.A(_3343_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0864_));
- sky130_fd_sc_hd__mux2_1 _6804_ (.A0(_1432_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][53] ),
-    .S(_3341_),
+    .X(_0834_));
+ sky130_fd_sc_hd__clkbuf_2 _6816_ (.A(_3338_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3344_));
- sky130_fd_sc_hd__clkbuf_1 _6805_ (.A(_3344_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0865_));
- sky130_fd_sc_hd__mux2_1 _6806_ (.A0(_1434_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][54] ),
-    .S(_3341_),
+ sky130_fd_sc_hd__mux2_1 _6817_ (.A0(_1324_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][15] ),
+    .S(_3344_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3345_));
- sky130_fd_sc_hd__clkbuf_1 _6807_ (.A(_3345_),
+ sky130_fd_sc_hd__clkbuf_1 _6818_ (.A(_3345_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0866_));
- sky130_fd_sc_hd__clkbuf_2 _6808_ (.A(_3330_),
+    .X(_0835_));
+ sky130_fd_sc_hd__mux2_1 _6819_ (.A0(_1328_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][16] ),
+    .S(_3344_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3346_));
- sky130_fd_sc_hd__mux2_1 _6809_ (.A0(_1436_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][55] ),
-    .S(_3346_),
+ sky130_fd_sc_hd__clkbuf_1 _6820_ (.A(_3346_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0836_));
+ sky130_fd_sc_hd__mux2_1 _6821_ (.A0(_1331_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][17] ),
+    .S(_3344_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3347_));
- sky130_fd_sc_hd__clkbuf_1 _6810_ (.A(_3347_),
+ sky130_fd_sc_hd__clkbuf_1 _6822_ (.A(_3347_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0867_));
- sky130_fd_sc_hd__mux2_1 _6811_ (.A0(_1440_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][56] ),
-    .S(_3346_),
+    .X(_0837_));
+ sky130_fd_sc_hd__mux2_1 _6823_ (.A0(_1336_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][18] ),
+    .S(_3344_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3348_));
- sky130_fd_sc_hd__clkbuf_1 _6812_ (.A(_3348_),
+ sky130_fd_sc_hd__clkbuf_1 _6824_ (.A(_3348_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0868_));
- sky130_fd_sc_hd__mux2_1 _6813_ (.A0(_1442_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][57] ),
-    .S(_3346_),
+    .X(_0838_));
+ sky130_fd_sc_hd__clkbuf_2 _6825_ (.A(_3338_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3349_));
- sky130_fd_sc_hd__clkbuf_1 _6814_ (.A(_3349_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0869_));
- sky130_fd_sc_hd__mux2_1 _6815_ (.A0(_1444_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][58] ),
-    .S(_3346_),
+ sky130_fd_sc_hd__mux2_1 _6826_ (.A0(_1339_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][19] ),
+    .S(_3349_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3350_));
- sky130_fd_sc_hd__clkbuf_1 _6816_ (.A(_3350_),
+ sky130_fd_sc_hd__clkbuf_1 _6827_ (.A(_3350_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0870_));
- sky130_fd_sc_hd__clkbuf_2 _6817_ (.A(_3287_),
+    .X(_0839_));
+ sky130_fd_sc_hd__mux2_1 _6828_ (.A0(_1343_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][20] ),
+    .S(_3349_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3351_));
- sky130_fd_sc_hd__mux2_1 _6818_ (.A0(_1446_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][59] ),
-    .S(_3351_),
+ sky130_fd_sc_hd__clkbuf_1 _6829_ (.A(_3351_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0840_));
+ sky130_fd_sc_hd__mux2_1 _6830_ (.A0(_1346_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][21] ),
+    .S(_3349_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3352_));
- sky130_fd_sc_hd__clkbuf_1 _6819_ (.A(_3352_),
+ sky130_fd_sc_hd__clkbuf_1 _6831_ (.A(_3352_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0871_));
- sky130_fd_sc_hd__and2_1 _6820_ (.A(\u_async_wb.u_cmd_if.mem[2][60] ),
-    .B(_3273_),
+    .X(_0841_));
+ sky130_fd_sc_hd__mux2_1 _6832_ (.A0(_1350_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][22] ),
+    .S(_3349_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3353_));
- sky130_fd_sc_hd__clkbuf_1 _6821_ (.A(_3353_),
+ sky130_fd_sc_hd__clkbuf_1 _6833_ (.A(_3353_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0872_));
- sky130_fd_sc_hd__mux2_1 _6822_ (.A0(_1450_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][61] ),
-    .S(_3351_),
+    .X(_0842_));
+ sky130_fd_sc_hd__clkbuf_2 _6834_ (.A(_3338_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3354_));
- sky130_fd_sc_hd__clkbuf_1 _6823_ (.A(_3354_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0873_));
- sky130_fd_sc_hd__mux2_1 _6824_ (.A0(_1452_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][62] ),
-    .S(_3351_),
+ sky130_fd_sc_hd__mux2_1 _6835_ (.A0(_1353_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][23] ),
+    .S(_3354_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3355_));
- sky130_fd_sc_hd__clkbuf_1 _6825_ (.A(_3355_),
+ sky130_fd_sc_hd__clkbuf_1 _6836_ (.A(_3355_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0874_));
- sky130_fd_sc_hd__mux2_1 _6826_ (.A0(_1454_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][63] ),
-    .S(_3351_),
+    .X(_0843_));
+ sky130_fd_sc_hd__mux2_1 _6837_ (.A0(_1357_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][24] ),
+    .S(_3354_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3356_));
- sky130_fd_sc_hd__clkbuf_1 _6827_ (.A(_3356_),
+ sky130_fd_sc_hd__clkbuf_1 _6838_ (.A(_3356_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0875_));
- sky130_fd_sc_hd__clkbuf_2 _6828_ (.A(_3287_),
+    .X(_0844_));
+ sky130_fd_sc_hd__mux2_1 _6839_ (.A0(_1360_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][25] ),
+    .S(_3354_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3357_));
- sky130_fd_sc_hd__mux2_1 _6829_ (.A0(_1456_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][64] ),
-    .S(_3357_),
+ sky130_fd_sc_hd__clkbuf_1 _6840_ (.A(_3357_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0845_));
+ sky130_fd_sc_hd__mux2_1 _6841_ (.A0(_1364_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][26] ),
+    .S(_3354_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3358_));
- sky130_fd_sc_hd__clkbuf_1 _6830_ (.A(_3358_),
+ sky130_fd_sc_hd__clkbuf_1 _6842_ (.A(_3358_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0876_));
- sky130_fd_sc_hd__mux2_1 _6831_ (.A0(_1459_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][65] ),
-    .S(_3357_),
+    .X(_0846_));
+ sky130_fd_sc_hd__buf_2 _6843_ (.A(_3337_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3359_));
- sky130_fd_sc_hd__clkbuf_1 _6832_ (.A(_3359_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0877_));
- sky130_fd_sc_hd__mux2_1 _6833_ (.A0(_1461_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][66] ),
-    .S(_3357_),
+ sky130_fd_sc_hd__clkbuf_2 _6844_ (.A(_3359_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3360_));
- sky130_fd_sc_hd__clkbuf_1 _6834_ (.A(_3360_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(_0878_));
- sky130_fd_sc_hd__mux2_1 _6835_ (.A0(_1463_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][67] ),
-    .S(_3357_),
+ sky130_fd_sc_hd__mux2_1 _6845_ (.A0(_1367_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][27] ),
+    .S(_3360_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3361_));
- sky130_fd_sc_hd__clkbuf_1 _6836_ (.A(_3361_),
+ sky130_fd_sc_hd__clkbuf_1 _6846_ (.A(_3361_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(_0879_));
- sky130_fd_sc_hd__mux2_1 _6837_ (.A0(_1465_),
-    .A1(\u_async_wb.u_cmd_if.mem[2][68] ),
-    .S(_3272_),
+    .X(_0847_));
+ sky130_fd_sc_hd__mux2_1 _6847_ (.A0(_1372_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][28] ),
+    .S(_3360_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_3362_));
- sky130_fd_sc_hd__clkbuf_1 _6838_ (.A(_3362_),
+ sky130_fd_sc_hd__clkbuf_1 _6848_ (.A(_3362_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0848_));
+ sky130_fd_sc_hd__mux2_1 _6849_ (.A0(_1375_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][29] ),
+    .S(_3360_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3363_));
+ sky130_fd_sc_hd__clkbuf_1 _6850_ (.A(_3363_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0849_));
+ sky130_fd_sc_hd__mux2_1 _6851_ (.A0(_1379_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][30] ),
+    .S(_3360_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3364_));
+ sky130_fd_sc_hd__clkbuf_1 _6852_ (.A(_3364_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0850_));
+ sky130_fd_sc_hd__clkbuf_2 _6853_ (.A(_3359_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3365_));
+ sky130_fd_sc_hd__mux2_1 _6854_ (.A0(_1382_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][31] ),
+    .S(_3365_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3366_));
+ sky130_fd_sc_hd__clkbuf_1 _6855_ (.A(_3366_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0851_));
+ sky130_fd_sc_hd__mux2_1 _6856_ (.A0(_1386_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][32] ),
+    .S(_3365_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3367_));
+ sky130_fd_sc_hd__clkbuf_1 _6857_ (.A(_3367_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0852_));
+ sky130_fd_sc_hd__mux2_1 _6858_ (.A0(_1389_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][33] ),
+    .S(_3365_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3368_));
+ sky130_fd_sc_hd__clkbuf_1 _6859_ (.A(_3368_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0853_));
+ sky130_fd_sc_hd__mux2_1 _6860_ (.A0(_1392_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][34] ),
+    .S(_3365_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3369_));
+ sky130_fd_sc_hd__clkbuf_1 _6861_ (.A(_3369_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0854_));
+ sky130_fd_sc_hd__buf_2 _6862_ (.A(_3359_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3370_));
+ sky130_fd_sc_hd__mux2_1 _6863_ (.A0(_1395_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][35] ),
+    .S(_3370_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3371_));
+ sky130_fd_sc_hd__clkbuf_1 _6864_ (.A(_3371_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0855_));
+ sky130_fd_sc_hd__mux2_1 _6865_ (.A0(_1400_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][36] ),
+    .S(_3370_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3372_));
+ sky130_fd_sc_hd__clkbuf_1 _6866_ (.A(_3372_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0856_));
+ sky130_fd_sc_hd__mux2_1 _6867_ (.A0(_1402_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][37] ),
+    .S(_3370_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3373_));
+ sky130_fd_sc_hd__clkbuf_1 _6868_ (.A(_3373_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0857_));
+ sky130_fd_sc_hd__mux2_1 _6869_ (.A0(_1405_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][38] ),
+    .S(_3370_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3374_));
+ sky130_fd_sc_hd__clkbuf_1 _6870_ (.A(_3374_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0858_));
+ sky130_fd_sc_hd__clkbuf_2 _6871_ (.A(_3359_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3375_));
+ sky130_fd_sc_hd__mux2_1 _6872_ (.A0(_1409_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][39] ),
+    .S(_3375_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3376_));
+ sky130_fd_sc_hd__clkbuf_1 _6873_ (.A(_3376_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0859_));
+ sky130_fd_sc_hd__mux2_1 _6874_ (.A0(_1416_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][40] ),
+    .S(_3375_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3377_));
+ sky130_fd_sc_hd__clkbuf_1 _6875_ (.A(_3377_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0860_));
+ sky130_fd_sc_hd__mux2_1 _6876_ (.A0(_1418_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][41] ),
+    .S(_3375_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3378_));
+ sky130_fd_sc_hd__clkbuf_1 _6877_ (.A(_3378_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0861_));
+ sky130_fd_sc_hd__mux2_1 _6878_ (.A0(_1420_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][42] ),
+    .S(_3375_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3379_));
+ sky130_fd_sc_hd__clkbuf_1 _6879_ (.A(_3379_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0862_));
+ sky130_fd_sc_hd__buf_2 _6880_ (.A(_3321_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3380_));
+ sky130_fd_sc_hd__clkbuf_2 _6881_ (.A(_3380_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3381_));
+ sky130_fd_sc_hd__mux2_1 _6882_ (.A0(_1422_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][43] ),
+    .S(_3381_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3382_));
+ sky130_fd_sc_hd__clkbuf_1 _6883_ (.A(_3382_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0863_));
+ sky130_fd_sc_hd__mux2_1 _6884_ (.A0(_1428_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][44] ),
+    .S(_3381_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3383_));
+ sky130_fd_sc_hd__clkbuf_1 _6885_ (.A(_3383_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0864_));
+ sky130_fd_sc_hd__mux2_1 _6886_ (.A0(_1430_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][45] ),
+    .S(_3381_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3384_));
+ sky130_fd_sc_hd__clkbuf_1 _6887_ (.A(_3384_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0865_));
+ sky130_fd_sc_hd__mux2_1 _6888_ (.A0(_1432_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][46] ),
+    .S(_3381_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3385_));
+ sky130_fd_sc_hd__clkbuf_1 _6889_ (.A(_3385_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0866_));
+ sky130_fd_sc_hd__clkbuf_2 _6890_ (.A(_3380_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3386_));
+ sky130_fd_sc_hd__mux2_1 _6891_ (.A0(_1434_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][47] ),
+    .S(_3386_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3387_));
+ sky130_fd_sc_hd__clkbuf_1 _6892_ (.A(_3387_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0867_));
+ sky130_fd_sc_hd__mux2_1 _6893_ (.A0(_1438_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][48] ),
+    .S(_3386_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3388_));
+ sky130_fd_sc_hd__clkbuf_1 _6894_ (.A(_3388_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0868_));
+ sky130_fd_sc_hd__mux2_1 _6895_ (.A0(_1440_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][49] ),
+    .S(_3386_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3389_));
+ sky130_fd_sc_hd__clkbuf_1 _6896_ (.A(_3389_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0869_));
+ sky130_fd_sc_hd__mux2_1 _6897_ (.A0(_1442_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][50] ),
+    .S(_3386_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3390_));
+ sky130_fd_sc_hd__clkbuf_1 _6898_ (.A(_3390_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0870_));
+ sky130_fd_sc_hd__clkbuf_2 _6899_ (.A(_3380_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3391_));
+ sky130_fd_sc_hd__mux2_1 _6900_ (.A0(_1444_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][51] ),
+    .S(_3391_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3392_));
+ sky130_fd_sc_hd__clkbuf_1 _6901_ (.A(_3392_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0871_));
+ sky130_fd_sc_hd__mux2_1 _6902_ (.A0(_1448_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][52] ),
+    .S(_3391_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3393_));
+ sky130_fd_sc_hd__clkbuf_1 _6903_ (.A(_3393_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0872_));
+ sky130_fd_sc_hd__mux2_1 _6904_ (.A0(_1450_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][53] ),
+    .S(_3391_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3394_));
+ sky130_fd_sc_hd__clkbuf_1 _6905_ (.A(_3394_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0873_));
+ sky130_fd_sc_hd__mux2_1 _6906_ (.A0(_1452_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][54] ),
+    .S(_3391_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3395_));
+ sky130_fd_sc_hd__clkbuf_1 _6907_ (.A(_3395_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0874_));
+ sky130_fd_sc_hd__clkbuf_2 _6908_ (.A(_3380_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3396_));
+ sky130_fd_sc_hd__mux2_1 _6909_ (.A0(_1454_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][55] ),
+    .S(_3396_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3397_));
+ sky130_fd_sc_hd__clkbuf_1 _6910_ (.A(_3397_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0875_));
+ sky130_fd_sc_hd__mux2_1 _6911_ (.A0(_1458_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][56] ),
+    .S(_3396_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3398_));
+ sky130_fd_sc_hd__clkbuf_1 _6912_ (.A(_3398_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0876_));
+ sky130_fd_sc_hd__mux2_1 _6913_ (.A0(_1460_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][57] ),
+    .S(_3396_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3399_));
+ sky130_fd_sc_hd__clkbuf_1 _6914_ (.A(_3399_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0877_));
+ sky130_fd_sc_hd__mux2_1 _6915_ (.A0(_1462_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][58] ),
+    .S(_3396_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3400_));
+ sky130_fd_sc_hd__clkbuf_1 _6916_ (.A(_3400_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0878_));
+ sky130_fd_sc_hd__clkbuf_2 _6917_ (.A(_3337_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3401_));
+ sky130_fd_sc_hd__mux2_1 _6918_ (.A0(_1464_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][59] ),
+    .S(_3401_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3402_));
+ sky130_fd_sc_hd__clkbuf_1 _6919_ (.A(_3402_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0879_));
+ sky130_fd_sc_hd__and2_1 _6920_ (.A(\u_async_wb.u_cmd_if.mem[2][60] ),
+    .B(_3323_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3403_));
+ sky130_fd_sc_hd__clkbuf_1 _6921_ (.A(_3403_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(_0880_));
- sky130_fd_sc_hd__dfxtp_1 _6839_ (.CLK(clknet_leaf_45_wbm_clk_i),
+ sky130_fd_sc_hd__mux2_1 _6922_ (.A0(_1468_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][61] ),
+    .S(_3401_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3404_));
+ sky130_fd_sc_hd__clkbuf_1 _6923_ (.A(_3404_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0881_));
+ sky130_fd_sc_hd__mux2_1 _6924_ (.A0(_1470_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][62] ),
+    .S(_3401_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3405_));
+ sky130_fd_sc_hd__clkbuf_1 _6925_ (.A(_3405_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0882_));
+ sky130_fd_sc_hd__mux2_1 _6926_ (.A0(_1472_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][63] ),
+    .S(_3401_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3406_));
+ sky130_fd_sc_hd__clkbuf_1 _6927_ (.A(_3406_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0883_));
+ sky130_fd_sc_hd__clkbuf_2 _6928_ (.A(_3337_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3407_));
+ sky130_fd_sc_hd__mux2_1 _6929_ (.A0(_1474_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][64] ),
+    .S(_3407_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3408_));
+ sky130_fd_sc_hd__clkbuf_1 _6930_ (.A(_3408_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0884_));
+ sky130_fd_sc_hd__mux2_1 _6931_ (.A0(_1477_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][65] ),
+    .S(_3407_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3409_));
+ sky130_fd_sc_hd__clkbuf_1 _6932_ (.A(_3409_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0885_));
+ sky130_fd_sc_hd__mux2_1 _6933_ (.A0(_1479_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][66] ),
+    .S(_3407_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3410_));
+ sky130_fd_sc_hd__clkbuf_1 _6934_ (.A(_3410_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0886_));
+ sky130_fd_sc_hd__mux2_1 _6935_ (.A0(_1481_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][67] ),
+    .S(_3407_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3411_));
+ sky130_fd_sc_hd__clkbuf_1 _6936_ (.A(_3411_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0887_));
+ sky130_fd_sc_hd__mux2_1 _6937_ (.A0(_1483_),
+    .A1(\u_async_wb.u_cmd_if.mem[2][68] ),
+    .S(_3322_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_3412_));
+ sky130_fd_sc_hd__clkbuf_1 _6938_ (.A(_3412_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(_0888_));
+ sky130_fd_sc_hd__dfxtp_1 _6939_ (.CLK(clknet_leaf_50_wbm_clk_i),
     .D(_0020_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][0] ));
- sky130_fd_sc_hd__dfxtp_1 _6840_ (.CLK(clknet_leaf_40_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6940_ (.CLK(clknet_leaf_50_wbm_clk_i),
     .D(_0021_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][1] ));
- sky130_fd_sc_hd__dfxtp_1 _6841_ (.CLK(clknet_leaf_46_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6941_ (.CLK(clknet_leaf_41_wbm_clk_i),
     .D(_0022_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][2] ));
- sky130_fd_sc_hd__dfxtp_1 _6842_ (.CLK(clknet_leaf_46_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6942_ (.CLK(clknet_leaf_51_wbm_clk_i),
     .D(_0023_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][3] ));
- sky130_fd_sc_hd__dfxtp_1 _6843_ (.CLK(clknet_leaf_40_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6943_ (.CLK(clknet_leaf_40_wbm_clk_i),
     .D(_0024_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][4] ));
- sky130_fd_sc_hd__dfxtp_1 _6844_ (.CLK(clknet_leaf_46_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6944_ (.CLK(clknet_leaf_39_wbm_clk_i),
     .D(_0025_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][5] ));
- sky130_fd_sc_hd__dfxtp_1 _6845_ (.CLK(clknet_leaf_40_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6945_ (.CLK(clknet_leaf_40_wbm_clk_i),
     .D(_0026_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][6] ));
- sky130_fd_sc_hd__dfxtp_1 _6846_ (.CLK(clknet_leaf_41_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6946_ (.CLK(clknet_leaf_43_wbm_clk_i),
     .D(_0027_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][7] ));
- sky130_fd_sc_hd__dfxtp_1 _6847_ (.CLK(clknet_leaf_40_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6947_ (.CLK(clknet_leaf_42_wbm_clk_i),
     .D(_0028_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][8] ));
- sky130_fd_sc_hd__dfxtp_1 _6848_ (.CLK(clknet_leaf_41_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6948_ (.CLK(clknet_leaf_43_wbm_clk_i),
     .D(_0029_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][9] ));
- sky130_fd_sc_hd__dfxtp_1 _6849_ (.CLK(clknet_leaf_42_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6949_ (.CLK(clknet_leaf_44_wbm_clk_i),
     .D(_0030_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][10] ));
- sky130_fd_sc_hd__dfxtp_1 _6850_ (.CLK(clknet_leaf_30_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6950_ (.CLK(clknet_leaf_28_wbm_clk_i),
     .D(_0031_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][11] ));
- sky130_fd_sc_hd__dfxtp_1 _6851_ (.CLK(clknet_leaf_30_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6951_ (.CLK(clknet_leaf_29_wbm_clk_i),
     .D(_0032_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][12] ));
- sky130_fd_sc_hd__dfxtp_1 _6852_ (.CLK(clknet_leaf_28_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6952_ (.CLK(clknet_leaf_27_wbm_clk_i),
     .D(_0033_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][13] ));
- sky130_fd_sc_hd__dfxtp_1 _6853_ (.CLK(clknet_leaf_25_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6953_ (.CLK(clknet_leaf_26_wbm_clk_i),
     .D(_0034_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][14] ));
- sky130_fd_sc_hd__dfxtp_1 _6854_ (.CLK(clknet_leaf_24_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6954_ (.CLK(clknet_leaf_25_wbm_clk_i),
     .D(_0035_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][15] ));
- sky130_fd_sc_hd__dfxtp_1 _6855_ (.CLK(clknet_leaf_24_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6955_ (.CLK(clknet_leaf_24_wbm_clk_i),
     .D(_0036_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][16] ));
- sky130_fd_sc_hd__dfxtp_1 _6856_ (.CLK(clknet_leaf_24_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6956_ (.CLK(clknet_leaf_24_wbm_clk_i),
     .D(_0037_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][17] ));
- sky130_fd_sc_hd__dfxtp_1 _6857_ (.CLK(clknet_leaf_26_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6957_ (.CLK(clknet_leaf_23_wbm_clk_i),
     .D(_0038_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][18] ));
- sky130_fd_sc_hd__dfxtp_1 _6858_ (.CLK(clknet_leaf_26_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6958_ (.CLK(clknet_leaf_27_wbm_clk_i),
     .D(_0039_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][19] ));
- sky130_fd_sc_hd__dfxtp_1 _6859_ (.CLK(clknet_leaf_21_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6959_ (.CLK(clknet_leaf_21_wbm_clk_i),
     .D(_0040_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][20] ));
- sky130_fd_sc_hd__dfxtp_1 _6860_ (.CLK(clknet_leaf_21_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6960_ (.CLK(clknet_leaf_21_wbm_clk_i),
     .D(_0041_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][21] ));
- sky130_fd_sc_hd__dfxtp_1 _6861_ (.CLK(clknet_leaf_15_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6961_ (.CLK(clknet_leaf_21_wbm_clk_i),
     .D(_0042_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][22] ));
- sky130_fd_sc_hd__dfxtp_1 _6862_ (.CLK(clknet_leaf_15_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6962_ (.CLK(clknet_leaf_16_wbm_clk_i),
     .D(_0043_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][23] ));
- sky130_fd_sc_hd__dfxtp_1 _6863_ (.CLK(clknet_leaf_16_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6963_ (.CLK(clknet_leaf_16_wbm_clk_i),
     .D(_0044_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][24] ));
- sky130_fd_sc_hd__dfxtp_1 _6864_ (.CLK(clknet_leaf_17_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6964_ (.CLK(clknet_leaf_17_wbm_clk_i),
     .D(_0045_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][25] ));
- sky130_fd_sc_hd__dfxtp_1 _6865_ (.CLK(clknet_leaf_17_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6965_ (.CLK(clknet_leaf_5_wbm_clk_i),
     .D(_0046_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][26] ));
- sky130_fd_sc_hd__dfxtp_1 _6866_ (.CLK(clknet_leaf_17_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6966_ (.CLK(clknet_leaf_2_wbm_clk_i),
     .D(_0047_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][27] ));
- sky130_fd_sc_hd__dfxtp_1 _6867_ (.CLK(clknet_leaf_10_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6967_ (.CLK(clknet_leaf_2_wbm_clk_i),
     .D(_0048_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][28] ));
- sky130_fd_sc_hd__dfxtp_1 _6868_ (.CLK(clknet_leaf_13_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6968_ (.CLK(clknet_leaf_2_wbm_clk_i),
     .D(_0049_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][29] ));
- sky130_fd_sc_hd__dfxtp_1 _6869_ (.CLK(clknet_leaf_10_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6969_ (.CLK(clknet_leaf_6_wbm_clk_i),
     .D(_0050_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][30] ));
- sky130_fd_sc_hd__dfxtp_1 _6870_ (.CLK(clknet_leaf_7_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6970_ (.CLK(clknet_leaf_7_wbm_clk_i),
     .D(_0051_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][31] ));
- sky130_fd_sc_hd__dfxtp_1 _6871_ (.CLK(clknet_leaf_3_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6971_ (.CLK(clknet_leaf_7_wbm_clk_i),
     .D(_0052_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][32] ));
- sky130_fd_sc_hd__dfxtp_1 _6872_ (.CLK(clknet_leaf_4_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6972_ (.CLK(clknet_leaf_0_wbm_clk_i),
     .D(_0053_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][33] ));
- sky130_fd_sc_hd__dfxtp_1 _6873_ (.CLK(clknet_leaf_9_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6973_ (.CLK(clknet_leaf_7_wbm_clk_i),
     .D(_0054_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][34] ));
- sky130_fd_sc_hd__dfxtp_1 _6874_ (.CLK(clknet_leaf_10_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6974_ (.CLK(clknet_leaf_8_wbm_clk_i),
     .D(_0055_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][35] ));
- sky130_fd_sc_hd__dfxtp_1 _6875_ (.CLK(clknet_leaf_11_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6975_ (.CLK(clknet_leaf_12_wbm_clk_i),
     .D(_0056_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][36] ));
- sky130_fd_sc_hd__dfxtp_1 _6876_ (.CLK(clknet_leaf_55_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6976_ (.CLK(clknet_leaf_9_wbm_clk_i),
     .D(_0057_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][37] ));
- sky130_fd_sc_hd__dfxtp_1 _6877_ (.CLK(clknet_leaf_56_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6977_ (.CLK(clknet_leaf_8_wbm_clk_i),
     .D(_0058_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][38] ));
- sky130_fd_sc_hd__dfxtp_1 _6878_ (.CLK(clknet_leaf_9_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6978_ (.CLK(clknet_leaf_10_wbm_clk_i),
     .D(_0059_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][39] ));
- sky130_fd_sc_hd__dfxtp_1 _6879_ (.CLK(clknet_leaf_8_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6979_ (.CLK(clknet_leaf_63_wbm_clk_i),
     .D(_0060_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][40] ));
- sky130_fd_sc_hd__dfxtp_1 _6880_ (.CLK(clknet_leaf_57_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6980_ (.CLK(clknet_leaf_64_wbm_clk_i),
     .D(_0061_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][41] ));
- sky130_fd_sc_hd__dfxtp_1 _6881_ (.CLK(clknet_leaf_58_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6981_ (.CLK(clknet_leaf_7_wbm_clk_i),
     .D(_0062_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][42] ));
- sky130_fd_sc_hd__dfxtp_1 _6882_ (.CLK(clknet_leaf_0_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6982_ (.CLK(clknet_leaf_64_wbm_clk_i),
     .D(_0063_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][43] ));
- sky130_fd_sc_hd__dfxtp_1 _6883_ (.CLK(clknet_leaf_1_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6983_ (.CLK(clknet_leaf_65_wbm_clk_i),
     .D(_0064_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][44] ));
- sky130_fd_sc_hd__dfxtp_1 _6884_ (.CLK(clknet_leaf_2_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6984_ (.CLK(clknet_leaf_66_wbm_clk_i),
     .D(_0065_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][45] ));
- sky130_fd_sc_hd__dfxtp_1 _6885_ (.CLK(clknet_leaf_1_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6985_ (.CLK(clknet_leaf_66_wbm_clk_i),
     .D(_0066_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][46] ));
- sky130_fd_sc_hd__dfxtp_1 _6886_ (.CLK(clknet_leaf_1_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6986_ (.CLK(clknet_leaf_68_wbm_clk_i),
     .D(_0067_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][47] ));
- sky130_fd_sc_hd__dfxtp_1 _6887_ (.CLK(clknet_leaf_65_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6987_ (.CLK(clknet_leaf_68_wbm_clk_i),
     .D(_0068_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][48] ));
- sky130_fd_sc_hd__dfxtp_1 _6888_ (.CLK(clknet_leaf_0_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6988_ (.CLK(clknet_leaf_67_wbm_clk_i),
     .D(_0069_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][49] ));
- sky130_fd_sc_hd__dfxtp_1 _6889_ (.CLK(clknet_leaf_0_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6989_ (.CLK(clknet_leaf_68_wbm_clk_i),
     .D(_0070_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][50] ));
- sky130_fd_sc_hd__dfxtp_1 _6890_ (.CLK(clknet_leaf_58_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6990_ (.CLK(clknet_leaf_59_wbm_clk_i),
     .D(_0071_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][51] ));
- sky130_fd_sc_hd__dfxtp_1 _6891_ (.CLK(clknet_leaf_59_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6991_ (.CLK(clknet_leaf_59_wbm_clk_i),
     .D(_0072_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][52] ));
- sky130_fd_sc_hd__dfxtp_1 _6892_ (.CLK(clknet_leaf_58_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6992_ (.CLK(clknet_leaf_59_wbm_clk_i),
     .D(_0073_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][53] ));
- sky130_fd_sc_hd__dfxtp_1 _6893_ (.CLK(clknet_leaf_59_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6993_ (.CLK(clknet_leaf_59_wbm_clk_i),
     .D(_0074_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][54] ));
- sky130_fd_sc_hd__dfxtp_1 _6894_ (.CLK(clknet_leaf_60_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6994_ (.CLK(clknet_leaf_64_wbm_clk_i),
     .D(_0075_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][55] ));
- sky130_fd_sc_hd__dfxtp_1 _6895_ (.CLK(clknet_leaf_61_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6995_ (.CLK(clknet_leaf_63_wbm_clk_i),
     .D(_0076_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][56] ));
- sky130_fd_sc_hd__dfxtp_1 _6896_ (.CLK(clknet_leaf_62_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6996_ (.CLK(clknet_leaf_63_wbm_clk_i),
     .D(_0077_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][57] ));
- sky130_fd_sc_hd__dfxtp_1 _6897_ (.CLK(clknet_leaf_60_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6997_ (.CLK(clknet_leaf_62_wbm_clk_i),
     .D(_0078_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][58] ));
- sky130_fd_sc_hd__dfxtp_1 _6898_ (.CLK(clknet_leaf_37_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6998_ (.CLK(clknet_leaf_38_wbm_clk_i),
     .D(_0079_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][59] ));
- sky130_fd_sc_hd__dfxtp_1 _6899_ (.CLK(clknet_leaf_45_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _6999_ (.CLK(clknet_leaf_51_wbm_clk_i),
     .D(_0080_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][60] ));
- sky130_fd_sc_hd__dfxtp_1 _6900_ (.CLK(clknet_leaf_37_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _7000_ (.CLK(clknet_leaf_36_wbm_clk_i),
     .D(_0081_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][61] ));
- sky130_fd_sc_hd__dfxtp_1 _6901_ (.CLK(clknet_leaf_37_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _7001_ (.CLK(clknet_leaf_38_wbm_clk_i),
     .D(_0082_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][62] ));
- sky130_fd_sc_hd__dfxtp_1 _6902_ (.CLK(clknet_leaf_38_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _7002_ (.CLK(clknet_leaf_39_wbm_clk_i),
     .D(_0083_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][63] ));
- sky130_fd_sc_hd__dfxtp_1 _6903_ (.CLK(clknet_leaf_35_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _7003_ (.CLK(clknet_leaf_39_wbm_clk_i),
     .D(_0084_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][64] ));
- sky130_fd_sc_hd__dfxtp_1 _6904_ (.CLK(clknet_leaf_41_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _7004_ (.CLK(clknet_leaf_40_wbm_clk_i),
     .D(_0085_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][65] ));
- sky130_fd_sc_hd__dfxtp_1 _6905_ (.CLK(clknet_leaf_41_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _7005_ (.CLK(clknet_leaf_32_wbm_clk_i),
     .D(_0086_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][66] ));
- sky130_fd_sc_hd__dfxtp_1 _6906_ (.CLK(clknet_leaf_39_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _7006_ (.CLK(clknet_leaf_32_wbm_clk_i),
     .D(_0087_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][67] ));
- sky130_fd_sc_hd__dfxtp_1 _6907_ (.CLK(clknet_leaf_40_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _7007_ (.CLK(clknet_leaf_43_wbm_clk_i),
     .D(_0088_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[0][68] ));
- sky130_fd_sc_hd__dfrtp_4 _6908_ (.CLK(clknet_leaf_43_wbm_clk_i),
+ sky130_fd_sc_hd__dfrtp_4 _7008_ (.CLK(clknet_leaf_47_wbm_clk_i),
     .D(_0089_),
-    .RESET_B(net201),
+    .RESET_B(net215),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_resp_if.rd_ptr[0] ));
- sky130_fd_sc_hd__dfrtp_1 _6909_ (.CLK(clknet_leaf_43_wbm_clk_i),
+ sky130_fd_sc_hd__dfrtp_4 _7009_ (.CLK(clknet_leaf_46_wbm_clk_i),
     .D(_0090_),
-    .RESET_B(net201),
+    .RESET_B(net215),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_resp_if.rd_ptr[1] ));
- sky130_fd_sc_hd__dfrtp_1 _6910_ (.CLK(clknet_3_3_0_wbs_clk_i),
+ sky130_fd_sc_hd__dfrtp_2 _7010_ (.CLK(clknet_3_7_0_wbs_clk_i),
     .D(_0091_),
-    .RESET_B(net212),
+    .RESET_B(net218),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_resp_if.wr_ptr[0] ));
- sky130_fd_sc_hd__dfrtp_1 _6911_ (.CLK(clknet_3_6_0_wbs_clk_i),
+ sky130_fd_sc_hd__dfrtp_1 _7011_ (.CLK(clknet_3_7_0_wbs_clk_i),
     .D(_0092_),
-    .RESET_B(net212),
+    .RESET_B(net218),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_resp_if.wr_ptr[1] ));
- sky130_fd_sc_hd__dfrtp_1 _6912_ (.CLK(clknet_leaf_43_wbm_clk_i),
+ sky130_fd_sc_hd__dfrtp_1 _7012_ (.CLK(clknet_leaf_46_wbm_clk_i),
     .D(\u_async_wb.u_resp_if.grey_wr_ptr[0] ),
-    .RESET_B(net201),
+    .RESET_B(net215),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_resp_if.sync_wr_ptr_0[0] ));
- sky130_fd_sc_hd__dfrtp_1 _6913_ (.CLK(clknet_leaf_43_wbm_clk_i),
+ sky130_fd_sc_hd__dfrtp_1 _7013_ (.CLK(clknet_leaf_46_wbm_clk_i),
     .D(\u_async_wb.u_resp_if.grey_wr_ptr[1] ),
-    .RESET_B(net201),
+    .RESET_B(net215),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_resp_if.sync_wr_ptr_0[1] ));
- sky130_fd_sc_hd__dfrtp_1 _6914_ (.CLK(clknet_leaf_43_wbm_clk_i),
-    .D(net218),
-    .RESET_B(net201),
+ sky130_fd_sc_hd__dfrtp_2 _7014_ (.CLK(clknet_leaf_46_wbm_clk_i),
+    .D(net225),
+    .RESET_B(net215),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_resp_if.sync_wr_ptr_1[0] ));
- sky130_fd_sc_hd__dfrtp_1 _6915_ (.CLK(clknet_leaf_43_wbm_clk_i),
-    .D(net210),
-    .RESET_B(net201),
+ sky130_fd_sc_hd__dfrtp_1 _7015_ (.CLK(clknet_leaf_46_wbm_clk_i),
+    .D(net221),
+    .RESET_B(net215),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_resp_if.sync_wr_ptr_1[1] ));
- sky130_fd_sc_hd__dfrtp_1 _6916_ (.CLK(clknet_leaf_45_wbm_clk_i),
+ sky130_fd_sc_hd__dfrtp_1 _7016_ (.CLK(clknet_leaf_48_wbm_clk_i),
     .D(_0093_),
-    .RESET_B(net185),
+    .RESET_B(net187),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.grey_wr_ptr[0] ));
- sky130_fd_sc_hd__dfrtp_1 _6917_ (.CLK(clknet_leaf_45_wbm_clk_i),
+ sky130_fd_sc_hd__dfrtp_1 _7017_ (.CLK(clknet_leaf_50_wbm_clk_i),
     .D(_0094_),
-    .RESET_B(net185),
+    .RESET_B(net187),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.grey_wr_ptr[1] ));
- sky130_fd_sc_hd__dfrtp_4 _6918_ (.CLK(clknet_leaf_45_wbm_clk_i),
+ sky130_fd_sc_hd__dfrtp_2 _7018_ (.CLK(clknet_leaf_48_wbm_clk_i),
     .D(_0095_),
-    .RESET_B(net185),
+    .RESET_B(net187),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.grey_wr_ptr[2] ));
- sky130_fd_sc_hd__dfrtp_1 _6919_ (.CLK(clknet_leaf_44_wbm_clk_i),
+ sky130_fd_sc_hd__dfrtp_1 _7019_ (.CLK(clknet_leaf_46_wbm_clk_i),
     .D(_0096_),
-    .RESET_B(net201),
+    .RESET_B(net215),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_resp_if.grey_rd_ptr[0] ));
- sky130_fd_sc_hd__dfrtp_1 _6920_ (.CLK(clknet_leaf_44_wbm_clk_i),
+ sky130_fd_sc_hd__dfrtp_1 _7020_ (.CLK(clknet_leaf_47_wbm_clk_i),
     .D(_0097_),
-    .RESET_B(net201),
+    .RESET_B(net215),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_resp_if.grey_rd_ptr[1] ));
- sky130_fd_sc_hd__dfrtp_1 _6921_ (.CLK(clknet_3_3_0_wbs_clk_i),
+ sky130_fd_sc_hd__dfrtp_1 _7021_ (.CLK(clknet_3_7_0_wbs_clk_i),
     .D(\u_async_wb.u_resp_if.grey_rd_ptr[0] ),
-    .RESET_B(net212),
+    .RESET_B(net218),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_resp_if.sync_rd_ptr_0[0] ));
- sky130_fd_sc_hd__dfrtp_1 _6922_ (.CLK(clknet_3_3_0_wbs_clk_i),
+ sky130_fd_sc_hd__dfrtp_1 _7022_ (.CLK(clknet_3_5_0_wbs_clk_i),
     .D(\u_async_wb.u_resp_if.grey_rd_ptr[1] ),
-    .RESET_B(net212),
+    .RESET_B(net218),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_resp_if.sync_rd_ptr_0[1] ));
- sky130_fd_sc_hd__dfrtp_1 _6923_ (.CLK(clknet_3_3_0_wbs_clk_i),
-    .D(\u_async_wb.u_resp_if.sync_rd_ptr_0[0] ),
-    .RESET_B(net212),
+ sky130_fd_sc_hd__dfrtp_1 _7023_ (.CLK(clknet_3_5_0_wbs_clk_i),
+    .D(net220),
+    .RESET_B(net218),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_resp_if.sync_rd_ptr_1[0] ));
- sky130_fd_sc_hd__dfrtp_1 _6924_ (.CLK(clknet_3_3_0_wbs_clk_i),
+ sky130_fd_sc_hd__dfrtp_1 _7024_ (.CLK(clknet_3_5_0_wbs_clk_i),
     .D(\u_async_wb.u_resp_if.sync_rd_ptr_0[1] ),
-    .RESET_B(net212),
+    .RESET_B(net218),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_resp_if.sync_rd_ptr_1[1] ));
- sky130_fd_sc_hd__dfrtp_1 _6925_ (.CLK(clknet_leaf_45_wbm_clk_i),
+ sky130_fd_sc_hd__dfrtp_1 _7025_ (.CLK(clknet_leaf_50_wbm_clk_i),
     .D(_0098_),
-    .RESET_B(net185),
+    .RESET_B(net187),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.wr_ptr[0] ));
- sky130_fd_sc_hd__dfrtp_1 _6926_ (.CLK(clknet_leaf_45_wbm_clk_i),
+ sky130_fd_sc_hd__dfrtp_1 _7026_ (.CLK(clknet_leaf_50_wbm_clk_i),
     .D(_0099_),
-    .RESET_B(net185),
+    .RESET_B(net187),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.wr_ptr[1] ));
- sky130_fd_sc_hd__dfrtp_4 _6927_ (.CLK(clknet_3_2_0_wbs_clk_i),
+ sky130_fd_sc_hd__dfrtp_4 _7027_ (.CLK(clknet_3_5_0_wbs_clk_i),
     .D(_0100_),
-    .RESET_B(net212),
+    .RESET_B(net218),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.rd_ptr[0] ));
- sky130_fd_sc_hd__dfrtp_4 _6928_ (.CLK(clknet_3_3_0_wbs_clk_i),
+ sky130_fd_sc_hd__dfrtp_4 _7028_ (.CLK(clknet_3_5_0_wbs_clk_i),
     .D(_0101_),
-    .RESET_B(net212),
+    .RESET_B(net218),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.rd_ptr[1] ));
- sky130_fd_sc_hd__dfrtp_1 _6929_ (.CLK(clknet_3_3_0_wbs_clk_i),
+ sky130_fd_sc_hd__dfrtp_1 _7029_ (.CLK(clknet_3_5_0_wbs_clk_i),
     .D(_0102_),
-    .RESET_B(net212),
+    .RESET_B(net218),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.grey_rd_ptr[2] ));
- sky130_fd_sc_hd__dfxtp_1 _6930_ (.CLK(clknet_3_3_0_wbs_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _7030_ (.CLK(clknet_3_6_0_wbs_clk_i),
     .D(_0103_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_resp_if.mem[0][0] ));
- sky130_fd_sc_hd__dfxtp_1 _6931_ (.CLK(clknet_3_3_0_wbs_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _7031_ (.CLK(clknet_3_1_0_wbs_clk_i),
     .D(_0104_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_resp_if.mem[0][1] ));
- sky130_fd_sc_hd__dfxtp_1 _6932_ (.CLK(clknet_3_0_0_wbs_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _7032_ (.CLK(clknet_3_4_0_wbs_clk_i),
     .D(_0105_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_resp_if.mem[0][2] ));
- sky130_fd_sc_hd__dfxtp_1 _6933_ (.CLK(clknet_3_1_0_wbs_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _7033_ (.CLK(clknet_3_1_0_wbs_clk_i),
     .D(_0106_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_resp_if.mem[0][3] ));
- sky130_fd_sc_hd__dfxtp_1 _6934_ (.CLK(clknet_3_4_0_wbs_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _7034_ (.CLK(clknet_3_6_0_wbs_clk_i),
     .D(_0107_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_resp_if.mem[0][4] ));
- sky130_fd_sc_hd__dfxtp_1 _6935_ (.CLK(clknet_3_6_0_wbs_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _7035_ (.CLK(clknet_3_6_0_wbs_clk_i),
     .D(_0108_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_resp_if.mem[0][5] ));
- sky130_fd_sc_hd__dfxtp_1 _6936_ (.CLK(clknet_3_7_0_wbs_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _7036_ (.CLK(clknet_3_3_0_wbs_clk_i),
     .D(_0109_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_resp_if.mem[0][6] ));
- sky130_fd_sc_hd__dfxtp_1 _6937_ (.CLK(clknet_3_6_0_wbs_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _7037_ (.CLK(clknet_3_6_0_wbs_clk_i),
     .D(_0110_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_resp_if.mem[0][7] ));
- sky130_fd_sc_hd__dfxtp_1 _6938_ (.CLK(clknet_3_6_0_wbs_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _7038_ (.CLK(clknet_3_6_0_wbs_clk_i),
     .D(_0111_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_resp_if.mem[0][8] ));
- sky130_fd_sc_hd__dfxtp_1 _6939_ (.CLK(clknet_3_6_0_wbs_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _7039_ (.CLK(clknet_3_7_0_wbs_clk_i),
     .D(_0112_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_resp_if.mem[0][9] ));
- sky130_fd_sc_hd__dfxtp_1 _6940_ (.CLK(clknet_3_7_0_wbs_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _7040_ (.CLK(clknet_3_6_0_wbs_clk_i),
     .D(_0113_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_resp_if.mem[0][10] ));
- sky130_fd_sc_hd__dfxtp_1 _6941_ (.CLK(clknet_3_7_0_wbs_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _7041_ (.CLK(clknet_3_6_0_wbs_clk_i),
     .D(_0114_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_resp_if.mem[0][11] ));
- sky130_fd_sc_hd__dfxtp_1 _6942_ (.CLK(clknet_3_7_0_wbs_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _7042_ (.CLK(clknet_3_3_0_wbs_clk_i),
     .D(_0115_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_resp_if.mem[0][12] ));
- sky130_fd_sc_hd__dfxtp_1 _6943_ (.CLK(clknet_3_7_0_wbs_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _7043_ (.CLK(clknet_3_3_0_wbs_clk_i),
     .D(_0116_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_resp_if.mem[0][13] ));
- sky130_fd_sc_hd__dfxtp_1 _6944_ (.CLK(clknet_3_7_0_wbs_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _7044_ (.CLK(clknet_3_3_0_wbs_clk_i),
     .D(_0117_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_resp_if.mem[0][14] ));
- sky130_fd_sc_hd__dfxtp_1 _6945_ (.CLK(clknet_3_7_0_wbs_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _7045_ (.CLK(clknet_3_3_0_wbs_clk_i),
     .D(_0118_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_resp_if.mem[0][15] ));
- sky130_fd_sc_hd__dfxtp_1 _6946_ (.CLK(clknet_3_5_0_wbs_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _7046_ (.CLK(clknet_3_3_0_wbs_clk_i),
     .D(_0119_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_resp_if.mem[0][16] ));
- sky130_fd_sc_hd__dfxtp_1 _6947_ (.CLK(clknet_3_5_0_wbs_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _7047_ (.CLK(clknet_3_2_0_wbs_clk_i),
     .D(_0120_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_resp_if.mem[0][17] ));
- sky130_fd_sc_hd__dfxtp_1 _6948_ (.CLK(clknet_3_5_0_wbs_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _7048_ (.CLK(clknet_3_2_0_wbs_clk_i),
     .D(_0121_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_resp_if.mem[0][18] ));
- sky130_fd_sc_hd__dfxtp_1 _6949_ (.CLK(clknet_3_5_0_wbs_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _7049_ (.CLK(clknet_3_2_0_wbs_clk_i),
     .D(_0122_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_resp_if.mem[0][19] ));
- sky130_fd_sc_hd__dfxtp_1 _6950_ (.CLK(clknet_3_4_0_wbs_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _7050_ (.CLK(clknet_3_2_0_wbs_clk_i),
     .D(_0123_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_resp_if.mem[0][20] ));
- sky130_fd_sc_hd__dfxtp_1 _6951_ (.CLK(clknet_3_4_0_wbs_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _7051_ (.CLK(clknet_3_0_0_wbs_clk_i),
     .D(_0124_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_resp_if.mem[0][21] ));
- sky130_fd_sc_hd__dfxtp_1 _6952_ (.CLK(clknet_3_5_0_wbs_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _7052_ (.CLK(clknet_3_2_0_wbs_clk_i),
     .D(_0125_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_resp_if.mem[0][22] ));
- sky130_fd_sc_hd__dfxtp_1 _6953_ (.CLK(clknet_3_4_0_wbs_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _7053_ (.CLK(clknet_3_0_0_wbs_clk_i),
     .D(_0126_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_resp_if.mem[0][23] ));
- sky130_fd_sc_hd__dfxtp_1 _6954_ (.CLK(clknet_3_4_0_wbs_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _7054_ (.CLK(clknet_3_0_0_wbs_clk_i),
     .D(_0127_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_resp_if.mem[0][24] ));
- sky130_fd_sc_hd__dfxtp_1 _6955_ (.CLK(clknet_3_1_0_wbs_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _7055_ (.CLK(clknet_3_0_0_wbs_clk_i),
     .D(_0128_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_resp_if.mem[0][25] ));
- sky130_fd_sc_hd__dfxtp_1 _6956_ (.CLK(clknet_3_1_0_wbs_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _7056_ (.CLK(clknet_3_0_0_wbs_clk_i),
     .D(_0129_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_resp_if.mem[0][26] ));
- sky130_fd_sc_hd__dfxtp_1 _6957_ (.CLK(clknet_3_1_0_wbs_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _7057_ (.CLK(clknet_3_0_0_wbs_clk_i),
     .D(_0130_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_resp_if.mem[0][27] ));
- sky130_fd_sc_hd__dfxtp_1 _6958_ (.CLK(clknet_3_0_0_wbs_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _7058_ (.CLK(clknet_3_1_0_wbs_clk_i),
     .D(_0131_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_resp_if.mem[0][28] ));
- sky130_fd_sc_hd__dfxtp_1 _6959_ (.CLK(clknet_3_0_0_wbs_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _7059_ (.CLK(clknet_3_1_0_wbs_clk_i),
     .D(_0132_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_resp_if.mem[0][29] ));
- sky130_fd_sc_hd__dfxtp_1 _6960_ (.CLK(clknet_3_0_0_wbs_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _7060_ (.CLK(clknet_3_1_0_wbs_clk_i),
     .D(_0133_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_resp_if.mem[0][30] ));
- sky130_fd_sc_hd__dfxtp_1 _6961_ (.CLK(clknet_3_0_0_wbs_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _7061_ (.CLK(clknet_3_1_0_wbs_clk_i),
     .D(_0134_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_resp_if.mem[0][31] ));
- sky130_fd_sc_hd__dfxtp_1 _6962_ (.CLK(clknet_3_3_0_wbs_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _7062_ (.CLK(clknet_3_6_0_wbs_clk_i),
     .D(_0135_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_resp_if.mem[0][32] ));
- sky130_fd_sc_hd__dfrtp_1 _6963_ (.CLK(clknet_3_2_0_wbs_clk_i),
+ sky130_fd_sc_hd__dfrtp_1 _7063_ (.CLK(clknet_3_4_0_wbs_clk_i),
     .D(\u_async_wb.u_cmd_if.grey_wr_ptr[0] ),
-    .RESET_B(net212),
+    .RESET_B(net218),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.sync_wr_ptr_0[0] ));
- sky130_fd_sc_hd__dfrtp_1 _6964_ (.CLK(clknet_3_2_0_wbs_clk_i),
+ sky130_fd_sc_hd__dfrtp_1 _7064_ (.CLK(clknet_3_4_0_wbs_clk_i),
     .D(\u_async_wb.u_cmd_if.grey_wr_ptr[1] ),
-    .RESET_B(net212),
+    .RESET_B(net218),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.sync_wr_ptr_0[1] ));
- sky130_fd_sc_hd__dfrtp_1 _6965_ (.CLK(clknet_3_2_0_wbs_clk_i),
+ sky130_fd_sc_hd__dfrtp_1 _7065_ (.CLK(clknet_3_4_0_wbs_clk_i),
     .D(\u_async_wb.u_cmd_if.grey_wr_ptr[2] ),
-    .RESET_B(net212),
+    .RESET_B(net218),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.sync_wr_ptr_0[2] ));
- sky130_fd_sc_hd__dfrtp_1 _6966_ (.CLK(clknet_3_2_0_wbs_clk_i),
-    .D(net224),
-    .RESET_B(net212),
+ sky130_fd_sc_hd__dfrtp_1 _7066_ (.CLK(clknet_3_4_0_wbs_clk_i),
+    .D(net235),
+    .RESET_B(net218),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.sync_wr_ptr_1[0] ));
- sky130_fd_sc_hd__dfrtp_1 _6967_ (.CLK(clknet_3_2_0_wbs_clk_i),
+ sky130_fd_sc_hd__dfrtp_1 _7067_ (.CLK(clknet_3_4_0_wbs_clk_i),
     .D(\u_async_wb.u_cmd_if.sync_wr_ptr_0[1] ),
-    .RESET_B(net212),
+    .RESET_B(net218),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.sync_wr_ptr_1[1] ));
- sky130_fd_sc_hd__dfrtp_1 _6968_ (.CLK(clknet_3_2_0_wbs_clk_i),
+ sky130_fd_sc_hd__dfrtp_1 _7068_ (.CLK(clknet_3_4_0_wbs_clk_i),
     .D(\u_async_wb.u_cmd_if.sync_wr_ptr_0[2] ),
-    .RESET_B(net212),
+    .RESET_B(net218),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.sync_wr_ptr[2] ));
- sky130_fd_sc_hd__dfrtp_4 _6969_ (.CLK(clknet_leaf_12_wbm_clk_i),
+ sky130_fd_sc_hd__dfrtp_4 _7069_ (.CLK(clknet_leaf_12_wbm_clk_i),
     .D(_0136_),
-    .RESET_B(net181),
+    .RESET_B(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.PendingRd ));
- sky130_fd_sc_hd__dfrtp_1 _6970_ (.CLK(clknet_3_2_0_wbs_clk_i),
+ sky130_fd_sc_hd__dfrtp_1 _7070_ (.CLK(clknet_3_5_0_wbs_clk_i),
     .D(_0137_),
-    .RESET_B(net212),
+    .RESET_B(net218),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.grey_rd_ptr[0] ));
- sky130_fd_sc_hd__dfrtp_1 _6971_ (.CLK(clknet_3_2_0_wbs_clk_i),
+ sky130_fd_sc_hd__dfrtp_1 _7071_ (.CLK(clknet_3_5_0_wbs_clk_i),
     .D(_0138_),
-    .RESET_B(net212),
+    .RESET_B(net218),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.grey_rd_ptr[1] ));
- sky130_fd_sc_hd__dfrtp_1 _6972_ (.CLK(clknet_leaf_45_wbm_clk_i),
+ sky130_fd_sc_hd__dfrtp_1 _7072_ (.CLK(clknet_leaf_49_wbm_clk_i),
     .D(\u_async_wb.u_cmd_if.grey_rd_ptr[0] ),
-    .RESET_B(net185),
+    .RESET_B(net187),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.sync_rd_ptr_0[0] ));
- sky130_fd_sc_hd__dfrtp_1 _6973_ (.CLK(clknet_leaf_44_wbm_clk_i),
+ sky130_fd_sc_hd__dfrtp_1 _7073_ (.CLK(clknet_leaf_47_wbm_clk_i),
     .D(\u_async_wb.u_cmd_if.grey_rd_ptr[1] ),
-    .RESET_B(net201),
+    .RESET_B(net215),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.sync_rd_ptr_0[1] ));
- sky130_fd_sc_hd__dfrtp_1 _6974_ (.CLK(clknet_leaf_44_wbm_clk_i),
+ sky130_fd_sc_hd__dfrtp_1 _7074_ (.CLK(clknet_leaf_47_wbm_clk_i),
     .D(\u_async_wb.u_cmd_if.grey_rd_ptr[2] ),
-    .RESET_B(net201),
+    .RESET_B(net215),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.sync_rd_ptr_0[2] ));
- sky130_fd_sc_hd__dfrtp_1 _6975_ (.CLK(clknet_leaf_45_wbm_clk_i),
-    .D(net217),
-    .RESET_B(net201),
+ sky130_fd_sc_hd__dfrtp_2 _7075_ (.CLK(clknet_leaf_49_wbm_clk_i),
+    .D(net226),
+    .RESET_B(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.sync_rd_ptr_1[0] ));
- sky130_fd_sc_hd__dfrtp_1 _6976_ (.CLK(clknet_leaf_44_wbm_clk_i),
-    .D(net216),
-    .RESET_B(net201),
+ sky130_fd_sc_hd__dfrtp_1 _7076_ (.CLK(clknet_leaf_47_wbm_clk_i),
+    .D(net228),
+    .RESET_B(net215),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.sync_rd_ptr_1[1] ));
- sky130_fd_sc_hd__dfrtp_4 _6977_ (.CLK(clknet_leaf_44_wbm_clk_i),
-    .D(net220),
-    .RESET_B(net201),
+ sky130_fd_sc_hd__dfrtp_4 _7077_ (.CLK(clknet_leaf_47_wbm_clk_i),
+    .D(net223),
+    .RESET_B(net215),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.sync_rd_ptr[2] ));
- sky130_fd_sc_hd__dfrtp_1 _6978_ (.CLK(clknet_leaf_63_wbm_clk_i),
-    .D(net195),
-    .RESET_B(net179),
+ sky130_fd_sc_hd__dfrtp_1 _7078_ (.CLK(clknet_leaf_70_wbm_clk_i),
+    .D(net211),
+    .RESET_B(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_arb.gnt[0] ));
- sky130_fd_sc_hd__dfrtp_1 _6979_ (.CLK(clknet_leaf_63_wbm_clk_i),
+ sky130_fd_sc_hd__dfrtp_1 _7079_ (.CLK(clknet_leaf_70_wbm_clk_i),
     .D(_0140_),
-    .RESET_B(net179),
+    .RESET_B(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_arb.gnt[1] ));
- sky130_fd_sc_hd__dfrtp_1 _6980_ (.CLK(clknet_3_6_0_wbs_clk_i),
+ sky130_fd_sc_hd__dfrtp_1 _7080_ (.CLK(clknet_3_4_0_wbs_clk_i),
     .D(wbs_ack_i),
-    .RESET_B(net212),
+    .RESET_B(net218),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.wbs_ack_f ));
- sky130_fd_sc_hd__dfrtp_1 _6981_ (.CLK(\u_wbclk.mclk ),
+ sky130_fd_sc_hd__dfrtp_1 _7081_ (.CLK(\u_wbclk.mclk ),
     .D(_0011_),
-    .RESET_B(net183),
+    .RESET_B(net184),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_wbclk.clk_o ));
- sky130_fd_sc_hd__dfrtp_1 _6982_ (.CLK(\u_wbclk.mclk ),
+ sky130_fd_sc_hd__dfrtp_1 _7082_ (.CLK(\u_wbclk.mclk ),
     .D(_0141_),
-    .RESET_B(net183),
+    .RESET_B(net184),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_wbclk.high_count[0] ));
- sky130_fd_sc_hd__dfrtp_1 _6983_ (.CLK(\u_wbclk.mclk ),
+ sky130_fd_sc_hd__dfrtp_1 _7083_ (.CLK(\u_wbclk.mclk ),
     .D(_0142_),
-    .RESET_B(net183),
+    .RESET_B(net184),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_wbclk.high_count[1] ));
- sky130_fd_sc_hd__dfrtp_1 _6984_ (.CLK(user_clock2),
+ sky130_fd_sc_hd__dfrtp_1 _7084_ (.CLK(user_clock2),
     .D(_0143_),
-    .RESET_B(net182),
+    .RESET_B(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usbclk.low_count[0] ));
- sky130_fd_sc_hd__dfrtp_1 _6985_ (.CLK(user_clock2),
+ sky130_fd_sc_hd__dfrtp_1 _7085_ (.CLK(user_clock2),
     .D(_0144_),
-    .RESET_B(net182),
+    .RESET_B(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usbclk.low_count[1] ));
- sky130_fd_sc_hd__dfrtp_1 _6986_ (.CLK(user_clock2),
+ sky130_fd_sc_hd__dfrtp_1 _7086_ (.CLK(user_clock2),
     .D(_0145_),
-    .RESET_B(net182),
+    .RESET_B(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usbclk.low_count[2] ));
- sky130_fd_sc_hd__dfrtp_1 _6987_ (.CLK(\u_cpu_clk_sel.A0 ),
+ sky130_fd_sc_hd__dfrtp_1 _7087_ (.CLK(user_clock2),
+    .D(_0146_),
+    .RESET_B(net181),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_usbclk.low_count[3] ));
+ sky130_fd_sc_hd__dfrtp_1 _7088_ (.CLK(user_clock2),
+    .D(_0147_),
+    .RESET_B(net181),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_usbclk.low_count[4] ));
+ sky130_fd_sc_hd__dfrtp_1 _7089_ (.CLK(user_clock2),
+    .D(_0148_),
+    .RESET_B(net181),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_usbclk.low_count[5] ));
+ sky130_fd_sc_hd__dfrtp_1 _7090_ (.CLK(user_clock2),
+    .D(_0149_),
+    .RESET_B(net181),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_usbclk.low_count[6] ));
+ sky130_fd_sc_hd__dfrtp_1 _7091_ (.CLK(\u_cpu_clk_sel.A0 ),
     .D(_0007_),
-    .RESET_B(net182),
+    .RESET_B(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_cpu_clk_sel.A1 ));
- sky130_fd_sc_hd__dfrtp_1 _6988_ (.CLK(\u_cpu_clk_sel.A0 ),
-    .D(_0146_),
-    .RESET_B(net182),
+ sky130_fd_sc_hd__dfrtp_1 _7092_ (.CLK(\u_cpu_clk_sel.A0 ),
+    .D(_0150_),
+    .RESET_B(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_cpuclk.high_count[0] ));
- sky130_fd_sc_hd__dfrtp_1 _6989_ (.CLK(\u_cpu_clk_sel.A0 ),
-    .D(_0147_),
-    .RESET_B(net182),
+ sky130_fd_sc_hd__dfrtp_1 _7093_ (.CLK(\u_cpu_clk_sel.A0 ),
+    .D(_0151_),
+    .RESET_B(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_cpuclk.high_count[1] ));
- sky130_fd_sc_hd__dfrtp_2 _6990_ (.CLK(clknet_leaf_33_wbm_clk_i),
-    .D(_0148_),
+ sky130_fd_sc_hd__dfrtp_4 _7094_ (.CLK(clknet_leaf_15_wbm_clk_i),
+    .D(_0152_),
     .RESET_B(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_clk_ctrl2.gen_bit_reg[9].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_4 _6991_ (.CLK(user_clock2),
+ sky130_fd_sc_hd__dfrtp_4 _7095_ (.CLK(user_clock2),
     .D(_0008_),
-    .RESET_B(net182),
+    .RESET_B(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_clkbuf_rtc.A ));
- sky130_fd_sc_hd__dfrtp_1 _6992_ (.CLK(user_clock2),
-    .D(_0149_),
-    .RESET_B(net182),
+ sky130_fd_sc_hd__dfrtp_1 _7096_ (.CLK(user_clock2),
+    .D(_0153_),
+    .RESET_B(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_rtcclk.high_count[0] ));
- sky130_fd_sc_hd__dfrtp_1 _6993_ (.CLK(user_clock2),
-    .D(_0150_),
-    .RESET_B(net182),
+ sky130_fd_sc_hd__dfrtp_1 _7097_ (.CLK(user_clock2),
+    .D(_0154_),
+    .RESET_B(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_rtcclk.high_count[1] ));
- sky130_fd_sc_hd__dfrtp_1 _6994_ (.CLK(user_clock2),
-    .D(_0151_),
-    .RESET_B(net182),
+ sky130_fd_sc_hd__dfrtp_1 _7098_ (.CLK(user_clock2),
+    .D(_0155_),
+    .RESET_B(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_rtcclk.high_count[2] ));
- sky130_fd_sc_hd__dfrtp_1 _6995_ (.CLK(user_clock2),
-    .D(_0152_),
-    .RESET_B(net182),
+ sky130_fd_sc_hd__dfrtp_1 _7099_ (.CLK(user_clock2),
+    .D(_0156_),
+    .RESET_B(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_rtcclk.high_count[3] ));
- sky130_fd_sc_hd__dfrtp_1 _6996_ (.CLK(user_clock2),
-    .D(_0153_),
-    .RESET_B(net182),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_rtcclk.high_count[4] ));
- sky130_fd_sc_hd__dfrtp_1 _6997_ (.CLK(user_clock2),
-    .D(_0154_),
-    .RESET_B(net182),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_rtcclk.high_count[5] ));
- sky130_fd_sc_hd__dfrtp_1 _6998_ (.CLK(user_clock2),
-    .D(_0155_),
-    .RESET_B(net182),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_rtcclk.high_count[6] ));
- sky130_fd_sc_hd__dfrtp_1 _6999_ (.CLK(user_clock2),
-    .D(_0156_),
-    .RESET_B(net182),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_rtcclk.high_count[7] ));
- sky130_fd_sc_hd__dfrtp_2 _7000_ (.CLK(clknet_leaf_23_wbm_clk_i),
+ sky130_fd_sc_hd__dfrtp_1 _7100_ (.CLK(user_clock2),
     .D(_0157_),
     .RESET_B(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .Q(\u_rtcclk.high_count[4] ));
+ sky130_fd_sc_hd__dfrtp_1 _7101_ (.CLK(user_clock2),
+    .D(_0158_),
+    .RESET_B(net183),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_rtcclk.high_count[5] ));
+ sky130_fd_sc_hd__dfrtp_1 _7102_ (.CLK(user_clock2),
+    .D(_0159_),
+    .RESET_B(net183),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_rtcclk.high_count[6] ));
+ sky130_fd_sc_hd__dfrtp_1 _7103_ (.CLK(user_clock2),
+    .D(_0160_),
+    .RESET_B(net183),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_rtcclk.high_count[7] ));
+ sky130_fd_sc_hd__dfrtp_1 _7104_ (.CLK(clknet_leaf_23_wbm_clk_i),
+    .D(_0161_),
+    .RESET_B(net184),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .Q(\u_glb_ctrl.gen_bit_reg[9].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _7001_ (.CLK(user_clock2),
+ sky130_fd_sc_hd__dfrtp_1 _7105_ (.CLK(user_clock2),
     .D(_0010_),
-    .RESET_B(net182),
+    .RESET_B(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usb_clk_sel.A1 ));
- sky130_fd_sc_hd__dfrtp_1 _7002_ (.CLK(user_clock2),
-    .D(_0158_),
-    .RESET_B(net182),
+ sky130_fd_sc_hd__dfrtp_1 _7106_ (.CLK(user_clock2),
+    .D(_0162_),
+    .RESET_B(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usbclk.high_count[0] ));
- sky130_fd_sc_hd__dfrtp_1 _7003_ (.CLK(user_clock2),
-    .D(_0159_),
-    .RESET_B(net182),
+ sky130_fd_sc_hd__dfrtp_1 _7107_ (.CLK(user_clock2),
+    .D(_0163_),
+    .RESET_B(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usbclk.high_count[1] ));
- sky130_fd_sc_hd__dfrtp_1 _7004_ (.CLK(user_clock2),
-    .D(_0160_),
-    .RESET_B(net182),
+ sky130_fd_sc_hd__dfrtp_1 _7108_ (.CLK(user_clock2),
+    .D(_0164_),
+    .RESET_B(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_usbclk.high_count[2] ));
- sky130_fd_sc_hd__dfxtp_1 _7005_ (.CLK(\clknet_leaf_32_u_uart2wb.baud_clk_16x ),
-    .D(_0161_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.cmd[0] ));
- sky130_fd_sc_hd__dfxtp_1 _7006_ (.CLK(\clknet_leaf_31_u_uart2wb.baud_clk_16x ),
-    .D(_0162_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.cmd[1] ));
- sky130_fd_sc_hd__dfxtp_1 _7007_ (.CLK(\clknet_leaf_31_u_uart2wb.baud_clk_16x ),
-    .D(_0163_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.cmd[2] ));
- sky130_fd_sc_hd__dfxtp_1 _7008_ (.CLK(\clknet_leaf_28_u_uart2wb.baud_clk_16x ),
-    .D(_0164_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.cmd[3] ));
- sky130_fd_sc_hd__dfxtp_1 _7009_ (.CLK(\clknet_leaf_28_u_uart2wb.baud_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _7109_ (.CLK(user_clock2),
     .D(_0165_),
+    .RESET_B(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.cmd[4] ));
- sky130_fd_sc_hd__dfxtp_1 _7010_ (.CLK(\clknet_leaf_28_u_uart2wb.baud_clk_16x ),
+    .Q(\u_usbclk.high_count[3] ));
+ sky130_fd_sc_hd__dfrtp_1 _7110_ (.CLK(user_clock2),
     .D(_0166_),
+    .RESET_B(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.cmd[5] ));
- sky130_fd_sc_hd__dfxtp_1 _7011_ (.CLK(\clknet_leaf_27_u_uart2wb.baud_clk_16x ),
+    .Q(\u_usbclk.high_count[4] ));
+ sky130_fd_sc_hd__dfrtp_1 _7111_ (.CLK(user_clock2),
     .D(_0167_),
+    .RESET_B(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.cmd[6] ));
- sky130_fd_sc_hd__dfxtp_1 _7012_ (.CLK(\clknet_leaf_31_u_uart2wb.baud_clk_16x ),
+    .Q(\u_usbclk.high_count[5] ));
+ sky130_fd_sc_hd__dfrtp_1 _7112_ (.CLK(user_clock2),
     .D(_0168_),
+    .RESET_B(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.cmd[7] ));
- sky130_fd_sc_hd__dfxtp_1 _7013_ (.CLK(\clknet_leaf_32_u_uart2wb.baud_clk_16x ),
+    .Q(\u_usbclk.high_count[6] ));
+ sky130_fd_sc_hd__dfxtp_1 _7113_ (.CLK(\clknet_leaf_34_u_uart2wb.baud_clk_16x ),
     .D(_0169_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.cmd[8] ));
- sky130_fd_sc_hd__dfxtp_1 _7014_ (.CLK(\clknet_leaf_31_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.cmd[0] ));
+ sky130_fd_sc_hd__dfxtp_1 _7114_ (.CLK(\clknet_leaf_33_u_uart2wb.baud_clk_16x ),
     .D(_0170_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.cmd[9] ));
- sky130_fd_sc_hd__dfxtp_1 _7015_ (.CLK(\clknet_leaf_31_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.cmd[1] ));
+ sky130_fd_sc_hd__dfxtp_1 _7115_ (.CLK(\clknet_leaf_34_u_uart2wb.baud_clk_16x ),
     .D(_0171_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.cmd[10] ));
- sky130_fd_sc_hd__dfxtp_1 _7016_ (.CLK(\clknet_leaf_31_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.cmd[2] ));
+ sky130_fd_sc_hd__dfxtp_1 _7116_ (.CLK(\clknet_leaf_31_u_uart2wb.baud_clk_16x ),
     .D(_0172_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.cmd[11] ));
- sky130_fd_sc_hd__dfxtp_1 _7017_ (.CLK(\clknet_leaf_28_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.cmd[3] ));
+ sky130_fd_sc_hd__dfxtp_1 _7117_ (.CLK(\clknet_leaf_31_u_uart2wb.baud_clk_16x ),
     .D(_0173_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.cmd[12] ));
- sky130_fd_sc_hd__dfxtp_1 _7018_ (.CLK(\clknet_leaf_28_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.cmd[4] ));
+ sky130_fd_sc_hd__dfxtp_1 _7118_ (.CLK(\clknet_leaf_30_u_uart2wb.baud_clk_16x ),
     .D(_0174_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.cmd[13] ));
- sky130_fd_sc_hd__dfxtp_1 _7019_ (.CLK(\clknet_leaf_28_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.cmd[5] ));
+ sky130_fd_sc_hd__dfxtp_1 _7119_ (.CLK(\clknet_leaf_30_u_uart2wb.baud_clk_16x ),
     .D(_0175_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.cmd[14] ));
- sky130_fd_sc_hd__dfxtp_1 _7020_ (.CLK(\clknet_leaf_29_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.cmd[6] ));
+ sky130_fd_sc_hd__dfxtp_1 _7120_ (.CLK(\clknet_leaf_31_u_uart2wb.baud_clk_16x ),
     .D(_0176_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.cmd[15] ));
- sky130_fd_sc_hd__dfrtp_1 _7021_ (.CLK(\u_wbclk.mclk ),
+    .Q(\u_uart2wb.u_msg.cmd[7] ));
+ sky130_fd_sc_hd__dfxtp_1 _7121_ (.CLK(\clknet_leaf_36_u_uart2wb.baud_clk_16x ),
     .D(_0177_),
-    .RESET_B(net183),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_uart2wb.u_msg.cmd[8] ));
+ sky130_fd_sc_hd__dfxtp_1 _7122_ (.CLK(\clknet_leaf_33_u_uart2wb.baud_clk_16x ),
+    .D(_0178_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_uart2wb.u_msg.cmd[9] ));
+ sky130_fd_sc_hd__dfxtp_1 _7123_ (.CLK(\clknet_leaf_36_u_uart2wb.baud_clk_16x ),
+    .D(_0179_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_uart2wb.u_msg.cmd[10] ));
+ sky130_fd_sc_hd__dfxtp_1 _7124_ (.CLK(\clknet_leaf_31_u_uart2wb.baud_clk_16x ),
+    .D(_0180_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_uart2wb.u_msg.cmd[11] ));
+ sky130_fd_sc_hd__dfxtp_1 _7125_ (.CLK(\clknet_leaf_32_u_uart2wb.baud_clk_16x ),
+    .D(_0181_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_uart2wb.u_msg.cmd[12] ));
+ sky130_fd_sc_hd__dfxtp_1 _7126_ (.CLK(\clknet_leaf_32_u_uart2wb.baud_clk_16x ),
+    .D(_0182_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_uart2wb.u_msg.cmd[13] ));
+ sky130_fd_sc_hd__dfxtp_1 _7127_ (.CLK(\clknet_leaf_31_u_uart2wb.baud_clk_16x ),
+    .D(_0183_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_uart2wb.u_msg.cmd[14] ));
+ sky130_fd_sc_hd__dfxtp_1 _7128_ (.CLK(\clknet_leaf_32_u_uart2wb.baud_clk_16x ),
+    .D(_0184_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_uart2wb.u_msg.cmd[15] ));
+ sky130_fd_sc_hd__dfrtp_1 _7129_ (.CLK(\u_wbclk.mclk ),
+    .D(_0185_),
+    .RESET_B(net184),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_wbclk.low_count[0] ));
- sky130_fd_sc_hd__dfrtp_1 _7022_ (.CLK(\u_wbclk.mclk ),
-    .D(_0178_),
-    .RESET_B(net183),
+ sky130_fd_sc_hd__dfrtp_1 _7130_ (.CLK(\u_wbclk.mclk ),
+    .D(_0186_),
+    .RESET_B(net184),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_wbclk.low_count[1] ));
- sky130_fd_sc_hd__dfrtp_1 _7023_ (.CLK(clknet_leaf_12_wbm_clk_i),
+ sky130_fd_sc_hd__dfrtp_1 _7131_ (.CLK(clknet_leaf_37_wbm_clk_i),
     .D(_0000_),
-    .RESET_B(net181),
+    .RESET_B(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(reg_ack));
- sky130_fd_sc_hd__dfrtp_1 _7024_ (.CLK(clknet_leaf_34_wbm_clk_i),
-    .D(_0179_),
-    .RESET_B(net184),
+ sky130_fd_sc_hd__dfrtp_1 _7132_ (.CLK(clknet_leaf_35_wbm_clk_i),
+    .D(_0187_),
+    .RESET_B(net187),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\reg_rdata[0] ));
- sky130_fd_sc_hd__dfrtp_1 _7025_ (.CLK(clknet_leaf_34_wbm_clk_i),
-    .D(_0180_),
-    .RESET_B(net184),
+ sky130_fd_sc_hd__dfrtp_1 _7133_ (.CLK(clknet_leaf_14_wbm_clk_i),
+    .D(_0188_),
+    .RESET_B(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\reg_rdata[1] ));
- sky130_fd_sc_hd__dfrtp_1 _7026_ (.CLK(clknet_leaf_33_wbm_clk_i),
-    .D(_0181_),
-    .RESET_B(net184),
+ sky130_fd_sc_hd__dfrtp_1 _7134_ (.CLK(clknet_leaf_35_wbm_clk_i),
+    .D(_0189_),
+    .RESET_B(net187),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\reg_rdata[2] ));
- sky130_fd_sc_hd__dfrtp_1 _7027_ (.CLK(clknet_leaf_33_wbm_clk_i),
-    .D(_0182_),
-    .RESET_B(net185),
+ sky130_fd_sc_hd__dfrtp_1 _7135_ (.CLK(clknet_leaf_34_wbm_clk_i),
+    .D(_0190_),
+    .RESET_B(net187),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\reg_rdata[3] ));
- sky130_fd_sc_hd__dfrtp_1 _7028_ (.CLK(clknet_leaf_41_wbm_clk_i),
-    .D(_0183_),
-    .RESET_B(net185),
+ sky130_fd_sc_hd__dfrtp_1 _7136_ (.CLK(clknet_leaf_34_wbm_clk_i),
+    .D(_0191_),
+    .RESET_B(net187),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\reg_rdata[4] ));
- sky130_fd_sc_hd__dfrtp_1 _7029_ (.CLK(clknet_leaf_31_wbm_clk_i),
-    .D(_0184_),
-    .RESET_B(net185),
+ sky130_fd_sc_hd__dfrtp_1 _7137_ (.CLK(clknet_leaf_35_wbm_clk_i),
+    .D(_0192_),
+    .RESET_B(net187),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\reg_rdata[5] ));
- sky130_fd_sc_hd__dfrtp_1 _7030_ (.CLK(clknet_leaf_31_wbm_clk_i),
-    .D(_0185_),
-    .RESET_B(net185),
+ sky130_fd_sc_hd__dfrtp_1 _7138_ (.CLK(clknet_leaf_33_wbm_clk_i),
+    .D(_0193_),
+    .RESET_B(net184),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\reg_rdata[6] ));
- sky130_fd_sc_hd__dfrtp_1 _7031_ (.CLK(clknet_leaf_30_wbm_clk_i),
-    .D(_0186_),
-    .RESET_B(net185),
+ sky130_fd_sc_hd__dfrtp_1 _7139_ (.CLK(clknet_leaf_33_wbm_clk_i),
+    .D(_0194_),
+    .RESET_B(net187),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\reg_rdata[7] ));
- sky130_fd_sc_hd__dfrtp_1 _7032_ (.CLK(clknet_leaf_30_wbm_clk_i),
-    .D(_0187_),
-    .RESET_B(net185),
+ sky130_fd_sc_hd__dfrtp_1 _7140_ (.CLK(clknet_leaf_30_wbm_clk_i),
+    .D(_0195_),
+    .RESET_B(net179),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\reg_rdata[8] ));
- sky130_fd_sc_hd__dfrtp_1 _7033_ (.CLK(clknet_leaf_30_wbm_clk_i),
-    .D(_0188_),
-    .RESET_B(net185),
+ sky130_fd_sc_hd__dfrtp_1 _7141_ (.CLK(clknet_leaf_31_wbm_clk_i),
+    .D(_0196_),
+    .RESET_B(net179),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\reg_rdata[9] ));
- sky130_fd_sc_hd__dfrtp_1 _7034_ (.CLK(clknet_leaf_30_wbm_clk_i),
-    .D(_0189_),
-    .RESET_B(net185),
+ sky130_fd_sc_hd__dfrtp_1 _7142_ (.CLK(clknet_leaf_30_wbm_clk_i),
+    .D(_0197_),
+    .RESET_B(net179),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\reg_rdata[10] ));
- sky130_fd_sc_hd__dfrtp_1 _7035_ (.CLK(clknet_leaf_30_wbm_clk_i),
-    .D(_0190_),
-    .RESET_B(net185),
+ sky130_fd_sc_hd__dfrtp_1 _7143_ (.CLK(clknet_leaf_30_wbm_clk_i),
+    .D(_0198_),
+    .RESET_B(net179),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\reg_rdata[11] ));
- sky130_fd_sc_hd__dfrtp_1 _7036_ (.CLK(clknet_leaf_30_wbm_clk_i),
-    .D(_0191_),
-    .RESET_B(net185),
+ sky130_fd_sc_hd__dfrtp_1 _7144_ (.CLK(clknet_leaf_31_wbm_clk_i),
+    .D(_0199_),
+    .RESET_B(net179),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\reg_rdata[12] ));
- sky130_fd_sc_hd__dfrtp_1 _7037_ (.CLK(clknet_leaf_30_wbm_clk_i),
-    .D(_0192_),
-    .RESET_B(net185),
+ sky130_fd_sc_hd__dfrtp_1 _7145_ (.CLK(clknet_leaf_31_wbm_clk_i),
+    .D(_0200_),
+    .RESET_B(net179),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\reg_rdata[13] ));
- sky130_fd_sc_hd__dfrtp_1 _7038_ (.CLK(clknet_leaf_30_wbm_clk_i),
-    .D(_0193_),
-    .RESET_B(net185),
+ sky130_fd_sc_hd__dfrtp_1 _7146_ (.CLK(clknet_leaf_31_wbm_clk_i),
+    .D(_0201_),
+    .RESET_B(net179),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\reg_rdata[14] ));
- sky130_fd_sc_hd__dfrtp_1 _7039_ (.CLK(clknet_leaf_30_wbm_clk_i),
-    .D(_0194_),
-    .RESET_B(net185),
+ sky130_fd_sc_hd__dfrtp_1 _7147_ (.CLK(clknet_leaf_31_wbm_clk_i),
+    .D(_0202_),
+    .RESET_B(net179),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\reg_rdata[15] ));
- sky130_fd_sc_hd__dfrtp_1 _7040_ (.CLK(clknet_leaf_12_wbm_clk_i),
-    .D(_0195_),
-    .RESET_B(net181),
+ sky130_fd_sc_hd__dfrtp_1 _7148_ (.CLK(clknet_leaf_13_wbm_clk_i),
+    .D(_0203_),
+    .RESET_B(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\reg_rdata[16] ));
- sky130_fd_sc_hd__dfrtp_1 _7041_ (.CLK(clknet_leaf_34_wbm_clk_i),
-    .D(_0196_),
-    .RESET_B(net184),
+ sky130_fd_sc_hd__dfrtp_1 _7149_ (.CLK(clknet_leaf_15_wbm_clk_i),
+    .D(_0204_),
+    .RESET_B(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\reg_rdata[17] ));
- sky130_fd_sc_hd__dfrtp_1 _7042_ (.CLK(clknet_leaf_14_wbm_clk_i),
-    .D(_0197_),
-    .RESET_B(net184),
+ sky130_fd_sc_hd__dfrtp_1 _7150_ (.CLK(clknet_leaf_16_wbm_clk_i),
+    .D(_0205_),
+    .RESET_B(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\reg_rdata[18] ));
- sky130_fd_sc_hd__dfrtp_1 _7043_ (.CLK(clknet_leaf_12_wbm_clk_i),
-    .D(_0198_),
-    .RESET_B(net184),
+ sky130_fd_sc_hd__dfrtp_1 _7151_ (.CLK(clknet_leaf_16_wbm_clk_i),
+    .D(_0206_),
+    .RESET_B(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\reg_rdata[19] ));
- sky130_fd_sc_hd__dfrtp_1 _7044_ (.CLK(clknet_leaf_12_wbm_clk_i),
-    .D(_0199_),
-    .RESET_B(net184),
+ sky130_fd_sc_hd__dfrtp_1 _7152_ (.CLK(clknet_leaf_12_wbm_clk_i),
+    .D(_0207_),
+    .RESET_B(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\reg_rdata[20] ));
- sky130_fd_sc_hd__dfrtp_1 _7045_ (.CLK(clknet_leaf_12_wbm_clk_i),
-    .D(_0200_),
-    .RESET_B(net181),
+ sky130_fd_sc_hd__dfrtp_1 _7153_ (.CLK(clknet_leaf_12_wbm_clk_i),
+    .D(_0208_),
+    .RESET_B(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\reg_rdata[21] ));
- sky130_fd_sc_hd__dfrtp_1 _7046_ (.CLK(clknet_leaf_12_wbm_clk_i),
-    .D(_0201_),
-    .RESET_B(net181),
+ sky130_fd_sc_hd__dfrtp_1 _7154_ (.CLK(clknet_leaf_11_wbm_clk_i),
+    .D(_0209_),
+    .RESET_B(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\reg_rdata[22] ));
- sky130_fd_sc_hd__dfrtp_1 _7047_ (.CLK(clknet_leaf_12_wbm_clk_i),
-    .D(_0202_),
-    .RESET_B(net184),
+ sky130_fd_sc_hd__dfrtp_1 _7155_ (.CLK(clknet_leaf_10_wbm_clk_i),
+    .D(_0210_),
+    .RESET_B(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\reg_rdata[23] ));
- sky130_fd_sc_hd__dfrtp_1 _7048_ (.CLK(clknet_leaf_11_wbm_clk_i),
-    .D(_0203_),
-    .RESET_B(net181),
+ sky130_fd_sc_hd__dfrtp_1 _7156_ (.CLK(clknet_leaf_10_wbm_clk_i),
+    .D(_0211_),
+    .RESET_B(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\reg_rdata[24] ));
- sky130_fd_sc_hd__dfrtp_1 _7049_ (.CLK(clknet_leaf_11_wbm_clk_i),
-    .D(_0204_),
-    .RESET_B(net181),
+ sky130_fd_sc_hd__dfrtp_1 _7157_ (.CLK(clknet_leaf_9_wbm_clk_i),
+    .D(_0212_),
+    .RESET_B(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\reg_rdata[25] ));
- sky130_fd_sc_hd__dfrtp_1 _7050_ (.CLK(clknet_leaf_11_wbm_clk_i),
-    .D(_0205_),
-    .RESET_B(net181),
+ sky130_fd_sc_hd__dfrtp_1 _7158_ (.CLK(clknet_leaf_9_wbm_clk_i),
+    .D(_0213_),
+    .RESET_B(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\reg_rdata[26] ));
- sky130_fd_sc_hd__dfrtp_1 _7051_ (.CLK(clknet_leaf_12_wbm_clk_i),
-    .D(_0206_),
-    .RESET_B(net181),
+ sky130_fd_sc_hd__dfrtp_1 _7159_ (.CLK(clknet_leaf_10_wbm_clk_i),
+    .D(_0214_),
+    .RESET_B(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\reg_rdata[27] ));
- sky130_fd_sc_hd__dfrtp_1 _7052_ (.CLK(clknet_leaf_10_wbm_clk_i),
-    .D(_0207_),
-    .RESET_B(net184),
+ sky130_fd_sc_hd__dfrtp_1 _7160_ (.CLK(clknet_leaf_12_wbm_clk_i),
+    .D(_0215_),
+    .RESET_B(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\reg_rdata[28] ));
- sky130_fd_sc_hd__dfrtp_1 _7053_ (.CLK(clknet_leaf_11_wbm_clk_i),
-    .D(_0208_),
-    .RESET_B(net181),
+ sky130_fd_sc_hd__dfrtp_1 _7161_ (.CLK(clknet_leaf_12_wbm_clk_i),
+    .D(_0216_),
+    .RESET_B(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\reg_rdata[29] ));
- sky130_fd_sc_hd__dfrtp_1 _7054_ (.CLK(clknet_leaf_11_wbm_clk_i),
-    .D(_0209_),
-    .RESET_B(net181),
+ sky130_fd_sc_hd__dfrtp_1 _7162_ (.CLK(clknet_leaf_13_wbm_clk_i),
+    .D(_0217_),
+    .RESET_B(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\reg_rdata[30] ));
- sky130_fd_sc_hd__dfrtp_1 _7055_ (.CLK(clknet_leaf_12_wbm_clk_i),
-    .D(_0210_),
-    .RESET_B(net184),
+ sky130_fd_sc_hd__dfrtp_1 _7163_ (.CLK(clknet_leaf_13_wbm_clk_i),
+    .D(_0218_),
+    .RESET_B(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\reg_rdata[31] ));
- sky130_fd_sc_hd__dfrtp_4 _7056_ (.CLK(clknet_leaf_62_wbm_clk_i),
+ sky130_fd_sc_hd__dfrtp_4 _7164_ (.CLK(clknet_leaf_69_wbm_clk_i),
     .D(wb_ack_o1),
-    .RESET_B(net179),
+    .RESET_B(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(wb_ack_o));
- sky130_fd_sc_hd__dfrtp_1 _7057_ (.CLK(clknet_leaf_63_wbm_clk_i),
+ sky130_fd_sc_hd__dfrtp_1 _7165_ (.CLK(clknet_leaf_69_wbm_clk_i),
     .D(wb_err_o1),
-    .RESET_B(net179),
+    .RESET_B(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(wb_err_o));
- sky130_fd_sc_hd__dfrtp_4 _7058_ (.CLK(clknet_leaf_63_wbm_clk_i),
+ sky130_fd_sc_hd__dfrtp_4 _7166_ (.CLK(clknet_leaf_69_wbm_clk_i),
     .D(_0001_),
-    .RESET_B(net179),
+    .RESET_B(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(wb_req));
- sky130_fd_sc_hd__dfrtp_4 _7059_ (.CLK(clknet_leaf_44_wbm_clk_i),
-    .D(net211),
-    .RESET_B(net193),
+ sky130_fd_sc_hd__dfrtp_4 _7167_ (.CLK(clknet_leaf_49_wbm_clk_i),
+    .D(net224),
+    .RESET_B(net207),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_arb.rstn ));
- sky130_fd_sc_hd__dfrtp_1 _7060_ (.CLK(clknet_leaf_44_wbm_clk_i),
-    .D(net188),
-    .RESET_B(net193),
+ sky130_fd_sc_hd__dfrtp_1 _7168_ (.CLK(clknet_leaf_49_wbm_clk_i),
+    .D(net190),
+    .RESET_B(net207),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_wbm_rst.in_data_s ));
- sky130_fd_sc_hd__dfrtp_4 _7061_ (.CLK(clknet_3_2_0_wbs_clk_i),
-    .D(net223),
+ sky130_fd_sc_hd__dfrtp_4 _7169_ (.CLK(clknet_3_5_0_wbs_clk_i),
+    .D(net234),
     .RESET_B(\u_wbm_rst.arst_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.rd_reset_n ));
- sky130_fd_sc_hd__dfrtp_1 _7062_ (.CLK(clknet_3_2_0_wbs_clk_i),
-    .D(net190),
+ sky130_fd_sc_hd__dfrtp_1 _7170_ (.CLK(clknet_3_5_0_wbs_clk_i),
+    .D(net191),
     .RESET_B(\u_wbm_rst.arst_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_wbs_rst.in_data_s ));
- sky130_fd_sc_hd__dfrtp_1 _7063_ (.CLK(\clknet_leaf_23_u_uart2wb.baud_clk_16x ),
-    .D(_0211_),
-    .RESET_B(\u_arb.rstn ),
+ sky130_fd_sc_hd__dfrtp_1 _7171_ (.CLK(\clknet_leaf_25_u_uart2wb.baud_clk_16x ),
+    .D(_0219_),
+    .RESET_B(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_msg.NextState[0] ));
- sky130_fd_sc_hd__dfrtp_1 _7064_ (.CLK(\clknet_leaf_22_u_uart2wb.baud_clk_16x ),
-    .D(_0212_),
-    .RESET_B(\u_arb.rstn ),
+ sky130_fd_sc_hd__dfrtp_1 _7172_ (.CLK(\clknet_leaf_26_u_uart2wb.baud_clk_16x ),
+    .D(_0220_),
+    .RESET_B(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_msg.NextState[1] ));
- sky130_fd_sc_hd__dfrtp_1 _7065_ (.CLK(\clknet_leaf_23_u_uart2wb.baud_clk_16x ),
-    .D(_0213_),
-    .RESET_B(\u_arb.rstn ),
+ sky130_fd_sc_hd__dfrtp_1 _7173_ (.CLK(\clknet_leaf_26_u_uart2wb.baud_clk_16x ),
+    .D(_0221_),
+    .RESET_B(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_msg.NextState[3] ));
- sky130_fd_sc_hd__dfxtp_1 _7066_ (.CLK(clknet_leaf_45_wbm_clk_i),
-    .D(_0214_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][0] ));
- sky130_fd_sc_hd__dfxtp_1 _7067_ (.CLK(clknet_leaf_40_wbm_clk_i),
-    .D(_0215_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][1] ));
- sky130_fd_sc_hd__dfxtp_1 _7068_ (.CLK(clknet_leaf_46_wbm_clk_i),
-    .D(_0216_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][2] ));
- sky130_fd_sc_hd__dfxtp_1 _7069_ (.CLK(clknet_leaf_47_wbm_clk_i),
-    .D(_0217_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][3] ));
- sky130_fd_sc_hd__dfxtp_1 _7070_ (.CLK(clknet_leaf_38_wbm_clk_i),
-    .D(_0218_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][4] ));
- sky130_fd_sc_hd__dfxtp_1 _7071_ (.CLK(clknet_leaf_37_wbm_clk_i),
-    .D(_0219_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][5] ));
- sky130_fd_sc_hd__dfxtp_1 _7072_ (.CLK(clknet_leaf_39_wbm_clk_i),
-    .D(_0220_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][6] ));
- sky130_fd_sc_hd__dfxtp_1 _7073_ (.CLK(clknet_leaf_41_wbm_clk_i),
-    .D(_0221_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][7] ));
- sky130_fd_sc_hd__dfxtp_1 _7074_ (.CLK(clknet_leaf_41_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _7174_ (.CLK(clknet_leaf_50_wbm_clk_i),
     .D(_0222_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][8] ));
- sky130_fd_sc_hd__dfxtp_1 _7075_ (.CLK(clknet_leaf_41_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][0] ));
+ sky130_fd_sc_hd__dfxtp_1 _7175_ (.CLK(clknet_leaf_50_wbm_clk_i),
     .D(_0223_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][9] ));
- sky130_fd_sc_hd__dfxtp_1 _7076_ (.CLK(clknet_leaf_42_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][1] ));
+ sky130_fd_sc_hd__dfxtp_1 _7176_ (.CLK(clknet_leaf_40_wbm_clk_i),
     .D(_0224_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][10] ));
- sky130_fd_sc_hd__dfxtp_1 _7077_ (.CLK(clknet_leaf_30_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][2] ));
+ sky130_fd_sc_hd__dfxtp_1 _7177_ (.CLK(clknet_leaf_38_wbm_clk_i),
     .D(_0225_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][11] ));
- sky130_fd_sc_hd__dfxtp_1 _7078_ (.CLK(clknet_leaf_29_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][3] ));
+ sky130_fd_sc_hd__dfxtp_1 _7178_ (.CLK(clknet_leaf_40_wbm_clk_i),
     .D(_0226_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][12] ));
- sky130_fd_sc_hd__dfxtp_1 _7079_ (.CLK(clknet_leaf_28_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][4] ));
+ sky130_fd_sc_hd__dfxtp_1 _7179_ (.CLK(clknet_leaf_39_wbm_clk_i),
     .D(_0227_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][13] ));
- sky130_fd_sc_hd__dfxtp_1 _7080_ (.CLK(clknet_leaf_25_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][5] ));
+ sky130_fd_sc_hd__dfxtp_1 _7180_ (.CLK(clknet_leaf_39_wbm_clk_i),
     .D(_0228_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][14] ));
- sky130_fd_sc_hd__dfxtp_1 _7081_ (.CLK(clknet_leaf_24_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][6] ));
+ sky130_fd_sc_hd__dfxtp_1 _7181_ (.CLK(clknet_leaf_45_wbm_clk_i),
     .D(_0229_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][15] ));
- sky130_fd_sc_hd__dfxtp_1 _7082_ (.CLK(clknet_leaf_24_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][7] ));
+ sky130_fd_sc_hd__dfxtp_1 _7182_ (.CLK(clknet_leaf_43_wbm_clk_i),
     .D(_0230_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][16] ));
- sky130_fd_sc_hd__dfxtp_1 _7083_ (.CLK(clknet_leaf_24_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][8] ));
+ sky130_fd_sc_hd__dfxtp_1 _7183_ (.CLK(clknet_leaf_45_wbm_clk_i),
     .D(_0231_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][17] ));
- sky130_fd_sc_hd__dfxtp_1 _7084_ (.CLK(clknet_leaf_22_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][9] ));
+ sky130_fd_sc_hd__dfxtp_1 _7184_ (.CLK(clknet_leaf_32_wbm_clk_i),
     .D(_0232_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][18] ));
- sky130_fd_sc_hd__dfxtp_1 _7085_ (.CLK(clknet_leaf_21_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][10] ));
+ sky130_fd_sc_hd__dfxtp_1 _7185_ (.CLK(clknet_leaf_28_wbm_clk_i),
     .D(_0233_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][19] ));
- sky130_fd_sc_hd__dfxtp_1 _7086_ (.CLK(clknet_leaf_21_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][11] ));
+ sky130_fd_sc_hd__dfxtp_1 _7186_ (.CLK(clknet_leaf_28_wbm_clk_i),
     .D(_0234_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][20] ));
- sky130_fd_sc_hd__dfxtp_1 _7087_ (.CLK(clknet_leaf_21_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][12] ));
+ sky130_fd_sc_hd__dfxtp_1 _7187_ (.CLK(clknet_leaf_28_wbm_clk_i),
     .D(_0235_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][21] ));
- sky130_fd_sc_hd__dfxtp_1 _7088_ (.CLK(clknet_leaf_20_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][13] ));
+ sky130_fd_sc_hd__dfxtp_1 _7188_ (.CLK(clknet_leaf_25_wbm_clk_i),
     .D(_0236_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][22] ));
- sky130_fd_sc_hd__dfxtp_1 _7089_ (.CLK(clknet_leaf_16_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][14] ));
+ sky130_fd_sc_hd__dfxtp_1 _7189_ (.CLK(clknet_leaf_25_wbm_clk_i),
     .D(_0237_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][23] ));
- sky130_fd_sc_hd__dfxtp_1 _7090_ (.CLK(clknet_leaf_18_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][15] ));
+ sky130_fd_sc_hd__dfxtp_1 _7190_ (.CLK(clknet_leaf_24_wbm_clk_i),
     .D(_0238_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][24] ));
- sky130_fd_sc_hd__dfxtp_1 _7091_ (.CLK(clknet_leaf_17_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][16] ));
+ sky130_fd_sc_hd__dfxtp_1 _7191_ (.CLK(clknet_leaf_24_wbm_clk_i),
     .D(_0239_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][25] ));
- sky130_fd_sc_hd__dfxtp_1 _7092_ (.CLK(clknet_leaf_4_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][17] ));
+ sky130_fd_sc_hd__dfxtp_1 _7192_ (.CLK(clknet_leaf_22_wbm_clk_i),
     .D(_0240_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][26] ));
- sky130_fd_sc_hd__dfxtp_1 _7093_ (.CLK(clknet_leaf_5_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][18] ));
+ sky130_fd_sc_hd__dfxtp_1 _7193_ (.CLK(clknet_leaf_22_wbm_clk_i),
     .D(_0241_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][27] ));
- sky130_fd_sc_hd__dfxtp_1 _7094_ (.CLK(clknet_leaf_5_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][19] ));
+ sky130_fd_sc_hd__dfxtp_1 _7194_ (.CLK(clknet_leaf_21_wbm_clk_i),
     .D(_0242_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][28] ));
- sky130_fd_sc_hd__dfxtp_1 _7095_ (.CLK(clknet_leaf_17_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][20] ));
+ sky130_fd_sc_hd__dfxtp_1 _7195_ (.CLK(clknet_leaf_20_wbm_clk_i),
     .D(_0243_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][29] ));
- sky130_fd_sc_hd__dfxtp_1 _7096_ (.CLK(clknet_leaf_6_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][21] ));
+ sky130_fd_sc_hd__dfxtp_1 _7196_ (.CLK(clknet_leaf_20_wbm_clk_i),
     .D(_0244_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][30] ));
- sky130_fd_sc_hd__dfxtp_1 _7097_ (.CLK(clknet_leaf_7_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][22] ));
+ sky130_fd_sc_hd__dfxtp_1 _7197_ (.CLK(clknet_leaf_20_wbm_clk_i),
     .D(_0245_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][31] ));
- sky130_fd_sc_hd__dfxtp_1 _7098_ (.CLK(clknet_leaf_7_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][23] ));
+ sky130_fd_sc_hd__dfxtp_1 _7198_ (.CLK(clknet_leaf_18_wbm_clk_i),
     .D(_0246_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][32] ));
- sky130_fd_sc_hd__dfxtp_1 _7099_ (.CLK(clknet_leaf_5_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][24] ));
+ sky130_fd_sc_hd__dfxtp_1 _7199_ (.CLK(clknet_leaf_17_wbm_clk_i),
     .D(_0247_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][33] ));
- sky130_fd_sc_hd__dfxtp_1 _7100_ (.CLK(clknet_leaf_9_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][25] ));
+ sky130_fd_sc_hd__dfxtp_1 _7200_ (.CLK(clknet_leaf_4_wbm_clk_i),
     .D(_0248_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][34] ));
- sky130_fd_sc_hd__dfxtp_1 _7101_ (.CLK(clknet_leaf_9_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][26] ));
+ sky130_fd_sc_hd__dfxtp_1 _7201_ (.CLK(clknet_leaf_3_wbm_clk_i),
     .D(_0249_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][35] ));
- sky130_fd_sc_hd__dfxtp_1 _7102_ (.CLK(clknet_leaf_11_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][27] ));
+ sky130_fd_sc_hd__dfxtp_1 _7202_ (.CLK(clknet_leaf_2_wbm_clk_i),
     .D(_0250_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][36] ));
- sky130_fd_sc_hd__dfxtp_1 _7103_ (.CLK(clknet_leaf_9_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][28] ));
+ sky130_fd_sc_hd__dfxtp_1 _7203_ (.CLK(clknet_leaf_2_wbm_clk_i),
     .D(_0251_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][37] ));
- sky130_fd_sc_hd__dfxtp_1 _7104_ (.CLK(clknet_leaf_57_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][29] ));
+ sky130_fd_sc_hd__dfxtp_1 _7204_ (.CLK(clknet_leaf_6_wbm_clk_i),
     .D(_0252_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][38] ));
- sky130_fd_sc_hd__dfxtp_1 _7105_ (.CLK(clknet_leaf_57_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][30] ));
+ sky130_fd_sc_hd__dfxtp_1 _7205_ (.CLK(clknet_leaf_6_wbm_clk_i),
     .D(_0253_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][39] ));
- sky130_fd_sc_hd__dfxtp_1 _7106_ (.CLK(clknet_leaf_8_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][31] ));
+ sky130_fd_sc_hd__dfxtp_1 _7206_ (.CLK(clknet_leaf_6_wbm_clk_i),
     .D(_0254_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][40] ));
- sky130_fd_sc_hd__dfxtp_1 _7107_ (.CLK(clknet_leaf_8_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][32] ));
+ sky130_fd_sc_hd__dfxtp_1 _7207_ (.CLK(clknet_leaf_6_wbm_clk_i),
     .D(_0255_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][41] ));
- sky130_fd_sc_hd__dfxtp_1 _7108_ (.CLK(clknet_leaf_0_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][33] ));
+ sky130_fd_sc_hd__dfxtp_1 _7208_ (.CLK(clknet_leaf_5_wbm_clk_i),
     .D(_0256_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][42] ));
- sky130_fd_sc_hd__dfxtp_1 _7109_ (.CLK(clknet_leaf_1_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][34] ));
+ sky130_fd_sc_hd__dfxtp_1 _7209_ (.CLK(clknet_leaf_5_wbm_clk_i),
     .D(_0257_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][43] ));
- sky130_fd_sc_hd__dfxtp_1 _7110_ (.CLK(clknet_leaf_2_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][35] ));
+ sky130_fd_sc_hd__dfxtp_1 _7210_ (.CLK(clknet_leaf_13_wbm_clk_i),
     .D(_0258_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][44] ));
- sky130_fd_sc_hd__dfxtp_1 _7111_ (.CLK(clknet_leaf_2_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][36] ));
+ sky130_fd_sc_hd__dfxtp_1 _7211_ (.CLK(clknet_leaf_9_wbm_clk_i),
     .D(_0259_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][45] ));
- sky130_fd_sc_hd__dfxtp_1 _7112_ (.CLK(clknet_leaf_2_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][37] ));
+ sky130_fd_sc_hd__dfxtp_1 _7212_ (.CLK(clknet_leaf_7_wbm_clk_i),
     .D(_0260_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][46] ));
- sky130_fd_sc_hd__dfxtp_1 _7113_ (.CLK(clknet_leaf_2_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][38] ));
+ sky130_fd_sc_hd__dfxtp_1 _7213_ (.CLK(clknet_leaf_8_wbm_clk_i),
     .D(_0261_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][47] ));
- sky130_fd_sc_hd__dfxtp_1 _7114_ (.CLK(clknet_leaf_65_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][39] ));
+ sky130_fd_sc_hd__dfxtp_1 _7214_ (.CLK(clknet_leaf_8_wbm_clk_i),
     .D(_0262_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][48] ));
- sky130_fd_sc_hd__dfxtp_1 _7115_ (.CLK(clknet_leaf_0_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][40] ));
+ sky130_fd_sc_hd__dfxtp_1 _7215_ (.CLK(clknet_leaf_65_wbm_clk_i),
     .D(_0263_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][49] ));
- sky130_fd_sc_hd__dfxtp_1 _7116_ (.CLK(clknet_leaf_0_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][41] ));
+ sky130_fd_sc_hd__dfxtp_1 _7216_ (.CLK(clknet_leaf_7_wbm_clk_i),
     .D(_0264_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][50] ));
- sky130_fd_sc_hd__dfxtp_1 _7117_ (.CLK(clknet_leaf_58_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][42] ));
+ sky130_fd_sc_hd__dfxtp_1 _7217_ (.CLK(clknet_leaf_65_wbm_clk_i),
     .D(_0265_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][51] ));
- sky130_fd_sc_hd__dfxtp_1 _7118_ (.CLK(clknet_leaf_57_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][43] ));
+ sky130_fd_sc_hd__dfxtp_1 _7218_ (.CLK(clknet_leaf_65_wbm_clk_i),
     .D(_0266_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][52] ));
- sky130_fd_sc_hd__dfxtp_1 _7119_ (.CLK(clknet_leaf_58_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][44] ));
+ sky130_fd_sc_hd__dfxtp_1 _7219_ (.CLK(clknet_leaf_71_wbm_clk_i),
     .D(_0267_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][53] ));
- sky130_fd_sc_hd__dfxtp_1 _7120_ (.CLK(clknet_leaf_59_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][45] ));
+ sky130_fd_sc_hd__dfxtp_1 _7220_ (.CLK(clknet_leaf_71_wbm_clk_i),
     .D(_0268_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][54] ));
- sky130_fd_sc_hd__dfxtp_1 _7121_ (.CLK(clknet_leaf_59_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][46] ));
+ sky130_fd_sc_hd__dfxtp_1 _7221_ (.CLK(clknet_leaf_66_wbm_clk_i),
     .D(_0269_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][55] ));
- sky130_fd_sc_hd__dfxtp_1 _7122_ (.CLK(clknet_leaf_61_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][47] ));
+ sky130_fd_sc_hd__dfxtp_1 _7222_ (.CLK(clknet_leaf_66_wbm_clk_i),
     .D(_0270_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][56] ));
- sky130_fd_sc_hd__dfxtp_1 _7123_ (.CLK(clknet_leaf_62_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][48] ));
+ sky130_fd_sc_hd__dfxtp_1 _7223_ (.CLK(clknet_leaf_66_wbm_clk_i),
     .D(_0271_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][57] ));
- sky130_fd_sc_hd__dfxtp_1 _7124_ (.CLK(clknet_leaf_60_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][49] ));
+ sky130_fd_sc_hd__dfxtp_1 _7224_ (.CLK(clknet_leaf_68_wbm_clk_i),
     .D(_0272_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][58] ));
- sky130_fd_sc_hd__dfxtp_1 _7125_ (.CLK(clknet_leaf_12_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][50] ));
+ sky130_fd_sc_hd__dfxtp_1 _7225_ (.CLK(clknet_leaf_67_wbm_clk_i),
     .D(_0273_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][59] ));
- sky130_fd_sc_hd__dfxtp_1 _7126_ (.CLK(clknet_leaf_45_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][51] ));
+ sky130_fd_sc_hd__dfxtp_1 _7226_ (.CLK(clknet_leaf_68_wbm_clk_i),
     .D(_0274_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][60] ));
- sky130_fd_sc_hd__dfxtp_1 _7127_ (.CLK(clknet_leaf_36_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][52] ));
+ sky130_fd_sc_hd__dfxtp_1 _7227_ (.CLK(clknet_leaf_68_wbm_clk_i),
     .D(_0275_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][61] ));
- sky130_fd_sc_hd__dfxtp_1 _7128_ (.CLK(clknet_leaf_36_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][53] ));
+ sky130_fd_sc_hd__dfxtp_1 _7228_ (.CLK(clknet_leaf_67_wbm_clk_i),
     .D(_0276_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][62] ));
- sky130_fd_sc_hd__dfxtp_1 _7129_ (.CLK(clknet_leaf_35_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][54] ));
+ sky130_fd_sc_hd__dfxtp_1 _7229_ (.CLK(clknet_leaf_64_wbm_clk_i),
     .D(_0277_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][63] ));
- sky130_fd_sc_hd__dfxtp_1 _7130_ (.CLK(clknet_leaf_34_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][55] ));
+ sky130_fd_sc_hd__dfxtp_1 _7230_ (.CLK(clknet_leaf_63_wbm_clk_i),
     .D(_0278_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][64] ));
- sky130_fd_sc_hd__dfxtp_1 _7131_ (.CLK(clknet_leaf_31_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][56] ));
+ sky130_fd_sc_hd__dfxtp_1 _7231_ (.CLK(clknet_leaf_63_wbm_clk_i),
     .D(_0279_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][65] ));
- sky130_fd_sc_hd__dfxtp_1 _7132_ (.CLK(clknet_leaf_31_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][57] ));
+ sky130_fd_sc_hd__dfxtp_1 _7232_ (.CLK(clknet_leaf_10_wbm_clk_i),
     .D(_0280_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][66] ));
- sky130_fd_sc_hd__dfxtp_1 _7133_ (.CLK(clknet_leaf_39_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][58] ));
+ sky130_fd_sc_hd__dfxtp_1 _7233_ (.CLK(clknet_leaf_12_wbm_clk_i),
     .D(_0281_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][67] ));
- sky130_fd_sc_hd__dfxtp_1 _7134_ (.CLK(clknet_leaf_39_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[3][59] ));
+ sky130_fd_sc_hd__dfxtp_1 _7234_ (.CLK(clknet_leaf_41_wbm_clk_i),
     .D(_0282_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[3][68] ));
- sky130_fd_sc_hd__dfxtp_1 _7135_ (.CLK(\clknet_leaf_10_u_uart2wb.baud_clk_16x ),
+    .Q(\u_async_wb.u_cmd_if.mem[3][60] ));
+ sky130_fd_sc_hd__dfxtp_1 _7235_ (.CLK(clknet_leaf_36_wbm_clk_i),
     .D(_0283_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[5] ));
- sky130_fd_sc_hd__dfxtp_1 _7136_ (.CLK(\clknet_leaf_10_u_uart2wb.baud_clk_16x ),
+    .Q(\u_async_wb.u_cmd_if.mem[3][61] ));
+ sky130_fd_sc_hd__dfxtp_1 _7236_ (.CLK(clknet_leaf_37_wbm_clk_i),
     .D(_0284_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[13] ));
- sky130_fd_sc_hd__dfxtp_1 _7137_ (.CLK(\clknet_leaf_12_u_uart2wb.baud_clk_16x ),
+    .Q(\u_async_wb.u_cmd_if.mem[3][62] ));
+ sky130_fd_sc_hd__dfxtp_1 _7237_ (.CLK(clknet_leaf_35_wbm_clk_i),
     .D(_0285_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[18] ));
- sky130_fd_sc_hd__dfxtp_1 _7138_ (.CLK(\clknet_leaf_13_u_uart2wb.baud_clk_16x ),
+    .Q(\u_async_wb.u_cmd_if.mem[3][63] ));
+ sky130_fd_sc_hd__dfxtp_1 _7238_ (.CLK(clknet_leaf_35_wbm_clk_i),
     .D(_0286_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[17] ));
- sky130_fd_sc_hd__dfxtp_1 _7139_ (.CLK(\clknet_leaf_12_u_uart2wb.baud_clk_16x ),
+    .Q(\u_async_wb.u_cmd_if.mem[3][64] ));
+ sky130_fd_sc_hd__dfxtp_1 _7239_ (.CLK(clknet_leaf_32_wbm_clk_i),
     .D(_0287_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[20] ));
- sky130_fd_sc_hd__dfxtp_1 _7140_ (.CLK(\clknet_leaf_10_u_uart2wb.baud_clk_16x ),
+    .Q(\u_async_wb.u_cmd_if.mem[3][65] ));
+ sky130_fd_sc_hd__dfxtp_1 _7240_ (.CLK(clknet_leaf_32_wbm_clk_i),
     .D(_0288_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[21] ));
- sky130_fd_sc_hd__dfxtp_1 _7141_ (.CLK(\clknet_leaf_12_u_uart2wb.baud_clk_16x ),
+    .Q(\u_async_wb.u_cmd_if.mem[3][66] ));
+ sky130_fd_sc_hd__dfxtp_1 _7241_ (.CLK(clknet_leaf_32_wbm_clk_i),
     .D(_0289_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[12] ));
- sky130_fd_sc_hd__dfxtp_1 _7142_ (.CLK(\clknet_leaf_11_u_uart2wb.baud_clk_16x ),
+    .Q(\u_async_wb.u_cmd_if.mem[3][67] ));
+ sky130_fd_sc_hd__dfxtp_1 _7242_ (.CLK(clknet_leaf_43_wbm_clk_i),
     .D(_0290_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[24] ));
- sky130_fd_sc_hd__dfxtp_1 _7143_ (.CLK(\clknet_leaf_12_u_uart2wb.baud_clk_16x ),
+    .Q(\u_async_wb.u_cmd_if.mem[3][68] ));
+ sky130_fd_sc_hd__dfxtp_1 _7243_ (.CLK(\clknet_leaf_15_u_uart2wb.baud_clk_16x ),
     .D(_0291_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[26] ));
- sky130_fd_sc_hd__dfxtp_1 _7144_ (.CLK(\clknet_leaf_12_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[5] ));
+ sky130_fd_sc_hd__dfxtp_1 _7244_ (.CLK(\clknet_leaf_14_u_uart2wb.baud_clk_16x ),
     .D(_0292_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[25] ));
- sky130_fd_sc_hd__dfxtp_1 _7145_ (.CLK(\clknet_leaf_12_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[13] ));
+ sky130_fd_sc_hd__dfxtp_1 _7245_ (.CLK(\clknet_leaf_11_u_uart2wb.baud_clk_16x ),
     .D(_0293_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[28] ));
- sky130_fd_sc_hd__dfxtp_1 _7146_ (.CLK(\clknet_leaf_11_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[18] ));
+ sky130_fd_sc_hd__dfxtp_1 _7246_ (.CLK(\clknet_leaf_11_u_uart2wb.baud_clk_16x ),
     .D(_0294_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[29] ));
- sky130_fd_sc_hd__dfxtp_1 _7147_ (.CLK(\clknet_leaf_12_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[17] ));
+ sky130_fd_sc_hd__dfxtp_1 _7247_ (.CLK(\clknet_leaf_11_u_uart2wb.baud_clk_16x ),
     .D(_0295_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[11] ));
- sky130_fd_sc_hd__dfxtp_1 _7148_ (.CLK(\clknet_leaf_12_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[20] ));
+ sky130_fd_sc_hd__dfxtp_1 _7248_ (.CLK(\clknet_leaf_14_u_uart2wb.baud_clk_16x ),
     .D(_0296_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[32] ));
- sky130_fd_sc_hd__dfxtp_1 _7149_ (.CLK(\clknet_leaf_13_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[21] ));
+ sky130_fd_sc_hd__dfxtp_1 _7249_ (.CLK(\clknet_leaf_11_u_uart2wb.baud_clk_16x ),
     .D(_0297_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[33] ));
- sky130_fd_sc_hd__dfxtp_1 _7150_ (.CLK(\clknet_leaf_12_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[12] ));
+ sky130_fd_sc_hd__dfxtp_1 _7250_ (.CLK(\clknet_leaf_11_u_uart2wb.baud_clk_16x ),
     .D(_0298_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[34] ));
- sky130_fd_sc_hd__dfxtp_1 _7151_ (.CLK(\clknet_leaf_13_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[24] ));
+ sky130_fd_sc_hd__dfxtp_1 _7251_ (.CLK(\clknet_leaf_12_u_uart2wb.baud_clk_16x ),
     .D(_0299_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[35] ));
- sky130_fd_sc_hd__dfxtp_1 _7152_ (.CLK(\clknet_leaf_13_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[26] ));
+ sky130_fd_sc_hd__dfxtp_1 _7252_ (.CLK(\clknet_leaf_12_u_uart2wb.baud_clk_16x ),
     .D(_0300_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[36] ));
- sky130_fd_sc_hd__dfxtp_1 _7153_ (.CLK(\clknet_leaf_11_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[25] ));
+ sky130_fd_sc_hd__dfxtp_1 _7253_ (.CLK(\clknet_leaf_12_u_uart2wb.baud_clk_16x ),
     .D(_0301_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[37] ));
- sky130_fd_sc_hd__dfxtp_1 _7154_ (.CLK(\clknet_leaf_11_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[28] ));
+ sky130_fd_sc_hd__dfxtp_1 _7254_ (.CLK(\clknet_leaf_13_u_uart2wb.baud_clk_16x ),
     .D(_0302_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[38] ));
- sky130_fd_sc_hd__dfxtp_1 _7155_ (.CLK(\clknet_leaf_11_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[29] ));
+ sky130_fd_sc_hd__dfxtp_1 _7255_ (.CLK(\clknet_leaf_11_u_uart2wb.baud_clk_16x ),
     .D(_0303_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[40] ));
- sky130_fd_sc_hd__dfxtp_1 _7156_ (.CLK(\clknet_leaf_13_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[11] ));
+ sky130_fd_sc_hd__dfxtp_1 _7256_ (.CLK(\clknet_leaf_12_u_uart2wb.baud_clk_16x ),
     .D(_0304_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[41] ));
- sky130_fd_sc_hd__dfxtp_1 _7157_ (.CLK(\clknet_leaf_12_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[32] ));
+ sky130_fd_sc_hd__dfxtp_1 _7257_ (.CLK(\clknet_leaf_12_u_uart2wb.baud_clk_16x ),
     .D(_0305_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[42] ));
- sky130_fd_sc_hd__dfxtp_1 _7158_ (.CLK(\clknet_leaf_13_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[33] ));
+ sky130_fd_sc_hd__dfxtp_1 _7258_ (.CLK(\clknet_leaf_12_u_uart2wb.baud_clk_16x ),
     .D(_0306_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[43] ));
- sky130_fd_sc_hd__dfxtp_1 _7159_ (.CLK(\clknet_leaf_13_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[34] ));
+ sky130_fd_sc_hd__dfxtp_1 _7259_ (.CLK(\clknet_leaf_12_u_uart2wb.baud_clk_16x ),
     .D(_0307_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[44] ));
- sky130_fd_sc_hd__dfxtp_1 _7160_ (.CLK(\clknet_leaf_11_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[35] ));
+ sky130_fd_sc_hd__dfxtp_1 _7260_ (.CLK(\clknet_leaf_12_u_uart2wb.baud_clk_16x ),
     .D(_0308_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[45] ));
- sky130_fd_sc_hd__dfxtp_1 _7161_ (.CLK(\clknet_leaf_12_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[36] ));
+ sky130_fd_sc_hd__dfxtp_1 _7261_ (.CLK(\clknet_leaf_13_u_uart2wb.baud_clk_16x ),
     .D(_0309_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[46] ));
- sky130_fd_sc_hd__dfxtp_1 _7162_ (.CLK(\clknet_leaf_13_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[37] ));
+ sky130_fd_sc_hd__dfxtp_1 _7262_ (.CLK(\clknet_leaf_10_u_uart2wb.baud_clk_16x ),
     .D(_0310_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[48] ));
- sky130_fd_sc_hd__dfxtp_1 _7163_ (.CLK(\clknet_leaf_13_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[38] ));
+ sky130_fd_sc_hd__dfxtp_1 _7263_ (.CLK(\clknet_leaf_13_u_uart2wb.baud_clk_16x ),
     .D(_0311_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[49] ));
- sky130_fd_sc_hd__dfxtp_1 _7164_ (.CLK(\clknet_leaf_11_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[40] ));
+ sky130_fd_sc_hd__dfxtp_1 _7264_ (.CLK(\clknet_leaf_13_u_uart2wb.baud_clk_16x ),
     .D(_0312_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[50] ));
- sky130_fd_sc_hd__dfxtp_1 _7165_ (.CLK(\clknet_leaf_13_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[41] ));
+ sky130_fd_sc_hd__dfxtp_1 _7265_ (.CLK(\clknet_leaf_11_u_uart2wb.baud_clk_16x ),
     .D(_0313_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[51] ));
- sky130_fd_sc_hd__dfxtp_1 _7166_ (.CLK(\clknet_leaf_13_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[42] ));
+ sky130_fd_sc_hd__dfxtp_1 _7266_ (.CLK(\clknet_leaf_12_u_uart2wb.baud_clk_16x ),
     .D(_0314_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[52] ));
- sky130_fd_sc_hd__dfxtp_1 _7167_ (.CLK(\clknet_leaf_11_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[43] ));
+ sky130_fd_sc_hd__dfxtp_1 _7267_ (.CLK(\clknet_leaf_12_u_uart2wb.baud_clk_16x ),
     .D(_0315_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[53] ));
- sky130_fd_sc_hd__dfxtp_1 _7168_ (.CLK(\clknet_leaf_12_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[44] ));
+ sky130_fd_sc_hd__dfxtp_1 _7268_ (.CLK(\clknet_leaf_13_u_uart2wb.baud_clk_16x ),
     .D(_0316_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[54] ));
- sky130_fd_sc_hd__dfxtp_1 _7169_ (.CLK(\clknet_leaf_21_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[45] ));
+ sky130_fd_sc_hd__dfxtp_1 _7269_ (.CLK(\clknet_leaf_10_u_uart2wb.baud_clk_16x ),
     .D(_0317_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[72] ));
- sky130_fd_sc_hd__dfxtp_1 _7170_ (.CLK(\clknet_leaf_20_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[46] ));
+ sky130_fd_sc_hd__dfxtp_1 _7270_ (.CLK(\clknet_leaf_12_u_uart2wb.baud_clk_16x ),
     .D(_0318_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[73] ));
- sky130_fd_sc_hd__dfxtp_1 _7171_ (.CLK(\clknet_leaf_19_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[48] ));
+ sky130_fd_sc_hd__dfxtp_1 _7271_ (.CLK(\clknet_leaf_14_u_uart2wb.baud_clk_16x ),
     .D(_0319_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[74] ));
- sky130_fd_sc_hd__dfxtp_1 _7172_ (.CLK(\clknet_leaf_32_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[49] ));
+ sky130_fd_sc_hd__dfxtp_1 _7272_ (.CLK(\clknet_leaf_19_u_uart2wb.baud_clk_16x ),
     .D(_0320_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[75] ));
- sky130_fd_sc_hd__dfxtp_1 _7173_ (.CLK(\clknet_leaf_21_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[50] ));
+ sky130_fd_sc_hd__dfxtp_1 _7273_ (.CLK(\clknet_leaf_13_u_uart2wb.baud_clk_16x ),
     .D(_0321_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[76] ));
- sky130_fd_sc_hd__dfxtp_1 _7174_ (.CLK(\clknet_leaf_16_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[51] ));
+ sky130_fd_sc_hd__dfxtp_1 _7274_ (.CLK(\clknet_leaf_12_u_uart2wb.baud_clk_16x ),
     .D(_0322_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[77] ));
- sky130_fd_sc_hd__dfxtp_1 _7175_ (.CLK(\clknet_leaf_16_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[52] ));
+ sky130_fd_sc_hd__dfxtp_1 _7275_ (.CLK(\clknet_leaf_15_u_uart2wb.baud_clk_16x ),
     .D(_0323_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[78] ));
- sky130_fd_sc_hd__dfxtp_1 _7176_ (.CLK(\clknet_leaf_16_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[53] ));
+ sky130_fd_sc_hd__dfxtp_1 _7276_ (.CLK(\clknet_leaf_10_u_uart2wb.baud_clk_16x ),
     .D(_0324_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[80] ));
- sky130_fd_sc_hd__dfxtp_1 _7177_ (.CLK(\clknet_leaf_20_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[54] ));
+ sky130_fd_sc_hd__dfxtp_1 _7277_ (.CLK(\clknet_leaf_21_u_uart2wb.baud_clk_16x ),
     .D(_0325_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[81] ));
- sky130_fd_sc_hd__dfxtp_1 _7178_ (.CLK(\clknet_leaf_17_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[72] ));
+ sky130_fd_sc_hd__dfxtp_1 _7278_ (.CLK(\clknet_leaf_22_u_uart2wb.baud_clk_16x ),
     .D(_0326_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[82] ));
- sky130_fd_sc_hd__dfxtp_1 _7179_ (.CLK(\clknet_leaf_32_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[73] ));
+ sky130_fd_sc_hd__dfxtp_1 _7279_ (.CLK(\clknet_leaf_6_u_uart2wb.baud_clk_16x ),
     .D(_0327_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[83] ));
- sky130_fd_sc_hd__dfxtp_1 _7180_ (.CLK(\clknet_leaf_20_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[74] ));
+ sky130_fd_sc_hd__dfxtp_1 _7280_ (.CLK(\clknet_leaf_21_u_uart2wb.baud_clk_16x ),
     .D(_0328_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[84] ));
- sky130_fd_sc_hd__dfxtp_1 _7181_ (.CLK(\clknet_leaf_16_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[75] ));
+ sky130_fd_sc_hd__dfxtp_1 _7281_ (.CLK(\clknet_leaf_20_u_uart2wb.baud_clk_16x ),
     .D(_0329_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[85] ));
- sky130_fd_sc_hd__dfxtp_1 _7182_ (.CLK(\clknet_leaf_16_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[76] ));
+ sky130_fd_sc_hd__dfxtp_1 _7282_ (.CLK(\clknet_leaf_20_u_uart2wb.baud_clk_16x ),
     .D(_0330_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[86] ));
- sky130_fd_sc_hd__dfxtp_1 _7183_ (.CLK(\clknet_leaf_17_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[77] ));
+ sky130_fd_sc_hd__dfxtp_1 _7283_ (.CLK(\clknet_leaf_19_u_uart2wb.baud_clk_16x ),
     .D(_0331_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[96] ));
- sky130_fd_sc_hd__dfxtp_1 _7184_ (.CLK(\clknet_leaf_18_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[78] ));
+ sky130_fd_sc_hd__dfxtp_1 _7284_ (.CLK(\clknet_leaf_18_u_uart2wb.baud_clk_16x ),
     .D(_0332_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[97] ));
- sky130_fd_sc_hd__dfxtp_1 _7185_ (.CLK(\clknet_leaf_17_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[80] ));
+ sky130_fd_sc_hd__dfxtp_1 _7285_ (.CLK(\clknet_leaf_20_u_uart2wb.baud_clk_16x ),
     .D(_0333_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[98] ));
- sky130_fd_sc_hd__dfxtp_1 _7186_ (.CLK(\clknet_leaf_18_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[81] ));
+ sky130_fd_sc_hd__dfxtp_1 _7286_ (.CLK(\clknet_leaf_6_u_uart2wb.baud_clk_16x ),
     .D(_0334_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[99] ));
- sky130_fd_sc_hd__dfxtp_1 _7187_ (.CLK(\clknet_leaf_19_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[82] ));
+ sky130_fd_sc_hd__dfxtp_1 _7287_ (.CLK(\clknet_leaf_21_u_uart2wb.baud_clk_16x ),
     .D(_0335_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[100] ));
- sky130_fd_sc_hd__dfxtp_1 _7188_ (.CLK(\clknet_leaf_4_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[83] ));
+ sky130_fd_sc_hd__dfxtp_1 _7288_ (.CLK(\clknet_leaf_20_u_uart2wb.baud_clk_16x ),
     .D(_0336_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[101] ));
- sky130_fd_sc_hd__dfxtp_1 _7189_ (.CLK(\clknet_leaf_17_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[84] ));
+ sky130_fd_sc_hd__dfxtp_1 _7289_ (.CLK(\clknet_leaf_19_u_uart2wb.baud_clk_16x ),
     .D(_0337_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[102] ));
- sky130_fd_sc_hd__dfxtp_1 _7190_ (.CLK(\clknet_leaf_3_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[85] ));
+ sky130_fd_sc_hd__dfxtp_1 _7290_ (.CLK(\clknet_leaf_19_u_uart2wb.baud_clk_16x ),
     .D(_0338_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[104] ));
- sky130_fd_sc_hd__dfxtp_1 _7191_ (.CLK(\clknet_leaf_17_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[86] ));
+ sky130_fd_sc_hd__dfxtp_1 _7291_ (.CLK(\clknet_leaf_20_u_uart2wb.baud_clk_16x ),
     .D(_0339_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[105] ));
- sky130_fd_sc_hd__dfxtp_1 _7192_ (.CLK(\clknet_leaf_16_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[96] ));
+ sky130_fd_sc_hd__dfxtp_1 _7292_ (.CLK(\clknet_leaf_5_u_uart2wb.baud_clk_16x ),
     .D(_0340_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[106] ));
- sky130_fd_sc_hd__dfxtp_1 _7193_ (.CLK(\clknet_leaf_17_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[97] ));
+ sky130_fd_sc_hd__dfxtp_1 _7293_ (.CLK(\clknet_leaf_5_u_uart2wb.baud_clk_16x ),
     .D(_0341_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[107] ));
- sky130_fd_sc_hd__dfxtp_1 _7194_ (.CLK(\clknet_leaf_3_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[98] ));
+ sky130_fd_sc_hd__dfxtp_1 _7294_ (.CLK(\clknet_leaf_5_u_uart2wb.baud_clk_16x ),
     .D(_0342_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[108] ));
- sky130_fd_sc_hd__dfxtp_1 _7195_ (.CLK(\clknet_leaf_4_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[99] ));
+ sky130_fd_sc_hd__dfxtp_1 _7295_ (.CLK(\clknet_leaf_6_u_uart2wb.baud_clk_16x ),
     .D(_0343_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[109] ));
- sky130_fd_sc_hd__dfxtp_1 _7196_ (.CLK(\clknet_leaf_17_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[100] ));
+ sky130_fd_sc_hd__dfxtp_1 _7296_ (.CLK(\clknet_leaf_9_u_uart2wb.baud_clk_16x ),
     .D(_0344_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[110] ));
- sky130_fd_sc_hd__dfxtp_1 _7197_ (.CLK(\clknet_leaf_16_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[101] ));
+ sky130_fd_sc_hd__dfxtp_1 _7297_ (.CLK(\clknet_leaf_7_u_uart2wb.baud_clk_16x ),
     .D(_0345_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[112] ));
- sky130_fd_sc_hd__dfxtp_1 _7198_ (.CLK(\clknet_leaf_3_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[102] ));
+ sky130_fd_sc_hd__dfxtp_1 _7298_ (.CLK(\clknet_leaf_9_u_uart2wb.baud_clk_16x ),
     .D(_0346_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[113] ));
- sky130_fd_sc_hd__dfxtp_1 _7199_ (.CLK(\clknet_leaf_16_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[104] ));
+ sky130_fd_sc_hd__dfxtp_1 _7299_ (.CLK(\clknet_leaf_7_u_uart2wb.baud_clk_16x ),
     .D(_0347_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[114] ));
- sky130_fd_sc_hd__dfxtp_1 _7200_ (.CLK(\clknet_leaf_3_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[105] ));
+ sky130_fd_sc_hd__dfxtp_1 _7300_ (.CLK(\clknet_leaf_9_u_uart2wb.baud_clk_16x ),
     .D(_0348_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[115] ));
- sky130_fd_sc_hd__dfxtp_1 _7201_ (.CLK(\clknet_leaf_15_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[106] ));
+ sky130_fd_sc_hd__dfxtp_1 _7301_ (.CLK(\clknet_leaf_7_u_uart2wb.baud_clk_16x ),
     .D(_0349_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[116] ));
- sky130_fd_sc_hd__dfxtp_1 _7202_ (.CLK(\clknet_leaf_10_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[107] ));
+ sky130_fd_sc_hd__dfxtp_1 _7302_ (.CLK(\clknet_leaf_9_u_uart2wb.baud_clk_16x ),
     .D(_0350_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[117] ));
- sky130_fd_sc_hd__dfxtp_1 _7203_ (.CLK(\clknet_leaf_3_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[108] ));
+ sky130_fd_sc_hd__dfxtp_1 _7303_ (.CLK(\clknet_leaf_9_u_uart2wb.baud_clk_16x ),
     .D(_0351_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[118] ));
- sky130_fd_sc_hd__dfxtp_1 _7204_ (.CLK(\clknet_leaf_15_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[109] ));
+ sky130_fd_sc_hd__dfxtp_1 _7304_ (.CLK(\clknet_leaf_9_u_uart2wb.baud_clk_16x ),
     .D(_0352_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[56] ));
- sky130_fd_sc_hd__dfxtp_1 _7205_ (.CLK(\clknet_leaf_15_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[110] ));
+ sky130_fd_sc_hd__dfxtp_1 _7305_ (.CLK(\clknet_leaf_10_u_uart2wb.baud_clk_16x ),
     .D(_0353_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[57] ));
- sky130_fd_sc_hd__dfxtp_1 _7206_ (.CLK(\clknet_leaf_22_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[112] ));
+ sky130_fd_sc_hd__dfxtp_1 _7306_ (.CLK(\clknet_leaf_7_u_uart2wb.baud_clk_16x ),
     .D(_0354_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[58] ));
- sky130_fd_sc_hd__dfxtp_1 _7207_ (.CLK(\clknet_leaf_17_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[113] ));
+ sky130_fd_sc_hd__dfxtp_1 _7307_ (.CLK(\clknet_leaf_10_u_uart2wb.baud_clk_16x ),
     .D(_0355_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[59] ));
- sky130_fd_sc_hd__dfxtp_1 _7208_ (.CLK(\clknet_leaf_14_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[114] ));
+ sky130_fd_sc_hd__dfxtp_1 _7308_ (.CLK(\clknet_leaf_7_u_uart2wb.baud_clk_16x ),
     .D(_0356_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[60] ));
- sky130_fd_sc_hd__dfxtp_1 _7209_ (.CLK(\clknet_leaf_15_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[115] ));
+ sky130_fd_sc_hd__dfxtp_1 _7309_ (.CLK(\clknet_leaf_10_u_uart2wb.baud_clk_16x ),
     .D(_0357_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[61] ));
- sky130_fd_sc_hd__dfxtp_1 _7210_ (.CLK(\clknet_leaf_17_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[116] ));
+ sky130_fd_sc_hd__dfxtp_1 _7310_ (.CLK(\clknet_leaf_10_u_uart2wb.baud_clk_16x ),
     .D(_0358_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[62] ));
- sky130_fd_sc_hd__dfxtp_1 _7211_ (.CLK(\clknet_leaf_5_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[117] ));
+ sky130_fd_sc_hd__dfxtp_1 _7311_ (.CLK(\clknet_leaf_9_u_uart2wb.baud_clk_16x ),
     .D(_0359_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[120] ));
- sky130_fd_sc_hd__dfxtp_1 _7212_ (.CLK(\clknet_leaf_4_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[118] ));
+ sky130_fd_sc_hd__dfxtp_1 _7312_ (.CLK(\clknet_leaf_16_u_uart2wb.baud_clk_16x ),
     .D(_0360_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[121] ));
- sky130_fd_sc_hd__dfxtp_1 _7213_ (.CLK(\clknet_leaf_4_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[56] ));
+ sky130_fd_sc_hd__dfxtp_1 _7313_ (.CLK(\clknet_leaf_19_u_uart2wb.baud_clk_16x ),
     .D(_0361_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[122] ));
- sky130_fd_sc_hd__dfxtp_1 _7214_ (.CLK(\clknet_leaf_4_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[57] ));
+ sky130_fd_sc_hd__dfxtp_1 _7314_ (.CLK(\clknet_leaf_17_u_uart2wb.baud_clk_16x ),
     .D(_0362_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[123] ));
- sky130_fd_sc_hd__dfxtp_1 _7215_ (.CLK(\clknet_leaf_4_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[58] ));
+ sky130_fd_sc_hd__dfxtp_1 _7315_ (.CLK(\clknet_leaf_14_u_uart2wb.baud_clk_16x ),
     .D(_0363_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[124] ));
- sky130_fd_sc_hd__dfxtp_1 _7216_ (.CLK(\clknet_leaf_10_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[59] ));
+ sky130_fd_sc_hd__dfxtp_1 _7316_ (.CLK(\clknet_leaf_17_u_uart2wb.baud_clk_16x ),
     .D(_0364_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[125] ));
- sky130_fd_sc_hd__dfxtp_1 _7217_ (.CLK(\clknet_leaf_4_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[60] ));
+ sky130_fd_sc_hd__dfxtp_1 _7317_ (.CLK(\clknet_leaf_17_u_uart2wb.baud_clk_16x ),
     .D(_0365_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[126] ));
- sky130_fd_sc_hd__dfxtp_1 _7218_ (.CLK(\clknet_leaf_5_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[61] ));
+ sky130_fd_sc_hd__dfxtp_1 _7318_ (.CLK(\clknet_leaf_10_u_uart2wb.baud_clk_16x ),
     .D(_0366_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.tx_data[0] ));
- sky130_fd_sc_hd__dfxtp_1 _7219_ (.CLK(\clknet_leaf_9_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[62] ));
+ sky130_fd_sc_hd__dfxtp_1 _7319_ (.CLK(\clknet_leaf_13_u_uart2wb.baud_clk_16x ),
     .D(_0367_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.tx_data[1] ));
- sky130_fd_sc_hd__dfxtp_1 _7220_ (.CLK(\clknet_leaf_5_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[120] ));
+ sky130_fd_sc_hd__dfxtp_1 _7320_ (.CLK(\clknet_leaf_10_u_uart2wb.baud_clk_16x ),
     .D(_0368_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.tx_data[2] ));
- sky130_fd_sc_hd__dfxtp_1 _7221_ (.CLK(\clknet_leaf_5_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[121] ));
+ sky130_fd_sc_hd__dfxtp_1 _7321_ (.CLK(\clknet_leaf_13_u_uart2wb.baud_clk_16x ),
     .D(_0369_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.tx_data[3] ));
- sky130_fd_sc_hd__dfxtp_1 _7222_ (.CLK(\clknet_leaf_9_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[122] ));
+ sky130_fd_sc_hd__dfxtp_1 _7322_ (.CLK(\clknet_leaf_13_u_uart2wb.baud_clk_16x ),
     .D(_0370_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.tx_data[4] ));
- sky130_fd_sc_hd__dfxtp_1 _7223_ (.CLK(\clknet_leaf_9_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[123] ));
+ sky130_fd_sc_hd__dfxtp_1 _7323_ (.CLK(\clknet_leaf_15_u_uart2wb.baud_clk_16x ),
     .D(_0371_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.tx_data[5] ));
- sky130_fd_sc_hd__dfxtp_1 _7224_ (.CLK(\clknet_leaf_9_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[124] ));
+ sky130_fd_sc_hd__dfxtp_1 _7324_ (.CLK(\clknet_leaf_15_u_uart2wb.baud_clk_16x ),
     .D(_0372_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.tx_data[6] ));
- sky130_fd_sc_hd__dfxtp_1 _7225_ (.CLK(\clknet_leaf_4_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[125] ));
+ sky130_fd_sc_hd__dfxtp_1 _7325_ (.CLK(\clknet_leaf_15_u_uart2wb.baud_clk_16x ),
     .D(_0373_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[88] ));
- sky130_fd_sc_hd__dfxtp_1 _7226_ (.CLK(\clknet_leaf_18_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[126] ));
+ sky130_fd_sc_hd__dfxtp_1 _7326_ (.CLK(\clknet_leaf_13_u_uart2wb.baud_clk_16x ),
     .D(_0374_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[89] ));
- sky130_fd_sc_hd__dfxtp_1 _7227_ (.CLK(\clknet_leaf_19_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.tx_data[0] ));
+ sky130_fd_sc_hd__dfxtp_1 _7327_ (.CLK(\clknet_leaf_12_u_uart2wb.baud_clk_16x ),
     .D(_0375_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[90] ));
- sky130_fd_sc_hd__dfxtp_1 _7228_ (.CLK(\clknet_leaf_34_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.tx_data[1] ));
+ sky130_fd_sc_hd__dfxtp_1 _7328_ (.CLK(\clknet_leaf_13_u_uart2wb.baud_clk_16x ),
     .D(_0376_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[91] ));
- sky130_fd_sc_hd__dfxtp_1 _7229_ (.CLK(\clknet_leaf_19_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.tx_data[2] ));
+ sky130_fd_sc_hd__dfxtp_1 _7329_ (.CLK(\clknet_leaf_13_u_uart2wb.baud_clk_16x ),
     .D(_0377_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[92] ));
- sky130_fd_sc_hd__dfxtp_1 _7230_ (.CLK(\clknet_leaf_4_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.tx_data[3] ));
+ sky130_fd_sc_hd__dfxtp_1 _7330_ (.CLK(\clknet_leaf_15_u_uart2wb.baud_clk_16x ),
     .D(_0378_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[93] ));
- sky130_fd_sc_hd__dfxtp_1 _7231_ (.CLK(\clknet_leaf_17_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.tx_data[4] ));
+ sky130_fd_sc_hd__dfxtp_1 _7331_ (.CLK(\clknet_leaf_15_u_uart2wb.baud_clk_16x ),
     .D(_0379_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[94] ));
- sky130_fd_sc_hd__dfrtp_1 _7232_ (.CLK(\clknet_leaf_7_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.tx_data[5] ));
+ sky130_fd_sc_hd__dfxtp_1 _7332_ (.CLK(\clknet_leaf_15_u_uart2wb.baud_clk_16x ),
     .D(_0380_),
-    .RESET_B(net199),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_uart2wb.tx_data[6] ));
+ sky130_fd_sc_hd__dfxtp_1 _7333_ (.CLK(\clknet_leaf_19_u_uart2wb.baud_clk_16x ),
+    .D(_0381_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[88] ));
+ sky130_fd_sc_hd__dfxtp_1 _7334_ (.CLK(\clknet_leaf_20_u_uart2wb.baud_clk_16x ),
+    .D(_0382_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[89] ));
+ sky130_fd_sc_hd__dfxtp_1 _7335_ (.CLK(\clknet_leaf_5_u_uart2wb.baud_clk_16x ),
+    .D(_0383_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[90] ));
+ sky130_fd_sc_hd__dfxtp_1 _7336_ (.CLK(\clknet_leaf_21_u_uart2wb.baud_clk_16x ),
+    .D(_0384_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[91] ));
+ sky130_fd_sc_hd__dfxtp_1 _7337_ (.CLK(\clknet_leaf_20_u_uart2wb.baud_clk_16x ),
+    .D(_0385_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[92] ));
+ sky130_fd_sc_hd__dfxtp_1 _7338_ (.CLK(\clknet_leaf_14_u_uart2wb.baud_clk_16x ),
+    .D(_0386_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[93] ));
+ sky130_fd_sc_hd__dfxtp_1 _7339_ (.CLK(\clknet_leaf_6_u_uart2wb.baud_clk_16x ),
+    .D(_0387_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[94] ));
+ sky130_fd_sc_hd__dfrtp_1 _7340_ (.CLK(\clknet_leaf_16_u_uart2wb.baud_clk_16x ),
+    .D(_0388_),
+    .RESET_B(net178),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_txfsm.cnt[0] ));
- sky130_fd_sc_hd__dfrtp_1 _7233_ (.CLK(\clknet_leaf_6_u_uart2wb.baud_clk_16x ),
-    .D(_0381_),
-    .RESET_B(net214),
+ sky130_fd_sc_hd__dfrtp_1 _7341_ (.CLK(\clknet_leaf_16_u_uart2wb.baud_clk_16x ),
+    .D(_0389_),
+    .RESET_B(net178),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_txfsm.cnt[1] ));
- sky130_fd_sc_hd__dfrtp_1 _7234_ (.CLK(\clknet_leaf_7_u_uart2wb.baud_clk_16x ),
-    .D(_0382_),
+ sky130_fd_sc_hd__dfrtp_1 _7342_ (.CLK(\clknet_leaf_16_u_uart2wb.baud_clk_16x ),
+    .D(_0390_),
     .RESET_B(net178),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_txfsm.cnt[2] ));
- sky130_fd_sc_hd__dfrtp_1 _7235_ (.CLK(\clknet_leaf_40_u_uart2wb.baud_clk_16x ),
-    .D(_0383_),
-    .RESET_B(net180),
+ sky130_fd_sc_hd__dfrtp_1 _7343_ (.CLK(\clknet_leaf_0_u_uart2wb.baud_clk_16x ),
+    .D(_0391_),
+    .RESET_B(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_addr[0] ));
- sky130_fd_sc_hd__dfrtp_1 _7236_ (.CLK(\clknet_leaf_36_u_uart2wb.baud_clk_16x ),
-    .D(_0384_),
-    .RESET_B(net180),
+ sky130_fd_sc_hd__dfrtp_1 _7344_ (.CLK(\clknet_leaf_0_u_uart2wb.baud_clk_16x ),
+    .D(_0392_),
+    .RESET_B(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_addr[1] ));
- sky130_fd_sc_hd__dfrtp_4 _7237_ (.CLK(\clknet_leaf_36_u_uart2wb.baud_clk_16x ),
-    .D(_0385_),
-    .RESET_B(net180),
+ sky130_fd_sc_hd__dfrtp_2 _7345_ (.CLK(\clknet_leaf_1_u_uart2wb.baud_clk_16x ),
+    .D(_0393_),
+    .RESET_B(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_addr[2] ));
- sky130_fd_sc_hd__dfrtp_4 _7238_ (.CLK(\clknet_leaf_36_u_uart2wb.baud_clk_16x ),
-    .D(_0386_),
-    .RESET_B(net180),
+ sky130_fd_sc_hd__dfrtp_2 _7346_ (.CLK(\clknet_leaf_1_u_uart2wb.baud_clk_16x ),
+    .D(_0394_),
+    .RESET_B(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_addr[3] ));
- sky130_fd_sc_hd__dfrtp_1 _7239_ (.CLK(\clknet_leaf_1_u_uart2wb.baud_clk_16x ),
-    .D(_0387_),
-    .RESET_B(net180),
+ sky130_fd_sc_hd__dfrtp_1 _7347_ (.CLK(\clknet_leaf_41_u_uart2wb.baud_clk_16x ),
+    .D(_0395_),
+    .RESET_B(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_addr[4] ));
- sky130_fd_sc_hd__dfrtp_1 _7240_ (.CLK(\clknet_leaf_1_u_uart2wb.baud_clk_16x ),
-    .D(_0388_),
-    .RESET_B(net180),
+ sky130_fd_sc_hd__dfrtp_1 _7348_ (.CLK(\clknet_leaf_41_u_uart2wb.baud_clk_16x ),
+    .D(_0396_),
+    .RESET_B(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_addr[5] ));
- sky130_fd_sc_hd__dfrtp_1 _7241_ (.CLK(\clknet_leaf_1_u_uart2wb.baud_clk_16x ),
-    .D(_0389_),
-    .RESET_B(net180),
+ sky130_fd_sc_hd__dfrtp_1 _7349_ (.CLK(\clknet_leaf_41_u_uart2wb.baud_clk_16x ),
+    .D(_0397_),
+    .RESET_B(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_addr[6] ));
- sky130_fd_sc_hd__dfrtp_1 _7242_ (.CLK(\clknet_leaf_1_u_uart2wb.baud_clk_16x ),
-    .D(_0390_),
-    .RESET_B(net180),
+ sky130_fd_sc_hd__dfrtp_1 _7350_ (.CLK(\clknet_leaf_40_u_uart2wb.baud_clk_16x ),
+    .D(_0398_),
+    .RESET_B(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_addr[7] ));
- sky130_fd_sc_hd__dfrtp_1 _7243_ (.CLK(\clknet_leaf_40_u_uart2wb.baud_clk_16x ),
-    .D(_0391_),
-    .RESET_B(net180),
+ sky130_fd_sc_hd__dfrtp_1 _7351_ (.CLK(\clknet_leaf_41_u_uart2wb.baud_clk_16x ),
+    .D(_0399_),
+    .RESET_B(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_addr[8] ));
- sky130_fd_sc_hd__dfrtp_1 _7244_ (.CLK(\clknet_leaf_1_u_uart2wb.baud_clk_16x ),
-    .D(_0392_),
-    .RESET_B(net180),
+ sky130_fd_sc_hd__dfrtp_1 _7352_ (.CLK(\clknet_leaf_40_u_uart2wb.baud_clk_16x ),
+    .D(_0400_),
+    .RESET_B(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_addr[9] ));
- sky130_fd_sc_hd__dfrtp_1 _7245_ (.CLK(\clknet_leaf_1_u_uart2wb.baud_clk_16x ),
-    .D(_0393_),
-    .RESET_B(net180),
+ sky130_fd_sc_hd__dfrtp_1 _7353_ (.CLK(\clknet_leaf_40_u_uart2wb.baud_clk_16x ),
+    .D(_0401_),
+    .RESET_B(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_addr[10] ));
- sky130_fd_sc_hd__dfrtp_1 _7246_ (.CLK(\clknet_leaf_1_u_uart2wb.baud_clk_16x ),
-    .D(_0394_),
-    .RESET_B(net180),
+ sky130_fd_sc_hd__dfrtp_1 _7354_ (.CLK(\clknet_leaf_40_u_uart2wb.baud_clk_16x ),
+    .D(_0402_),
+    .RESET_B(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_addr[11] ));
- sky130_fd_sc_hd__dfrtp_1 _7247_ (.CLK(\clknet_leaf_40_u_uart2wb.baud_clk_16x ),
-    .D(_0395_),
-    .RESET_B(net180),
+ sky130_fd_sc_hd__dfrtp_1 _7355_ (.CLK(\clknet_leaf_39_u_uart2wb.baud_clk_16x ),
+    .D(_0403_),
+    .RESET_B(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_addr[12] ));
- sky130_fd_sc_hd__dfrtp_1 _7248_ (.CLK(\clknet_leaf_40_u_uart2wb.baud_clk_16x ),
-    .D(_0396_),
-    .RESET_B(net180),
+ sky130_fd_sc_hd__dfrtp_1 _7356_ (.CLK(\clknet_leaf_40_u_uart2wb.baud_clk_16x ),
+    .D(_0404_),
+    .RESET_B(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_addr[13] ));
- sky130_fd_sc_hd__dfrtp_1 _7249_ (.CLK(\clknet_leaf_40_u_uart2wb.baud_clk_16x ),
-    .D(_0397_),
-    .RESET_B(net180),
+ sky130_fd_sc_hd__dfrtp_1 _7357_ (.CLK(\clknet_leaf_40_u_uart2wb.baud_clk_16x ),
+    .D(_0405_),
+    .RESET_B(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_addr[14] ));
- sky130_fd_sc_hd__dfrtp_1 _7250_ (.CLK(\clknet_leaf_40_u_uart2wb.baud_clk_16x ),
-    .D(_0398_),
-    .RESET_B(net180),
+ sky130_fd_sc_hd__dfrtp_1 _7358_ (.CLK(\clknet_leaf_39_u_uart2wb.baud_clk_16x ),
+    .D(_0406_),
+    .RESET_B(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_addr[15] ));
- sky130_fd_sc_hd__dfrtp_1 _7251_ (.CLK(\clknet_leaf_39_u_uart2wb.baud_clk_16x ),
-    .D(_0399_),
-    .RESET_B(net179),
+ sky130_fd_sc_hd__dfrtp_1 _7359_ (.CLK(\clknet_leaf_39_u_uart2wb.baud_clk_16x ),
+    .D(_0407_),
+    .RESET_B(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_addr[16] ));
- sky130_fd_sc_hd__dfrtp_1 _7252_ (.CLK(\clknet_leaf_39_u_uart2wb.baud_clk_16x ),
-    .D(_0400_),
-    .RESET_B(net179),
+ sky130_fd_sc_hd__dfrtp_1 _7360_ (.CLK(\clknet_leaf_40_u_uart2wb.baud_clk_16x ),
+    .D(_0408_),
+    .RESET_B(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_addr[17] ));
- sky130_fd_sc_hd__dfrtp_1 _7253_ (.CLK(\clknet_leaf_36_u_uart2wb.baud_clk_16x ),
-    .D(_0401_),
-    .RESET_B(net179),
+ sky130_fd_sc_hd__dfrtp_1 _7361_ (.CLK(\clknet_leaf_39_u_uart2wb.baud_clk_16x ),
+    .D(_0409_),
+    .RESET_B(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_addr[18] ));
- sky130_fd_sc_hd__dfrtp_1 _7254_ (.CLK(\clknet_leaf_39_u_uart2wb.baud_clk_16x ),
-    .D(_0402_),
-    .RESET_B(net179),
+ sky130_fd_sc_hd__dfrtp_1 _7362_ (.CLK(\clknet_leaf_39_u_uart2wb.baud_clk_16x ),
+    .D(_0410_),
+    .RESET_B(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_addr[19] ));
- sky130_fd_sc_hd__dfrtp_1 _7255_ (.CLK(\clknet_leaf_39_u_uart2wb.baud_clk_16x ),
-    .D(_0403_),
-    .RESET_B(net179),
+ sky130_fd_sc_hd__dfrtp_1 _7363_ (.CLK(\clknet_leaf_39_u_uart2wb.baud_clk_16x ),
+    .D(_0411_),
+    .RESET_B(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_addr[20] ));
- sky130_fd_sc_hd__dfrtp_1 _7256_ (.CLK(\clknet_2_0_0_u_uart2wb.baud_clk_16x ),
-    .D(_0404_),
-    .RESET_B(net179),
+ sky130_fd_sc_hd__dfrtp_1 _7364_ (.CLK(\clknet_leaf_39_u_uart2wb.baud_clk_16x ),
+    .D(_0412_),
+    .RESET_B(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_addr[21] ));
- sky130_fd_sc_hd__dfrtp_1 _7257_ (.CLK(\clknet_leaf_36_u_uart2wb.baud_clk_16x ),
-    .D(_0405_),
-    .RESET_B(net179),
+ sky130_fd_sc_hd__dfrtp_1 _7365_ (.CLK(\clknet_leaf_38_u_uart2wb.baud_clk_16x ),
+    .D(_0413_),
+    .RESET_B(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_addr[22] ));
- sky130_fd_sc_hd__dfrtp_1 _7258_ (.CLK(\clknet_leaf_39_u_uart2wb.baud_clk_16x ),
-    .D(_0406_),
-    .RESET_B(net179),
+ sky130_fd_sc_hd__dfrtp_1 _7366_ (.CLK(\clknet_leaf_39_u_uart2wb.baud_clk_16x ),
+    .D(_0414_),
+    .RESET_B(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_addr[23] ));
- sky130_fd_sc_hd__dfrtp_1 _7259_ (.CLK(\clknet_leaf_0_u_uart2wb.baud_clk_16x ),
-    .D(_0407_),
-    .RESET_B(net180),
+ sky130_fd_sc_hd__dfrtp_1 _7367_ (.CLK(\clknet_leaf_0_u_uart2wb.baud_clk_16x ),
+    .D(_0415_),
+    .RESET_B(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_wdata[0] ));
- sky130_fd_sc_hd__dfrtp_1 _7260_ (.CLK(\clknet_leaf_0_u_uart2wb.baud_clk_16x ),
-    .D(_0408_),
-    .RESET_B(net180),
+ sky130_fd_sc_hd__dfrtp_1 _7368_ (.CLK(\clknet_leaf_0_u_uart2wb.baud_clk_16x ),
+    .D(_0416_),
+    .RESET_B(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_wdata[1] ));
- sky130_fd_sc_hd__dfrtp_1 _7261_ (.CLK(\clknet_leaf_0_u_uart2wb.baud_clk_16x ),
-    .D(_0409_),
-    .RESET_B(net180),
+ sky130_fd_sc_hd__dfrtp_1 _7369_ (.CLK(\clknet_leaf_1_u_uart2wb.baud_clk_16x ),
+    .D(_0417_),
+    .RESET_B(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_wdata[2] ));
- sky130_fd_sc_hd__dfrtp_1 _7262_ (.CLK(\clknet_leaf_0_u_uart2wb.baud_clk_16x ),
-    .D(_0410_),
-    .RESET_B(net180),
+ sky130_fd_sc_hd__dfrtp_1 _7370_ (.CLK(\clknet_leaf_1_u_uart2wb.baud_clk_16x ),
+    .D(_0418_),
+    .RESET_B(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_wdata[3] ));
- sky130_fd_sc_hd__dfrtp_1 _7263_ (.CLK(\clknet_leaf_0_u_uart2wb.baud_clk_16x ),
-    .D(_0411_),
-    .RESET_B(net180),
+ sky130_fd_sc_hd__dfrtp_1 _7371_ (.CLK(\clknet_leaf_0_u_uart2wb.baud_clk_16x ),
+    .D(_0419_),
+    .RESET_B(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_wdata[4] ));
- sky130_fd_sc_hd__dfrtp_1 _7264_ (.CLK(\clknet_leaf_0_u_uart2wb.baud_clk_16x ),
-    .D(_0412_),
-    .RESET_B(net180),
+ sky130_fd_sc_hd__dfrtp_1 _7372_ (.CLK(\clknet_leaf_2_u_uart2wb.baud_clk_16x ),
+    .D(_0420_),
+    .RESET_B(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_wdata[5] ));
- sky130_fd_sc_hd__dfrtp_1 _7265_ (.CLK(\clknet_leaf_43_u_uart2wb.baud_clk_16x ),
-    .D(_0413_),
-    .RESET_B(net180),
+ sky130_fd_sc_hd__dfrtp_1 _7373_ (.CLK(\clknet_leaf_2_u_uart2wb.baud_clk_16x ),
+    .D(_0421_),
+    .RESET_B(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_wdata[6] ));
- sky130_fd_sc_hd__dfrtp_1 _7266_ (.CLK(\clknet_leaf_43_u_uart2wb.baud_clk_16x ),
-    .D(_0414_),
-    .RESET_B(net180),
+ sky130_fd_sc_hd__dfrtp_1 _7374_ (.CLK(\clknet_leaf_1_u_uart2wb.baud_clk_16x ),
+    .D(_0422_),
+    .RESET_B(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_wdata[7] ));
- sky130_fd_sc_hd__dfrtp_1 _7267_ (.CLK(\clknet_leaf_43_u_uart2wb.baud_clk_16x ),
-    .D(_0415_),
-    .RESET_B(net180),
+ sky130_fd_sc_hd__dfrtp_1 _7375_ (.CLK(\clknet_leaf_2_u_uart2wb.baud_clk_16x ),
+    .D(_0423_),
+    .RESET_B(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_wdata[8] ));
- sky130_fd_sc_hd__dfrtp_1 _7268_ (.CLK(\clknet_leaf_43_u_uart2wb.baud_clk_16x ),
-    .D(_0416_),
-    .RESET_B(net180),
+ sky130_fd_sc_hd__dfrtp_1 _7376_ (.CLK(\clknet_leaf_2_u_uart2wb.baud_clk_16x ),
+    .D(_0424_),
+    .RESET_B(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_wdata[9] ));
- sky130_fd_sc_hd__dfrtp_1 _7269_ (.CLK(\clknet_leaf_43_u_uart2wb.baud_clk_16x ),
-    .D(_0417_),
-    .RESET_B(net180),
+ sky130_fd_sc_hd__dfrtp_1 _7377_ (.CLK(\clknet_leaf_2_u_uart2wb.baud_clk_16x ),
+    .D(_0425_),
+    .RESET_B(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_wdata[10] ));
- sky130_fd_sc_hd__dfrtp_1 _7270_ (.CLK(\clknet_leaf_43_u_uart2wb.baud_clk_16x ),
-    .D(_0418_),
-    .RESET_B(net180),
+ sky130_fd_sc_hd__dfrtp_1 _7378_ (.CLK(\clknet_leaf_2_u_uart2wb.baud_clk_16x ),
+    .D(_0426_),
+    .RESET_B(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_wdata[11] ));
- sky130_fd_sc_hd__dfrtp_1 _7271_ (.CLK(\clknet_leaf_43_u_uart2wb.baud_clk_16x ),
-    .D(_0419_),
-    .RESET_B(net180),
+ sky130_fd_sc_hd__dfrtp_1 _7379_ (.CLK(\clknet_leaf_2_u_uart2wb.baud_clk_16x ),
+    .D(_0427_),
+    .RESET_B(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_wdata[12] ));
- sky130_fd_sc_hd__dfrtp_1 _7272_ (.CLK(\clknet_leaf_42_u_uart2wb.baud_clk_16x ),
-    .D(_0420_),
-    .RESET_B(net180),
+ sky130_fd_sc_hd__dfrtp_1 _7380_ (.CLK(\clknet_leaf_0_u_uart2wb.baud_clk_16x ),
+    .D(_0428_),
+    .RESET_B(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_wdata[13] ));
- sky130_fd_sc_hd__dfrtp_1 _7273_ (.CLK(\clknet_leaf_43_u_uart2wb.baud_clk_16x ),
-    .D(_0421_),
-    .RESET_B(net180),
+ sky130_fd_sc_hd__dfrtp_1 _7381_ (.CLK(\clknet_leaf_43_u_uart2wb.baud_clk_16x ),
+    .D(_0429_),
+    .RESET_B(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_wdata[14] ));
- sky130_fd_sc_hd__dfrtp_1 _7274_ (.CLK(\clknet_leaf_43_u_uart2wb.baud_clk_16x ),
-    .D(_0422_),
-    .RESET_B(net180),
+ sky130_fd_sc_hd__dfrtp_1 _7382_ (.CLK(\clknet_leaf_0_u_uart2wb.baud_clk_16x ),
+    .D(_0430_),
+    .RESET_B(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_wdata[15] ));
- sky130_fd_sc_hd__dfrtp_1 _7275_ (.CLK(\clknet_leaf_42_u_uart2wb.baud_clk_16x ),
-    .D(_0423_),
-    .RESET_B(net180),
+ sky130_fd_sc_hd__dfrtp_1 _7383_ (.CLK(\clknet_leaf_43_u_uart2wb.baud_clk_16x ),
+    .D(_0431_),
+    .RESET_B(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_wdata[16] ));
- sky130_fd_sc_hd__dfrtp_1 _7276_ (.CLK(\clknet_leaf_42_u_uart2wb.baud_clk_16x ),
-    .D(_0424_),
-    .RESET_B(net180),
+ sky130_fd_sc_hd__dfrtp_1 _7384_ (.CLK(\clknet_leaf_43_u_uart2wb.baud_clk_16x ),
+    .D(_0432_),
+    .RESET_B(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_wdata[17] ));
- sky130_fd_sc_hd__dfrtp_1 _7277_ (.CLK(\clknet_leaf_41_u_uart2wb.baud_clk_16x ),
-    .D(_0425_),
-    .RESET_B(net180),
+ sky130_fd_sc_hd__dfrtp_1 _7385_ (.CLK(\clknet_leaf_43_u_uart2wb.baud_clk_16x ),
+    .D(_0433_),
+    .RESET_B(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_wdata[18] ));
- sky130_fd_sc_hd__dfrtp_1 _7278_ (.CLK(\clknet_leaf_41_u_uart2wb.baud_clk_16x ),
-    .D(_0426_),
-    .RESET_B(net180),
+ sky130_fd_sc_hd__dfrtp_1 _7386_ (.CLK(\clknet_leaf_0_u_uart2wb.baud_clk_16x ),
+    .D(_0434_),
+    .RESET_B(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_wdata[19] ));
- sky130_fd_sc_hd__dfrtp_1 _7279_ (.CLK(\clknet_leaf_41_u_uart2wb.baud_clk_16x ),
-    .D(_0427_),
-    .RESET_B(net180),
+ sky130_fd_sc_hd__dfrtp_1 _7387_ (.CLK(\clknet_leaf_43_u_uart2wb.baud_clk_16x ),
+    .D(_0435_),
+    .RESET_B(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_wdata[20] ));
- sky130_fd_sc_hd__dfrtp_1 _7280_ (.CLK(\clknet_leaf_42_u_uart2wb.baud_clk_16x ),
-    .D(_0428_),
-    .RESET_B(net180),
+ sky130_fd_sc_hd__dfrtp_1 _7388_ (.CLK(\clknet_leaf_43_u_uart2wb.baud_clk_16x ),
+    .D(_0436_),
+    .RESET_B(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_wdata[21] ));
- sky130_fd_sc_hd__dfrtp_1 _7281_ (.CLK(\clknet_leaf_41_u_uart2wb.baud_clk_16x ),
-    .D(_0429_),
-    .RESET_B(net180),
+ sky130_fd_sc_hd__dfrtp_1 _7389_ (.CLK(\clknet_leaf_42_u_uart2wb.baud_clk_16x ),
+    .D(_0437_),
+    .RESET_B(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_wdata[22] ));
- sky130_fd_sc_hd__dfrtp_1 _7282_ (.CLK(\clknet_leaf_40_u_uart2wb.baud_clk_16x ),
-    .D(_0430_),
-    .RESET_B(net180),
+ sky130_fd_sc_hd__dfrtp_1 _7390_ (.CLK(\clknet_leaf_43_u_uart2wb.baud_clk_16x ),
+    .D(_0438_),
+    .RESET_B(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_wdata[23] ));
- sky130_fd_sc_hd__dfrtp_1 _7283_ (.CLK(\clknet_leaf_41_u_uart2wb.baud_clk_16x ),
-    .D(_0431_),
-    .RESET_B(net179),
+ sky130_fd_sc_hd__dfrtp_1 _7391_ (.CLK(\clknet_leaf_42_u_uart2wb.baud_clk_16x ),
+    .D(_0439_),
+    .RESET_B(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_wdata[24] ));
- sky130_fd_sc_hd__dfrtp_1 _7284_ (.CLK(\clknet_leaf_42_u_uart2wb.baud_clk_16x ),
-    .D(_0432_),
-    .RESET_B(net179),
+ sky130_fd_sc_hd__dfrtp_1 _7392_ (.CLK(\clknet_leaf_42_u_uart2wb.baud_clk_16x ),
+    .D(_0440_),
+    .RESET_B(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_wdata[25] ));
- sky130_fd_sc_hd__dfrtp_1 _7285_ (.CLK(\clknet_leaf_41_u_uart2wb.baud_clk_16x ),
-    .D(_0433_),
-    .RESET_B(net179),
+ sky130_fd_sc_hd__dfrtp_1 _7393_ (.CLK(\clknet_leaf_0_u_uart2wb.baud_clk_16x ),
+    .D(_0441_),
+    .RESET_B(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_wdata[26] ));
- sky130_fd_sc_hd__dfrtp_1 _7286_ (.CLK(\clknet_leaf_40_u_uart2wb.baud_clk_16x ),
-    .D(_0434_),
-    .RESET_B(net179),
+ sky130_fd_sc_hd__dfrtp_1 _7394_ (.CLK(\clknet_leaf_43_u_uart2wb.baud_clk_16x ),
+    .D(_0442_),
+    .RESET_B(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_wdata[27] ));
- sky130_fd_sc_hd__dfrtp_1 _7287_ (.CLK(\clknet_leaf_39_u_uart2wb.baud_clk_16x ),
-    .D(_0435_),
-    .RESET_B(net179),
+ sky130_fd_sc_hd__dfrtp_1 _7395_ (.CLK(\clknet_leaf_42_u_uart2wb.baud_clk_16x ),
+    .D(_0443_),
+    .RESET_B(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_wdata[28] ));
- sky130_fd_sc_hd__dfrtp_1 _7288_ (.CLK(\clknet_leaf_39_u_uart2wb.baud_clk_16x ),
-    .D(_0436_),
-    .RESET_B(net179),
+ sky130_fd_sc_hd__dfrtp_1 _7396_ (.CLK(\clknet_leaf_42_u_uart2wb.baud_clk_16x ),
+    .D(_0444_),
+    .RESET_B(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_wdata[29] ));
- sky130_fd_sc_hd__dfrtp_1 _7289_ (.CLK(\clknet_leaf_39_u_uart2wb.baud_clk_16x ),
-    .D(_0437_),
-    .RESET_B(net179),
+ sky130_fd_sc_hd__dfrtp_1 _7397_ (.CLK(\clknet_leaf_41_u_uart2wb.baud_clk_16x ),
+    .D(_0445_),
+    .RESET_B(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_wdata[30] ));
- sky130_fd_sc_hd__dfrtp_1 _7290_ (.CLK(\clknet_leaf_39_u_uart2wb.baud_clk_16x ),
-    .D(_0438_),
-    .RESET_B(net179),
+ sky130_fd_sc_hd__dfrtp_1 _7398_ (.CLK(\clknet_leaf_42_u_uart2wb.baud_clk_16x ),
+    .D(_0446_),
+    .RESET_B(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_wdata[31] ));
- sky130_fd_sc_hd__dfrtp_4 _7291_ (.CLK(\clknet_leaf_21_u_uart2wb.baud_clk_16x ),
-    .D(_0439_),
-    .RESET_B(\u_arb.rstn ),
+ sky130_fd_sc_hd__dfrtp_1 _7399_ (.CLK(\clknet_2_2_0_u_uart2wb.baud_clk_16x ),
+    .D(_0447_),
+    .RESET_B(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_req ));
- sky130_fd_sc_hd__dfrtp_4 _7292_ (.CLK(\clknet_leaf_21_u_uart2wb.baud_clk_16x ),
-    .D(_0440_),
-    .RESET_B(\u_arb.rstn ),
+ sky130_fd_sc_hd__dfrtp_4 _7400_ (.CLK(\clknet_leaf_35_u_uart2wb.baud_clk_16x ),
+    .D(_0448_),
+    .RESET_B(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_wr ));
- sky130_fd_sc_hd__dfrtp_2 _7293_ (.CLK(\clknet_leaf_23_u_uart2wb.baud_clk_16x ),
-    .D(_0441_),
-    .RESET_B(\u_arb.rstn ),
+ sky130_fd_sc_hd__dfrtp_1 _7401_ (.CLK(\clknet_leaf_25_u_uart2wb.baud_clk_16x ),
+    .D(_0449_),
+    .RESET_B(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.tx_data_avail ));
- sky130_fd_sc_hd__dfrtp_1 _7294_ (.CLK(\clknet_leaf_5_u_uart2wb.baud_clk_16x ),
-    .D(_0442_),
-    .RESET_B(net199),
+ sky130_fd_sc_hd__dfrtp_1 _7402_ (.CLK(\clknet_leaf_15_u_uart2wb.baud_clk_16x ),
+    .D(_0450_),
+    .RESET_B(net178),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_txfsm.txdata[0] ));
- sky130_fd_sc_hd__dfrtp_1 _7295_ (.CLK(\clknet_leaf_5_u_uart2wb.baud_clk_16x ),
-    .D(_0443_),
-    .RESET_B(net199),
+ sky130_fd_sc_hd__dfrtp_2 _7403_ (.CLK(\clknet_leaf_12_u_uart2wb.baud_clk_16x ),
+    .D(_0451_),
+    .RESET_B(net232),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_txfsm.txdata[1] ));
- sky130_fd_sc_hd__dfrtp_1 _7296_ (.CLK(\clknet_leaf_9_u_uart2wb.baud_clk_16x ),
-    .D(_0444_),
-    .RESET_B(net199),
+ sky130_fd_sc_hd__dfrtp_2 _7404_ (.CLK(\clknet_leaf_13_u_uart2wb.baud_clk_16x ),
+    .D(_0452_),
+    .RESET_B(net232),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_txfsm.txdata[2] ));
- sky130_fd_sc_hd__dfrtp_1 _7297_ (.CLK(\clknet_leaf_5_u_uart2wb.baud_clk_16x ),
-    .D(_0445_),
-    .RESET_B(net199),
+ sky130_fd_sc_hd__dfrtp_1 _7405_ (.CLK(\clknet_leaf_13_u_uart2wb.baud_clk_16x ),
+    .D(_0453_),
+    .RESET_B(net232),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_txfsm.txdata[3] ));
- sky130_fd_sc_hd__dfrtp_1 _7298_ (.CLK(\clknet_leaf_9_u_uart2wb.baud_clk_16x ),
-    .D(_0446_),
-    .RESET_B(net199),
+ sky130_fd_sc_hd__dfrtp_1 _7406_ (.CLK(\clknet_leaf_15_u_uart2wb.baud_clk_16x ),
+    .D(_0454_),
+    .RESET_B(net178),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_txfsm.txdata[4] ));
- sky130_fd_sc_hd__dfrtp_1 _7299_ (.CLK(\clknet_leaf_8_u_uart2wb.baud_clk_16x ),
-    .D(_0447_),
-    .RESET_B(net199),
+ sky130_fd_sc_hd__dfrtp_1 _7407_ (.CLK(\clknet_leaf_15_u_uart2wb.baud_clk_16x ),
+    .D(_0455_),
+    .RESET_B(net178),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_txfsm.txdata[5] ));
- sky130_fd_sc_hd__dfrtp_1 _7300_ (.CLK(\clknet_leaf_9_u_uart2wb.baud_clk_16x ),
-    .D(_0448_),
-    .RESET_B(net199),
+ sky130_fd_sc_hd__dfrtp_1 _7408_ (.CLK(\clknet_leaf_15_u_uart2wb.baud_clk_16x ),
+    .D(_0456_),
+    .RESET_B(net178),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_txfsm.txdata[6] ));
- sky130_fd_sc_hd__dfxtp_1 _7301_ (.CLK(\clknet_leaf_22_u_uart2wb.baud_clk_16x ),
-    .D(_0449_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[64] ));
- sky130_fd_sc_hd__dfxtp_1 _7302_ (.CLK(\clknet_leaf_20_u_uart2wb.baud_clk_16x ),
-    .D(_0450_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[65] ));
- sky130_fd_sc_hd__dfxtp_1 _7303_ (.CLK(\clknet_leaf_19_u_uart2wb.baud_clk_16x ),
-    .D(_0451_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[66] ));
- sky130_fd_sc_hd__dfxtp_1 _7304_ (.CLK(\clknet_leaf_21_u_uart2wb.baud_clk_16x ),
-    .D(_0452_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[67] ));
- sky130_fd_sc_hd__dfxtp_1 _7305_ (.CLK(\clknet_leaf_21_u_uart2wb.baud_clk_16x ),
-    .D(_0453_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[68] ));
- sky130_fd_sc_hd__dfxtp_1 _7306_ (.CLK(\clknet_leaf_15_u_uart2wb.baud_clk_16x ),
-    .D(_0454_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[69] ));
- sky130_fd_sc_hd__dfxtp_1 _7307_ (.CLK(\clknet_leaf_20_u_uart2wb.baud_clk_16x ),
-    .D(_0455_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgBuf[70] ));
- sky130_fd_sc_hd__dfxtp_1 _7308_ (.CLK(\clknet_leaf_10_u_uart2wb.baud_clk_16x ),
-    .D(_0456_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgSize[0] ));
- sky130_fd_sc_hd__dfxtp_1 _7309_ (.CLK(\clknet_leaf_10_u_uart2wb.baud_clk_16x ),
+ sky130_fd_sc_hd__dfxtp_1 _7409_ (.CLK(\clknet_leaf_22_u_uart2wb.baud_clk_16x ),
     .D(_0457_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgSize[1] ));
- sky130_fd_sc_hd__dfxtp_1 _7310_ (.CLK(\clknet_leaf_10_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[64] ));
+ sky130_fd_sc_hd__dfxtp_1 _7410_ (.CLK(\clknet_leaf_22_u_uart2wb.baud_clk_16x ),
     .D(_0458_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgSize[2] ));
- sky130_fd_sc_hd__dfxtp_1 _7311_ (.CLK(\clknet_leaf_15_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[65] ));
+ sky130_fd_sc_hd__dfxtp_1 _7411_ (.CLK(\clknet_leaf_20_u_uart2wb.baud_clk_16x ),
     .D(_0459_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgSize[3] ));
- sky130_fd_sc_hd__dfxtp_1 _7312_ (.CLK(\clknet_leaf_14_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[66] ));
+ sky130_fd_sc_hd__dfxtp_1 _7412_ (.CLK(\clknet_leaf_18_u_uart2wb.baud_clk_16x ),
     .D(_0460_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.TxMsgSize[4] ));
- sky130_fd_sc_hd__dfxtp_1 _7313_ (.CLK(\clknet_leaf_24_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[67] ));
+ sky130_fd_sc_hd__dfxtp_1 _7413_ (.CLK(\clknet_leaf_18_u_uart2wb.baud_clk_16x ),
     .D(_0461_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.RxMsgCnt[0] ));
- sky130_fd_sc_hd__dfxtp_1 _7314_ (.CLK(\clknet_leaf_25_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[68] ));
+ sky130_fd_sc_hd__dfxtp_1 _7414_ (.CLK(\clknet_leaf_18_u_uart2wb.baud_clk_16x ),
     .D(_0462_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.RxMsgCnt[1] ));
- sky130_fd_sc_hd__dfxtp_1 _7315_ (.CLK(\clknet_leaf_23_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[69] ));
+ sky130_fd_sc_hd__dfxtp_1 _7415_ (.CLK(\clknet_leaf_18_u_uart2wb.baud_clk_16x ),
     .D(_0463_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.RxMsgCnt[2] ));
- sky130_fd_sc_hd__dfxtp_1 _7316_ (.CLK(\clknet_leaf_24_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgBuf[70] ));
+ sky130_fd_sc_hd__dfxtp_1 _7416_ (.CLK(\clknet_leaf_19_u_uart2wb.baud_clk_16x ),
     .D(_0464_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.RxMsgCnt[3] ));
- sky130_fd_sc_hd__dfxtp_1 _7317_ (.CLK(\clknet_leaf_24_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgSize[0] ));
+ sky130_fd_sc_hd__dfxtp_1 _7417_ (.CLK(\clknet_leaf_16_u_uart2wb.baud_clk_16x ),
     .D(_0465_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_msg.RxMsgCnt[4] ));
- sky130_fd_sc_hd__dfrtp_2 _7318_ (.CLK(\clknet_leaf_23_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_msg.TxMsgSize[1] ));
+ sky130_fd_sc_hd__dfxtp_1 _7418_ (.CLK(\clknet_leaf_16_u_uart2wb.baud_clk_16x ),
     .D(_0466_),
-    .RESET_B(\u_arb.rstn ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_uart2wb.u_msg.TxMsgSize[2] ));
+ sky130_fd_sc_hd__dfxtp_1 _7419_ (.CLK(\clknet_leaf_15_u_uart2wb.baud_clk_16x ),
+    .D(_0467_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_uart2wb.u_msg.TxMsgSize[3] ));
+ sky130_fd_sc_hd__dfxtp_1 _7420_ (.CLK(\clknet_leaf_16_u_uart2wb.baud_clk_16x ),
+    .D(_0468_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_uart2wb.u_msg.TxMsgSize[4] ));
+ sky130_fd_sc_hd__dfxtp_1 _7421_ (.CLK(\clknet_leaf_28_u_uart2wb.baud_clk_16x ),
+    .D(_0469_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_uart2wb.u_msg.RxMsgCnt[0] ));
+ sky130_fd_sc_hd__dfxtp_1 _7422_ (.CLK(\clknet_leaf_28_u_uart2wb.baud_clk_16x ),
+    .D(_0470_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_uart2wb.u_msg.RxMsgCnt[1] ));
+ sky130_fd_sc_hd__dfxtp_1 _7423_ (.CLK(\clknet_leaf_28_u_uart2wb.baud_clk_16x ),
+    .D(_0471_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_uart2wb.u_msg.RxMsgCnt[2] ));
+ sky130_fd_sc_hd__dfxtp_1 _7424_ (.CLK(\clknet_leaf_25_u_uart2wb.baud_clk_16x ),
+    .D(_0472_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_uart2wb.u_msg.RxMsgCnt[3] ));
+ sky130_fd_sc_hd__dfxtp_1 _7425_ (.CLK(\clknet_leaf_25_u_uart2wb.baud_clk_16x ),
+    .D(_0473_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_uart2wb.u_msg.RxMsgCnt[4] ));
+ sky130_fd_sc_hd__dfrtp_2 _7426_ (.CLK(\clknet_leaf_25_u_uart2wb.baud_clk_16x ),
+    .D(_0474_),
+    .RESET_B(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_msg.State[0] ));
- sky130_fd_sc_hd__dfrtp_1 _7319_ (.CLK(\clknet_leaf_22_u_uart2wb.baud_clk_16x ),
-    .D(_0467_),
-    .RESET_B(\u_arb.rstn ),
+ sky130_fd_sc_hd__dfrtp_1 _7427_ (.CLK(\clknet_leaf_25_u_uart2wb.baud_clk_16x ),
+    .D(_0475_),
+    .RESET_B(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_msg.State[1] ));
- sky130_fd_sc_hd__dfrtp_1 _7320_ (.CLK(\clknet_leaf_23_u_uart2wb.baud_clk_16x ),
-    .D(_0468_),
-    .RESET_B(\u_arb.rstn ),
+ sky130_fd_sc_hd__dfrtp_1 _7428_ (.CLK(\clknet_leaf_22_u_uart2wb.baud_clk_16x ),
+    .D(_0476_),
+    .RESET_B(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_msg.State[2] ));
- sky130_fd_sc_hd__dfrtp_1 _7321_ (.CLK(\clknet_leaf_23_u_uart2wb.baud_clk_16x ),
-    .D(_0469_),
-    .RESET_B(\u_arb.rstn ),
+ sky130_fd_sc_hd__dfrtp_1 _7429_ (.CLK(\clknet_leaf_25_u_uart2wb.baud_clk_16x ),
+    .D(_0477_),
+    .RESET_B(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_msg.State[3] ));
- sky130_fd_sc_hd__dfrtp_1 _7322_ (.CLK(clknet_leaf_50_wbm_clk_i),
+ sky130_fd_sc_hd__dfrtp_1 _7430_ (.CLK(clknet_leaf_53_wbm_clk_i),
     .D(_0009_),
-    .RESET_B(net179),
+    .RESET_B(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.line_clk_16x ));
- sky130_fd_sc_hd__dfrtp_1 _7323_ (.CLK(clknet_leaf_51_wbm_clk_i),
-    .D(_0470_),
-    .RESET_B(net179),
+ sky130_fd_sc_hd__dfrtp_1 _7431_ (.CLK(clknet_leaf_57_wbm_clk_i),
+    .D(_0478_),
+    .RESET_B(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_clk_ctl.high_count[0] ));
- sky130_fd_sc_hd__dfrtp_1 _7324_ (.CLK(clknet_leaf_51_wbm_clk_i),
-    .D(_0471_),
-    .RESET_B(net179),
+ sky130_fd_sc_hd__dfrtp_1 _7432_ (.CLK(clknet_leaf_57_wbm_clk_i),
+    .D(_0479_),
+    .RESET_B(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_clk_ctl.high_count[1] ));
- sky130_fd_sc_hd__dfrtp_1 _7325_ (.CLK(clknet_leaf_51_wbm_clk_i),
-    .D(_0472_),
-    .RESET_B(net179),
+ sky130_fd_sc_hd__dfrtp_1 _7433_ (.CLK(clknet_leaf_57_wbm_clk_i),
+    .D(_0480_),
+    .RESET_B(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_clk_ctl.high_count[2] ));
- sky130_fd_sc_hd__dfrtp_1 _7326_ (.CLK(clknet_leaf_51_wbm_clk_i),
-    .D(_0473_),
-    .RESET_B(net179),
+ sky130_fd_sc_hd__dfrtp_1 _7434_ (.CLK(clknet_leaf_57_wbm_clk_i),
+    .D(_0481_),
+    .RESET_B(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_clk_ctl.high_count[3] ));
- sky130_fd_sc_hd__dfrtp_1 _7327_ (.CLK(clknet_leaf_51_wbm_clk_i),
-    .D(_0474_),
-    .RESET_B(net179),
+ sky130_fd_sc_hd__dfrtp_1 _7435_ (.CLK(clknet_leaf_56_wbm_clk_i),
+    .D(_0482_),
+    .RESET_B(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_clk_ctl.high_count[4] ));
- sky130_fd_sc_hd__dfrtp_1 _7328_ (.CLK(clknet_leaf_51_wbm_clk_i),
-    .D(_0475_),
-    .RESET_B(net179),
+ sky130_fd_sc_hd__dfrtp_1 _7436_ (.CLK(clknet_leaf_57_wbm_clk_i),
+    .D(_0483_),
+    .RESET_B(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_clk_ctl.high_count[5] ));
- sky130_fd_sc_hd__dfrtp_1 _7329_ (.CLK(clknet_leaf_50_wbm_clk_i),
-    .D(_0476_),
-    .RESET_B(net179),
+ sky130_fd_sc_hd__dfrtp_1 _7437_ (.CLK(clknet_leaf_56_wbm_clk_i),
+    .D(_0484_),
+    .RESET_B(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_clk_ctl.high_count[6] ));
- sky130_fd_sc_hd__dfrtp_1 _7330_ (.CLK(clknet_leaf_50_wbm_clk_i),
-    .D(_0477_),
-    .RESET_B(net201),
+ sky130_fd_sc_hd__dfrtp_1 _7438_ (.CLK(clknet_leaf_55_wbm_clk_i),
+    .D(_0485_),
+    .RESET_B(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_clk_ctl.high_count[7] ));
- sky130_fd_sc_hd__dfrtp_1 _7331_ (.CLK(clknet_leaf_50_wbm_clk_i),
-    .D(_0478_),
-    .RESET_B(net179),
+ sky130_fd_sc_hd__dfrtp_1 _7439_ (.CLK(clknet_leaf_56_wbm_clk_i),
+    .D(_0486_),
+    .RESET_B(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_clk_ctl.high_count[8] ));
- sky130_fd_sc_hd__dfrtp_1 _7332_ (.CLK(clknet_leaf_50_wbm_clk_i),
-    .D(_0479_),
-    .RESET_B(net201),
+ sky130_fd_sc_hd__dfrtp_1 _7440_ (.CLK(clknet_leaf_56_wbm_clk_i),
+    .D(_0487_),
+    .RESET_B(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_clk_ctl.high_count[9] ));
- sky130_fd_sc_hd__dfrtp_1 _7333_ (.CLK(clknet_leaf_50_wbm_clk_i),
-    .D(_0480_),
-    .RESET_B(net201),
+ sky130_fd_sc_hd__dfrtp_1 _7441_ (.CLK(clknet_leaf_56_wbm_clk_i),
+    .D(_0488_),
+    .RESET_B(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_clk_ctl.high_count[10] ));
- sky130_fd_sc_hd__dfrtp_1 _7334_ (.CLK(clknet_leaf_50_wbm_clk_i),
-    .D(_0481_),
-    .RESET_B(net201),
+ sky130_fd_sc_hd__dfrtp_1 _7442_ (.CLK(clknet_leaf_55_wbm_clk_i),
+    .D(_0489_),
+    .RESET_B(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_clk_ctl.high_count[11] ));
- sky130_fd_sc_hd__dfrtp_1 _7335_ (.CLK(\clknet_leaf_38_u_uart2wb.baud_clk_16x ),
-    .D(_0482_),
+ sky130_fd_sc_hd__dfrtp_1 _7443_ (.CLK(\clknet_leaf_38_u_uart2wb.baud_clk_16x ),
+    .D(_0490_),
     .RESET_B(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_async_reg_bus.in_flag ));
- sky130_fd_sc_hd__dfrtp_4 _7336_ (.CLK(\clknet_leaf_6_u_uart2wb.baud_clk_16x ),
-    .D(net208),
-    .RESET_B(\u_arb.rstn ),
+ sky130_fd_sc_hd__dfrtp_4 _7444_ (.CLK(\clknet_leaf_12_u_uart2wb.baud_clk_16x ),
+    .D(net227),
+    .RESET_B(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.line_reset_n ));
- sky130_fd_sc_hd__dfrtp_1 _7337_ (.CLK(\clknet_leaf_6_u_uart2wb.baud_clk_16x ),
-    .D(net189),
-    .RESET_B(\u_arb.rstn ),
+ sky130_fd_sc_hd__dfrtp_1 _7445_ (.CLK(\clknet_leaf_12_u_uart2wb.baud_clk_16x ),
+    .D(net192),
+    .RESET_B(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_line_rst.in_data_s ));
- sky130_fd_sc_hd__dfrtp_1 _7338_ (.CLK(\clknet_leaf_6_u_uart2wb.baud_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _7446_ (.CLK(\clknet_leaf_26_u_uart2wb.baud_clk_16x ),
     .D(_0016_),
-    .RESET_B(net214),
+    .RESET_B(net178),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_txfsm.divcnt[0] ));
- sky130_fd_sc_hd__dfrtp_1 _7339_ (.CLK(\clknet_leaf_6_u_uart2wb.baud_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _7447_ (.CLK(\clknet_leaf_16_u_uart2wb.baud_clk_16x ),
     .D(_0017_),
     .RESET_B(net178),
     .VGND(vssd1),
@@ -94127,7 +95167,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_txfsm.divcnt[1] ));
- sky130_fd_sc_hd__dfrtp_2 _7340_ (.CLK(\clknet_leaf_6_u_uart2wb.baud_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _7448_ (.CLK(\clknet_leaf_26_u_uart2wb.baud_clk_16x ),
     .D(_0018_),
     .RESET_B(net178),
     .VGND(vssd1),
@@ -94135,7 +95175,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_txfsm.divcnt[2] ));
- sky130_fd_sc_hd__dfrtp_1 _7341_ (.CLK(\clknet_leaf_6_u_uart2wb.baud_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _7449_ (.CLK(\clknet_leaf_26_u_uart2wb.baud_clk_16x ),
     .D(_0019_),
     .RESET_B(net178),
     .VGND(vssd1),
@@ -94143,343 +95183,343 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_txfsm.divcnt[3] ));
- sky130_fd_sc_hd__dfstp_2 _7342_ (.CLK(\clknet_leaf_8_u_uart2wb.baud_clk_16x ),
-    .D(_0483_),
+ sky130_fd_sc_hd__dfstp_1 _7450_ (.CLK(\clknet_leaf_27_u_uart2wb.baud_clk_16x ),
+    .D(_0491_),
     .SET_B(net178),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(net67));
- sky130_fd_sc_hd__dfrtp_2 _7343_ (.CLK(\clknet_leaf_7_u_uart2wb.baud_clk_16x ),
-    .D(_0484_),
+ sky130_fd_sc_hd__dfrtp_1 _7451_ (.CLK(\clknet_leaf_26_u_uart2wb.baud_clk_16x ),
+    .D(_0492_),
     .RESET_B(net178),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.tx_rd ));
- sky130_fd_sc_hd__dfrtp_1 _7344_ (.CLK(\clknet_leaf_26_u_uart2wb.baud_clk_16x ),
-    .D(_0485_),
+ sky130_fd_sc_hd__dfrtp_1 _7452_ (.CLK(\clknet_leaf_27_u_uart2wb.baud_clk_16x ),
+    .D(_0493_),
     .RESET_B(net178),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_rxfsm.rxstate[0] ));
- sky130_fd_sc_hd__dfrtp_1 _7345_ (.CLK(\clknet_leaf_26_u_uart2wb.baud_clk_16x ),
-    .D(_0486_),
+ sky130_fd_sc_hd__dfrtp_1 _7453_ (.CLK(\clknet_leaf_27_u_uart2wb.baud_clk_16x ),
+    .D(_0494_),
     .RESET_B(net178),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_rxfsm.rxstate[1] ));
- sky130_fd_sc_hd__dfrtp_1 _7346_ (.CLK(\clknet_leaf_26_u_uart2wb.baud_clk_16x ),
-    .D(_0487_),
-    .RESET_B(net178),
+ sky130_fd_sc_hd__dfrtp_1 _7454_ (.CLK(\clknet_leaf_28_u_uart2wb.baud_clk_16x ),
+    .D(_0495_),
+    .RESET_B(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_rxfsm.rxstate[2] ));
- sky130_fd_sc_hd__dfrtp_4 _7347_ (.CLK(clknet_leaf_61_wbm_clk_i),
-    .D(_0488_),
-    .RESET_B(net179),
+ sky130_fd_sc_hd__dfrtp_4 _7455_ (.CLK(clknet_leaf_60_wbm_clk_i),
+    .D(_0496_),
+    .RESET_B(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\wb_dat_o[0] ));
- sky130_fd_sc_hd__dfrtp_4 _7348_ (.CLK(clknet_leaf_59_wbm_clk_i),
-    .D(_0489_),
-    .RESET_B(net179),
+ sky130_fd_sc_hd__dfrtp_4 _7456_ (.CLK(clknet_leaf_59_wbm_clk_i),
+    .D(_0497_),
+    .RESET_B(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\wb_dat_o[1] ));
- sky130_fd_sc_hd__dfrtp_4 _7349_ (.CLK(clknet_leaf_60_wbm_clk_i),
-    .D(_0490_),
-    .RESET_B(net181),
+ sky130_fd_sc_hd__dfrtp_4 _7457_ (.CLK(clknet_leaf_64_wbm_clk_i),
+    .D(_0498_),
+    .RESET_B(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\wb_dat_o[2] ));
- sky130_fd_sc_hd__dfrtp_4 _7350_ (.CLK(clknet_leaf_53_wbm_clk_i),
-    .D(_0491_),
-    .RESET_B(net181),
+ sky130_fd_sc_hd__dfrtp_4 _7458_ (.CLK(clknet_leaf_60_wbm_clk_i),
+    .D(_0499_),
+    .RESET_B(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\wb_dat_o[3] ));
- sky130_fd_sc_hd__dfrtp_4 _7351_ (.CLK(clknet_leaf_53_wbm_clk_i),
-    .D(_0492_),
-    .RESET_B(net181),
+ sky130_fd_sc_hd__dfrtp_4 _7459_ (.CLK(clknet_leaf_60_wbm_clk_i),
+    .D(_0500_),
+    .RESET_B(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\wb_dat_o[4] ));
- sky130_fd_sc_hd__dfrtp_4 _7352_ (.CLK(clknet_leaf_53_wbm_clk_i),
-    .D(_0493_),
-    .RESET_B(net181),
+ sky130_fd_sc_hd__dfrtp_4 _7460_ (.CLK(clknet_leaf_60_wbm_clk_i),
+    .D(_0501_),
+    .RESET_B(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\wb_dat_o[5] ));
- sky130_fd_sc_hd__dfrtp_4 _7353_ (.CLK(clknet_leaf_60_wbm_clk_i),
-    .D(_0494_),
-    .RESET_B(net181),
+ sky130_fd_sc_hd__dfrtp_4 _7461_ (.CLK(clknet_leaf_60_wbm_clk_i),
+    .D(_0502_),
+    .RESET_B(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\wb_dat_o[6] ));
- sky130_fd_sc_hd__dfrtp_4 _7354_ (.CLK(clknet_leaf_60_wbm_clk_i),
-    .D(_0495_),
-    .RESET_B(net181),
+ sky130_fd_sc_hd__dfrtp_4 _7462_ (.CLK(clknet_leaf_60_wbm_clk_i),
+    .D(_0503_),
+    .RESET_B(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\wb_dat_o[7] ));
- sky130_fd_sc_hd__dfrtp_4 _7355_ (.CLK(clknet_leaf_53_wbm_clk_i),
-    .D(_0496_),
-    .RESET_B(net181),
+ sky130_fd_sc_hd__dfrtp_4 _7463_ (.CLK(clknet_leaf_63_wbm_clk_i),
+    .D(_0504_),
+    .RESET_B(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\wb_dat_o[8] ));
- sky130_fd_sc_hd__dfrtp_4 _7356_ (.CLK(clknet_leaf_60_wbm_clk_i),
-    .D(_0497_),
-    .RESET_B(net181),
+ sky130_fd_sc_hd__dfrtp_4 _7464_ (.CLK(clknet_leaf_60_wbm_clk_i),
+    .D(_0505_),
+    .RESET_B(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\wb_dat_o[9] ));
- sky130_fd_sc_hd__dfrtp_4 _7357_ (.CLK(clknet_leaf_56_wbm_clk_i),
-    .D(_0498_),
-    .RESET_B(net181),
+ sky130_fd_sc_hd__dfrtp_4 _7465_ (.CLK(clknet_leaf_61_wbm_clk_i),
+    .D(_0506_),
+    .RESET_B(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\wb_dat_o[10] ));
- sky130_fd_sc_hd__dfrtp_4 _7358_ (.CLK(clknet_leaf_60_wbm_clk_i),
-    .D(_0499_),
-    .RESET_B(net181),
+ sky130_fd_sc_hd__dfrtp_4 _7466_ (.CLK(clknet_leaf_61_wbm_clk_i),
+    .D(_0507_),
+    .RESET_B(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\wb_dat_o[11] ));
- sky130_fd_sc_hd__dfrtp_4 _7359_ (.CLK(clknet_leaf_56_wbm_clk_i),
-    .D(_0500_),
-    .RESET_B(net181),
+ sky130_fd_sc_hd__dfrtp_4 _7467_ (.CLK(clknet_leaf_61_wbm_clk_i),
+    .D(_0508_),
+    .RESET_B(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\wb_dat_o[12] ));
- sky130_fd_sc_hd__dfrtp_4 _7360_ (.CLK(clknet_leaf_56_wbm_clk_i),
-    .D(_0501_),
-    .RESET_B(net181),
+ sky130_fd_sc_hd__dfrtp_4 _7468_ (.CLK(clknet_leaf_63_wbm_clk_i),
+    .D(_0509_),
+    .RESET_B(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\wb_dat_o[13] ));
- sky130_fd_sc_hd__dfrtp_4 _7361_ (.CLK(clknet_leaf_56_wbm_clk_i),
-    .D(_0502_),
-    .RESET_B(net181),
+ sky130_fd_sc_hd__dfrtp_4 _7469_ (.CLK(clknet_leaf_62_wbm_clk_i),
+    .D(_0510_),
+    .RESET_B(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\wb_dat_o[14] ));
- sky130_fd_sc_hd__dfrtp_4 _7362_ (.CLK(clknet_leaf_56_wbm_clk_i),
-    .D(_0503_),
-    .RESET_B(net181),
+ sky130_fd_sc_hd__dfrtp_4 _7470_ (.CLK(clknet_leaf_61_wbm_clk_i),
+    .D(_0511_),
+    .RESET_B(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\wb_dat_o[15] ));
- sky130_fd_sc_hd__dfrtp_4 _7363_ (.CLK(clknet_leaf_56_wbm_clk_i),
-    .D(_0504_),
-    .RESET_B(net181),
+ sky130_fd_sc_hd__dfrtp_4 _7471_ (.CLK(clknet_leaf_61_wbm_clk_i),
+    .D(_0512_),
+    .RESET_B(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\wb_dat_o[16] ));
- sky130_fd_sc_hd__dfrtp_4 _7364_ (.CLK(clknet_leaf_55_wbm_clk_i),
-    .D(_0505_),
-    .RESET_B(net181),
+ sky130_fd_sc_hd__dfrtp_4 _7472_ (.CLK(clknet_leaf_61_wbm_clk_i),
+    .D(_0513_),
+    .RESET_B(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\wb_dat_o[17] ));
- sky130_fd_sc_hd__dfrtp_4 _7365_ (.CLK(clknet_leaf_55_wbm_clk_i),
-    .D(_0506_),
-    .RESET_B(net181),
+ sky130_fd_sc_hd__dfrtp_4 _7473_ (.CLK(clknet_leaf_62_wbm_clk_i),
+    .D(_0514_),
+    .RESET_B(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\wb_dat_o[18] ));
- sky130_fd_sc_hd__dfrtp_4 _7366_ (.CLK(clknet_leaf_55_wbm_clk_i),
-    .D(_0507_),
-    .RESET_B(net181),
+ sky130_fd_sc_hd__dfrtp_4 _7474_ (.CLK(clknet_leaf_10_wbm_clk_i),
+    .D(_0515_),
+    .RESET_B(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\wb_dat_o[19] ));
- sky130_fd_sc_hd__dfrtp_4 _7367_ (.CLK(clknet_leaf_55_wbm_clk_i),
-    .D(_0508_),
-    .RESET_B(net181),
+ sky130_fd_sc_hd__dfrtp_4 _7475_ (.CLK(clknet_leaf_10_wbm_clk_i),
+    .D(_0516_),
+    .RESET_B(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\wb_dat_o[20] ));
- sky130_fd_sc_hd__dfrtp_4 _7368_ (.CLK(clknet_leaf_55_wbm_clk_i),
-    .D(_0509_),
-    .RESET_B(net181),
+ sky130_fd_sc_hd__dfrtp_4 _7476_ (.CLK(clknet_leaf_10_wbm_clk_i),
+    .D(_0517_),
+    .RESET_B(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\wb_dat_o[21] ));
- sky130_fd_sc_hd__dfrtp_4 _7369_ (.CLK(clknet_leaf_55_wbm_clk_i),
-    .D(_0510_),
-    .RESET_B(net181),
+ sky130_fd_sc_hd__dfrtp_4 _7477_ (.CLK(clknet_leaf_11_wbm_clk_i),
+    .D(_0518_),
+    .RESET_B(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\wb_dat_o[22] ));
- sky130_fd_sc_hd__dfrtp_4 _7370_ (.CLK(clknet_leaf_54_wbm_clk_i),
-    .D(_0511_),
-    .RESET_B(net181),
+ sky130_fd_sc_hd__dfrtp_4 _7478_ (.CLK(clknet_leaf_11_wbm_clk_i),
+    .D(_0519_),
+    .RESET_B(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\wb_dat_o[23] ));
- sky130_fd_sc_hd__dfrtp_4 _7371_ (.CLK(clknet_leaf_54_wbm_clk_i),
-    .D(_0512_),
-    .RESET_B(net181),
+ sky130_fd_sc_hd__dfrtp_4 _7479_ (.CLK(clknet_leaf_11_wbm_clk_i),
+    .D(_0520_),
+    .RESET_B(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\wb_dat_o[24] ));
- sky130_fd_sc_hd__dfrtp_4 _7372_ (.CLK(clknet_leaf_54_wbm_clk_i),
-    .D(_0513_),
-    .RESET_B(net181),
+ sky130_fd_sc_hd__dfrtp_4 _7480_ (.CLK(clknet_leaf_11_wbm_clk_i),
+    .D(_0521_),
+    .RESET_B(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\wb_dat_o[25] ));
- sky130_fd_sc_hd__dfrtp_4 _7373_ (.CLK(clknet_leaf_54_wbm_clk_i),
-    .D(_0514_),
-    .RESET_B(net181),
+ sky130_fd_sc_hd__dfrtp_4 _7481_ (.CLK(clknet_leaf_38_wbm_clk_i),
+    .D(_0522_),
+    .RESET_B(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\wb_dat_o[26] ));
- sky130_fd_sc_hd__dfrtp_4 _7374_ (.CLK(clknet_leaf_54_wbm_clk_i),
-    .D(_0515_),
-    .RESET_B(net181),
+ sky130_fd_sc_hd__dfrtp_4 _7482_ (.CLK(clknet_leaf_38_wbm_clk_i),
+    .D(_0523_),
+    .RESET_B(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\wb_dat_o[27] ));
- sky130_fd_sc_hd__dfrtp_4 _7375_ (.CLK(clknet_leaf_54_wbm_clk_i),
-    .D(_0516_),
-    .RESET_B(net181),
+ sky130_fd_sc_hd__dfrtp_4 _7483_ (.CLK(clknet_leaf_52_wbm_clk_i),
+    .D(_0524_),
+    .RESET_B(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\wb_dat_o[28] ));
- sky130_fd_sc_hd__dfrtp_4 _7376_ (.CLK(clknet_leaf_47_wbm_clk_i),
-    .D(_0517_),
-    .RESET_B(net181),
+ sky130_fd_sc_hd__dfrtp_4 _7484_ (.CLK(clknet_leaf_38_wbm_clk_i),
+    .D(_0525_),
+    .RESET_B(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\wb_dat_o[29] ));
- sky130_fd_sc_hd__dfrtp_4 _7377_ (.CLK(clknet_leaf_54_wbm_clk_i),
-    .D(_0518_),
-    .RESET_B(net181),
+ sky130_fd_sc_hd__dfrtp_4 _7485_ (.CLK(clknet_leaf_61_wbm_clk_i),
+    .D(_0526_),
+    .RESET_B(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\wb_dat_o[30] ));
- sky130_fd_sc_hd__dfrtp_4 _7378_ (.CLK(clknet_leaf_54_wbm_clk_i),
-    .D(_0519_),
-    .RESET_B(net181),
+ sky130_fd_sc_hd__dfrtp_4 _7486_ (.CLK(clknet_leaf_52_wbm_clk_i),
+    .D(_0527_),
+    .RESET_B(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\wb_dat_o[31] ));
- sky130_fd_sc_hd__dfrtp_1 _7379_ (.CLK(\clknet_leaf_23_u_uart2wb.baud_clk_16x ),
-    .D(_0520_),
+ sky130_fd_sc_hd__dfrtp_1 _7487_ (.CLK(\clknet_leaf_29_u_uart2wb.baud_clk_16x ),
+    .D(_0528_),
     .RESET_B(net178),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_rxfsm.rxpos[0] ));
- sky130_fd_sc_hd__dfrtp_1 _7380_ (.CLK(\clknet_leaf_23_u_uart2wb.baud_clk_16x ),
-    .D(_0521_),
+ sky130_fd_sc_hd__dfrtp_1 _7488_ (.CLK(\clknet_leaf_27_u_uart2wb.baud_clk_16x ),
+    .D(_0529_),
     .RESET_B(net178),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_rxfsm.rxpos[1] ));
- sky130_fd_sc_hd__dfrtp_1 _7381_ (.CLK(\clknet_leaf_23_u_uart2wb.baud_clk_16x ),
-    .D(_0522_),
+ sky130_fd_sc_hd__dfrtp_1 _7489_ (.CLK(\clknet_leaf_29_u_uart2wb.baud_clk_16x ),
+    .D(_0530_),
     .RESET_B(net178),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_rxfsm.rxpos[2] ));
- sky130_fd_sc_hd__dfrtp_1 _7382_ (.CLK(\clknet_leaf_23_u_uart2wb.baud_clk_16x ),
-    .D(_0523_),
+ sky130_fd_sc_hd__dfrtp_1 _7490_ (.CLK(\clknet_leaf_29_u_uart2wb.baud_clk_16x ),
+    .D(_0531_),
     .RESET_B(net178),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_rxfsm.rxpos[3] ));
- sky130_fd_sc_hd__dfrtp_1 _7383_ (.CLK(\clknet_leaf_25_u_uart2wb.baud_clk_16x ),
-    .D(_0524_),
-    .RESET_B(net178),
+ sky130_fd_sc_hd__dfrtp_1 _7491_ (.CLK(\clknet_leaf_28_u_uart2wb.baud_clk_16x ),
+    .D(_0532_),
+    .RESET_B(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.rx_wr ));
- sky130_fd_sc_hd__dfrtp_1 _7384_ (.CLK(\clknet_leaf_23_u_uart2wb.baud_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _7492_ (.CLK(\clknet_leaf_27_u_uart2wb.baud_clk_16x ),
     .D(_0012_),
     .RESET_B(net178),
     .VGND(vssd1),
@@ -94487,7 +95527,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_rxfsm.offset[0] ));
- sky130_fd_sc_hd__dfrtp_1 _7385_ (.CLK(\clknet_leaf_23_u_uart2wb.baud_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _7493_ (.CLK(\clknet_leaf_27_u_uart2wb.baud_clk_16x ),
     .D(_0013_),
     .RESET_B(net178),
     .VGND(vssd1),
@@ -94495,7 +95535,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_rxfsm.offset[1] ));
- sky130_fd_sc_hd__dfrtp_1 _7386_ (.CLK(\clknet_leaf_23_u_uart2wb.baud_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _7494_ (.CLK(\clknet_leaf_29_u_uart2wb.baud_clk_16x ),
     .D(_0014_),
     .RESET_B(net178),
     .VGND(vssd1),
@@ -94503,7 +95543,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_rxfsm.offset[2] ));
- sky130_fd_sc_hd__dfrtp_1 _7387_ (.CLK(\clknet_leaf_24_u_uart2wb.baud_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _7495_ (.CLK(\clknet_leaf_29_u_uart2wb.baud_clk_16x ),
     .D(_0015_),
     .RESET_B(net178),
     .VGND(vssd1),
@@ -94511,207 +95551,207 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_rxfsm.offset[3] ));
- sky130_fd_sc_hd__dfrtp_1 _7388_ (.CLK(\clknet_leaf_25_u_uart2wb.baud_clk_16x ),
-    .D(_0525_),
-    .RESET_B(net178),
+ sky130_fd_sc_hd__dfrtp_1 _7496_ (.CLK(\clknet_leaf_28_u_uart2wb.baud_clk_16x ),
+    .D(_0533_),
+    .RESET_B(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_rxfsm.cnt[0] ));
- sky130_fd_sc_hd__dfrtp_1 _7389_ (.CLK(\clknet_leaf_27_u_uart2wb.baud_clk_16x ),
-    .D(_0526_),
-    .RESET_B(net178),
+ sky130_fd_sc_hd__dfrtp_1 _7497_ (.CLK(\clknet_leaf_30_u_uart2wb.baud_clk_16x ),
+    .D(_0534_),
+    .RESET_B(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_rxfsm.cnt[1] ));
- sky130_fd_sc_hd__dfrtp_1 _7390_ (.CLK(\clknet_leaf_25_u_uart2wb.baud_clk_16x ),
-    .D(_0527_),
-    .RESET_B(net178),
+ sky130_fd_sc_hd__dfrtp_1 _7498_ (.CLK(\clknet_leaf_29_u_uart2wb.baud_clk_16x ),
+    .D(_0535_),
+    .RESET_B(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_rxfsm.cnt[2] ));
- sky130_fd_sc_hd__dfrtp_1 _7391_ (.CLK(\clknet_leaf_32_u_uart2wb.baud_clk_16x ),
-    .D(_0528_),
-    .RESET_B(net178),
+ sky130_fd_sc_hd__dfrtp_1 _7499_ (.CLK(\clknet_leaf_36_u_uart2wb.baud_clk_16x ),
+    .D(_0536_),
+    .RESET_B(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.rx_data[0] ));
- sky130_fd_sc_hd__dfrtp_1 _7392_ (.CLK(\clknet_leaf_32_u_uart2wb.baud_clk_16x ),
-    .D(_0529_),
-    .RESET_B(net178),
+ sky130_fd_sc_hd__dfrtp_1 _7500_ (.CLK(\clknet_leaf_36_u_uart2wb.baud_clk_16x ),
+    .D(_0537_),
+    .RESET_B(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.rx_data[1] ));
- sky130_fd_sc_hd__dfrtp_1 _7393_ (.CLK(\clknet_leaf_21_u_uart2wb.baud_clk_16x ),
-    .D(_0530_),
-    .RESET_B(net178),
+ sky130_fd_sc_hd__dfrtp_1 _7501_ (.CLK(\clknet_leaf_35_u_uart2wb.baud_clk_16x ),
+    .D(_0538_),
+    .RESET_B(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.rx_data[2] ));
- sky130_fd_sc_hd__dfrtp_1 _7394_ (.CLK(\clknet_leaf_25_u_uart2wb.baud_clk_16x ),
-    .D(_0531_),
-    .RESET_B(net178),
+ sky130_fd_sc_hd__dfrtp_1 _7502_ (.CLK(\clknet_leaf_30_u_uart2wb.baud_clk_16x ),
+    .D(_0539_),
+    .RESET_B(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.rx_data[3] ));
- sky130_fd_sc_hd__dfrtp_1 _7395_ (.CLK(\clknet_leaf_27_u_uart2wb.baud_clk_16x ),
-    .D(_0532_),
-    .RESET_B(net178),
+ sky130_fd_sc_hd__dfrtp_1 _7503_ (.CLK(\clknet_2_1_0_u_uart2wb.baud_clk_16x ),
+    .D(_0540_),
+    .RESET_B(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.rx_data[4] ));
- sky130_fd_sc_hd__dfrtp_1 _7396_ (.CLK(\clknet_leaf_27_u_uart2wb.baud_clk_16x ),
-    .D(_0533_),
-    .RESET_B(net178),
+ sky130_fd_sc_hd__dfrtp_1 _7504_ (.CLK(\clknet_leaf_35_u_uart2wb.baud_clk_16x ),
+    .D(_0541_),
+    .RESET_B(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.rx_data[5] ));
- sky130_fd_sc_hd__dfrtp_1 _7397_ (.CLK(\clknet_leaf_27_u_uart2wb.baud_clk_16x ),
-    .D(_0534_),
-    .RESET_B(net178),
+ sky130_fd_sc_hd__dfrtp_1 _7505_ (.CLK(\clknet_leaf_30_u_uart2wb.baud_clk_16x ),
+    .D(_0542_),
+    .RESET_B(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.rx_data[6] ));
- sky130_fd_sc_hd__dfrtp_1 _7398_ (.CLK(\clknet_leaf_27_u_uart2wb.baud_clk_16x ),
-    .D(_0535_),
-    .RESET_B(net178),
+ sky130_fd_sc_hd__dfrtp_1 _7506_ (.CLK(\clknet_leaf_35_u_uart2wb.baud_clk_16x ),
+    .D(_0543_),
+    .RESET_B(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.rx_data[7] ));
- sky130_fd_sc_hd__dfrtp_1 _7399_ (.CLK(clknet_leaf_48_wbm_clk_i),
-    .D(_0536_),
-    .RESET_B(net179),
+ sky130_fd_sc_hd__dfrtp_1 _7507_ (.CLK(clknet_leaf_53_wbm_clk_i),
+    .D(_0544_),
+    .RESET_B(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_clk_ctl.low_count[0] ));
- sky130_fd_sc_hd__dfrtp_1 _7400_ (.CLK(clknet_leaf_48_wbm_clk_i),
-    .D(_0537_),
-    .RESET_B(net179),
+ sky130_fd_sc_hd__dfrtp_1 _7508_ (.CLK(clknet_leaf_53_wbm_clk_i),
+    .D(_0545_),
+    .RESET_B(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_clk_ctl.low_count[1] ));
- sky130_fd_sc_hd__dfrtp_1 _7401_ (.CLK(clknet_leaf_48_wbm_clk_i),
-    .D(_0538_),
-    .RESET_B(net179),
+ sky130_fd_sc_hd__dfrtp_1 _7509_ (.CLK(clknet_leaf_53_wbm_clk_i),
+    .D(_0546_),
+    .RESET_B(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_clk_ctl.low_count[2] ));
- sky130_fd_sc_hd__dfrtp_1 _7402_ (.CLK(clknet_leaf_48_wbm_clk_i),
-    .D(_0539_),
-    .RESET_B(net179),
+ sky130_fd_sc_hd__dfrtp_1 _7510_ (.CLK(clknet_leaf_54_wbm_clk_i),
+    .D(_0547_),
+    .RESET_B(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_clk_ctl.low_count[3] ));
- sky130_fd_sc_hd__dfrtp_1 _7403_ (.CLK(clknet_leaf_48_wbm_clk_i),
-    .D(_0540_),
-    .RESET_B(net179),
+ sky130_fd_sc_hd__dfrtp_1 _7511_ (.CLK(clknet_leaf_54_wbm_clk_i),
+    .D(_0548_),
+    .RESET_B(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_clk_ctl.low_count[4] ));
- sky130_fd_sc_hd__dfrtp_1 _7404_ (.CLK(clknet_leaf_48_wbm_clk_i),
-    .D(_0541_),
-    .RESET_B(net179),
+ sky130_fd_sc_hd__dfrtp_1 _7512_ (.CLK(clknet_leaf_54_wbm_clk_i),
+    .D(_0549_),
+    .RESET_B(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_clk_ctl.low_count[5] ));
- sky130_fd_sc_hd__dfrtp_1 _7405_ (.CLK(clknet_leaf_49_wbm_clk_i),
-    .D(_0542_),
-    .RESET_B(net222),
+ sky130_fd_sc_hd__dfrtp_1 _7513_ (.CLK(clknet_leaf_54_wbm_clk_i),
+    .D(_0550_),
+    .RESET_B(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_clk_ctl.low_count[6] ));
- sky130_fd_sc_hd__dfrtp_1 _7406_ (.CLK(clknet_leaf_49_wbm_clk_i),
-    .D(_0543_),
-    .RESET_B(net222),
+ sky130_fd_sc_hd__dfrtp_1 _7514_ (.CLK(clknet_leaf_54_wbm_clk_i),
+    .D(_0551_),
+    .RESET_B(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_clk_ctl.low_count[7] ));
- sky130_fd_sc_hd__dfrtp_1 _7407_ (.CLK(clknet_leaf_49_wbm_clk_i),
-    .D(_0544_),
-    .RESET_B(net222),
+ sky130_fd_sc_hd__dfrtp_1 _7515_ (.CLK(clknet_leaf_53_wbm_clk_i),
+    .D(_0552_),
+    .RESET_B(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_clk_ctl.low_count[8] ));
- sky130_fd_sc_hd__dfrtp_1 _7408_ (.CLK(clknet_leaf_49_wbm_clk_i),
-    .D(_0545_),
-    .RESET_B(net222),
+ sky130_fd_sc_hd__dfrtp_1 _7516_ (.CLK(clknet_leaf_55_wbm_clk_i),
+    .D(_0553_),
+    .RESET_B(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_clk_ctl.low_count[9] ));
- sky130_fd_sc_hd__dfrtp_1 _7409_ (.CLK(clknet_leaf_49_wbm_clk_i),
-    .D(_0546_),
-    .RESET_B(net222),
+ sky130_fd_sc_hd__dfrtp_1 _7517_ (.CLK(clknet_leaf_55_wbm_clk_i),
+    .D(_0554_),
+    .RESET_B(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_clk_ctl.low_count[10] ));
- sky130_fd_sc_hd__dfrtp_1 _7410_ (.CLK(clknet_leaf_50_wbm_clk_i),
-    .D(_0547_),
-    .RESET_B(net201),
+ sky130_fd_sc_hd__dfrtp_1 _7518_ (.CLK(clknet_leaf_55_wbm_clk_i),
+    .D(_0555_),
+    .RESET_B(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_clk_ctl.low_count[11] ));
- sky130_fd_sc_hd__dfstp_1 _7411_ (.CLK(\clknet_leaf_24_u_uart2wb.baud_clk_16x ),
-    .D(net221),
+ sky130_fd_sc_hd__dfstp_1 _7519_ (.CLK(\clknet_leaf_27_u_uart2wb.baud_clk_16x ),
+    .D(net229),
     .SET_B(net178),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.si_ss ));
- sky130_fd_sc_hd__dfstp_1 _7412_ (.CLK(\clknet_leaf_24_u_uart2wb.baud_clk_16x ),
-    .D(net219),
+ sky130_fd_sc_hd__dfstp_1 _7520_ (.CLK(\clknet_leaf_27_u_uart2wb.baud_clk_16x ),
+    .D(net231),
     .SET_B(net178),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_rxd_sync.in_data_2s ));
- sky130_fd_sc_hd__dfstp_1 _7413_ (.CLK(\clknet_leaf_24_u_uart2wb.baud_clk_16x ),
+ sky130_fd_sc_hd__dfstp_1 _7521_ (.CLK(\clknet_leaf_27_u_uart2wb.baud_clk_16x ),
     .D(uartm_rxd),
     .SET_B(net178),
     .VGND(vssd1),
@@ -94719,342 +95759,342 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_rxd_sync.in_data_s ));
- sky130_fd_sc_hd__dfrtp_1 _7414_ (.CLK(\clknet_leaf_30_u_uart2wb.baud_clk_16x ),
-    .D(_0548_),
-    .RESET_B(net177),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_uart2wb.u_async_reg_bus.in_timer[0] ));
- sky130_fd_sc_hd__dfrtp_1 _7415_ (.CLK(\clknet_leaf_30_u_uart2wb.baud_clk_16x ),
-    .D(_0549_),
-    .RESET_B(net177),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_uart2wb.u_async_reg_bus.in_timer[1] ));
- sky130_fd_sc_hd__dfrtp_1 _7416_ (.CLK(\clknet_leaf_29_u_uart2wb.baud_clk_16x ),
-    .D(_0550_),
-    .RESET_B(net177),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_uart2wb.u_async_reg_bus.in_timer[2] ));
- sky130_fd_sc_hd__dfrtp_1 _7417_ (.CLK(\clknet_leaf_29_u_uart2wb.baud_clk_16x ),
-    .D(_0551_),
-    .RESET_B(net177),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_uart2wb.u_async_reg_bus.in_timer[3] ));
- sky130_fd_sc_hd__dfrtp_1 _7418_ (.CLK(\clknet_leaf_29_u_uart2wb.baud_clk_16x ),
-    .D(_0552_),
-    .RESET_B(net177),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_uart2wb.u_async_reg_bus.in_timer[4] ));
- sky130_fd_sc_hd__dfrtp_1 _7419_ (.CLK(\clknet_leaf_28_u_uart2wb.baud_clk_16x ),
-    .D(_0553_),
-    .RESET_B(net177),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_uart2wb.u_async_reg_bus.in_timer[5] ));
- sky130_fd_sc_hd__dfrtp_1 _7420_ (.CLK(\clknet_leaf_28_u_uart2wb.baud_clk_16x ),
-    .D(_0554_),
-    .RESET_B(net177),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_uart2wb.u_async_reg_bus.in_timer[6] ));
- sky130_fd_sc_hd__dfrtp_1 _7421_ (.CLK(\clknet_leaf_28_u_uart2wb.baud_clk_16x ),
-    .D(_0555_),
-    .RESET_B(net177),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_uart2wb.u_async_reg_bus.in_timer[7] ));
- sky130_fd_sc_hd__dfrtp_1 _7422_ (.CLK(\clknet_leaf_29_u_uart2wb.baud_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _7522_ (.CLK(\clknet_leaf_36_u_uart2wb.baud_clk_16x ),
     .D(_0556_),
     .RESET_B(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_async_reg_bus.in_timer[8] ));
- sky130_fd_sc_hd__dfrtp_1 _7423_ (.CLK(\clknet_leaf_38_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_async_reg_bus.in_timer[0] ));
+ sky130_fd_sc_hd__dfrtp_1 _7523_ (.CLK(\clknet_leaf_36_u_uart2wb.baud_clk_16x ),
     .D(_0557_),
     .RESET_B(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_async_reg_bus.in_state[0] ));
- sky130_fd_sc_hd__dfrtp_1 _7424_ (.CLK(\clknet_leaf_38_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.u_async_reg_bus.in_timer[1] ));
+ sky130_fd_sc_hd__dfrtp_1 _7524_ (.CLK(\clknet_leaf_34_u_uart2wb.baud_clk_16x ),
     .D(_0558_),
     .RESET_B(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.u_async_reg_bus.in_state[1] ));
- sky130_fd_sc_hd__dfxtp_1 _7425_ (.CLK(clknet_3_3_0_wbs_clk_i),
+    .Q(\u_uart2wb.u_async_reg_bus.in_timer[2] ));
+ sky130_fd_sc_hd__dfrtp_1 _7525_ (.CLK(\clknet_leaf_33_u_uart2wb.baud_clk_16x ),
     .D(_0559_),
+    .RESET_B(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_resp_if.mem[1][0] ));
- sky130_fd_sc_hd__dfxtp_1 _7426_ (.CLK(clknet_3_2_0_wbs_clk_i),
+    .Q(\u_uart2wb.u_async_reg_bus.in_timer[3] ));
+ sky130_fd_sc_hd__dfrtp_1 _7526_ (.CLK(\clknet_leaf_38_u_uart2wb.baud_clk_16x ),
     .D(_0560_),
+    .RESET_B(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_resp_if.mem[1][1] ));
- sky130_fd_sc_hd__dfxtp_1 _7427_ (.CLK(clknet_3_0_0_wbs_clk_i),
+    .Q(\u_uart2wb.u_async_reg_bus.in_timer[4] ));
+ sky130_fd_sc_hd__dfrtp_1 _7527_ (.CLK(\clknet_leaf_32_u_uart2wb.baud_clk_16x ),
     .D(_0561_),
+    .RESET_B(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_resp_if.mem[1][2] ));
- sky130_fd_sc_hd__dfxtp_1 _7428_ (.CLK(clknet_3_3_0_wbs_clk_i),
+    .Q(\u_uart2wb.u_async_reg_bus.in_timer[5] ));
+ sky130_fd_sc_hd__dfrtp_1 _7528_ (.CLK(\clknet_leaf_32_u_uart2wb.baud_clk_16x ),
     .D(_0562_),
+    .RESET_B(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_resp_if.mem[1][3] ));
- sky130_fd_sc_hd__dfxtp_1 _7429_ (.CLK(clknet_3_4_0_wbs_clk_i),
+    .Q(\u_uart2wb.u_async_reg_bus.in_timer[6] ));
+ sky130_fd_sc_hd__dfrtp_1 _7529_ (.CLK(\clknet_leaf_32_u_uart2wb.baud_clk_16x ),
     .D(_0563_),
+    .RESET_B(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_resp_if.mem[1][4] ));
- sky130_fd_sc_hd__dfxtp_1 _7430_ (.CLK(clknet_3_4_0_wbs_clk_i),
+    .Q(\u_uart2wb.u_async_reg_bus.in_timer[7] ));
+ sky130_fd_sc_hd__dfrtp_1 _7530_ (.CLK(\clknet_leaf_31_u_uart2wb.baud_clk_16x ),
     .D(_0564_),
+    .RESET_B(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_resp_if.mem[1][5] ));
- sky130_fd_sc_hd__dfxtp_1 _7431_ (.CLK(clknet_3_4_0_wbs_clk_i),
+    .Q(\u_uart2wb.u_async_reg_bus.in_timer[8] ));
+ sky130_fd_sc_hd__dfrtp_1 _7531_ (.CLK(\clknet_leaf_38_u_uart2wb.baud_clk_16x ),
     .D(_0565_),
+    .RESET_B(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_resp_if.mem[1][6] ));
- sky130_fd_sc_hd__dfxtp_1 _7432_ (.CLK(clknet_3_7_0_wbs_clk_i),
+    .Q(\u_uart2wb.u_async_reg_bus.in_state[0] ));
+ sky130_fd_sc_hd__dfrtp_1 _7532_ (.CLK(\clknet_leaf_33_u_uart2wb.baud_clk_16x ),
     .D(_0566_),
+    .RESET_B(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_resp_if.mem[1][7] ));
- sky130_fd_sc_hd__dfxtp_1 _7433_ (.CLK(clknet_3_6_0_wbs_clk_i),
+    .Q(\u_uart2wb.u_async_reg_bus.in_state[1] ));
+ sky130_fd_sc_hd__dfxtp_1 _7533_ (.CLK(clknet_3_4_0_wbs_clk_i),
     .D(_0567_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_resp_if.mem[1][8] ));
- sky130_fd_sc_hd__dfxtp_1 _7434_ (.CLK(clknet_3_6_0_wbs_clk_i),
+    .Q(\u_async_wb.u_resp_if.mem[1][0] ));
+ sky130_fd_sc_hd__dfxtp_1 _7534_ (.CLK(clknet_3_4_0_wbs_clk_i),
     .D(_0568_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_resp_if.mem[1][9] ));
- sky130_fd_sc_hd__dfxtp_1 _7435_ (.CLK(clknet_3_7_0_wbs_clk_i),
+    .Q(\u_async_wb.u_resp_if.mem[1][1] ));
+ sky130_fd_sc_hd__dfxtp_1 _7535_ (.CLK(clknet_3_4_0_wbs_clk_i),
     .D(_0569_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_resp_if.mem[1][10] ));
- sky130_fd_sc_hd__dfxtp_1 _7436_ (.CLK(clknet_3_7_0_wbs_clk_i),
+    .Q(\u_async_wb.u_resp_if.mem[1][2] ));
+ sky130_fd_sc_hd__dfxtp_1 _7536_ (.CLK(clknet_3_1_0_wbs_clk_i),
     .D(_0570_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_resp_if.mem[1][11] ));
- sky130_fd_sc_hd__dfxtp_1 _7437_ (.CLK(clknet_3_7_0_wbs_clk_i),
+    .Q(\u_async_wb.u_resp_if.mem[1][3] ));
+ sky130_fd_sc_hd__dfxtp_1 _7537_ (.CLK(clknet_3_3_0_wbs_clk_i),
     .D(_0571_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_resp_if.mem[1][12] ));
- sky130_fd_sc_hd__dfxtp_1 _7438_ (.CLK(clknet_3_7_0_wbs_clk_i),
+    .Q(\u_async_wb.u_resp_if.mem[1][4] ));
+ sky130_fd_sc_hd__dfxtp_1 _7538_ (.CLK(clknet_3_3_0_wbs_clk_i),
     .D(_0572_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_resp_if.mem[1][13] ));
- sky130_fd_sc_hd__dfxtp_1 _7439_ (.CLK(clknet_3_7_0_wbs_clk_i),
+    .Q(\u_async_wb.u_resp_if.mem[1][5] ));
+ sky130_fd_sc_hd__dfxtp_1 _7539_ (.CLK(clknet_3_3_0_wbs_clk_i),
     .D(_0573_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_resp_if.mem[1][14] ));
- sky130_fd_sc_hd__dfxtp_1 _7440_ (.CLK(clknet_3_5_0_wbs_clk_i),
+    .Q(\u_async_wb.u_resp_if.mem[1][6] ));
+ sky130_fd_sc_hd__dfxtp_1 _7540_ (.CLK(clknet_3_7_0_wbs_clk_i),
     .D(_0574_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_resp_if.mem[1][15] ));
- sky130_fd_sc_hd__dfxtp_1 _7441_ (.CLK(clknet_3_7_0_wbs_clk_i),
+    .Q(\u_async_wb.u_resp_if.mem[1][7] ));
+ sky130_fd_sc_hd__dfxtp_1 _7541_ (.CLK(clknet_3_7_0_wbs_clk_i),
     .D(_0575_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_resp_if.mem[1][16] ));
- sky130_fd_sc_hd__dfxtp_1 _7442_ (.CLK(clknet_3_5_0_wbs_clk_i),
+    .Q(\u_async_wb.u_resp_if.mem[1][8] ));
+ sky130_fd_sc_hd__dfxtp_1 _7542_ (.CLK(clknet_3_7_0_wbs_clk_i),
     .D(_0576_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_resp_if.mem[1][17] ));
- sky130_fd_sc_hd__dfxtp_1 _7443_ (.CLK(clknet_3_5_0_wbs_clk_i),
+    .Q(\u_async_wb.u_resp_if.mem[1][9] ));
+ sky130_fd_sc_hd__dfxtp_1 _7543_ (.CLK(clknet_3_7_0_wbs_clk_i),
     .D(_0577_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_resp_if.mem[1][18] ));
- sky130_fd_sc_hd__dfxtp_1 _7444_ (.CLK(clknet_3_5_0_wbs_clk_i),
+    .Q(\u_async_wb.u_resp_if.mem[1][10] ));
+ sky130_fd_sc_hd__dfxtp_1 _7544_ (.CLK(clknet_3_3_0_wbs_clk_i),
     .D(_0578_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_resp_if.mem[1][19] ));
- sky130_fd_sc_hd__dfxtp_1 _7445_ (.CLK(clknet_3_4_0_wbs_clk_i),
+    .Q(\u_async_wb.u_resp_if.mem[1][11] ));
+ sky130_fd_sc_hd__dfxtp_1 _7545_ (.CLK(clknet_3_6_0_wbs_clk_i),
     .D(_0579_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_resp_if.mem[1][20] ));
- sky130_fd_sc_hd__dfxtp_1 _7446_ (.CLK(clknet_3_5_0_wbs_clk_i),
+    .Q(\u_async_wb.u_resp_if.mem[1][12] ));
+ sky130_fd_sc_hd__dfxtp_1 _7546_ (.CLK(clknet_3_3_0_wbs_clk_i),
     .D(_0580_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_resp_if.mem[1][21] ));
- sky130_fd_sc_hd__dfxtp_1 _7447_ (.CLK(clknet_3_5_0_wbs_clk_i),
+    .Q(\u_async_wb.u_resp_if.mem[1][13] ));
+ sky130_fd_sc_hd__dfxtp_1 _7547_ (.CLK(clknet_3_3_0_wbs_clk_i),
     .D(_0581_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_resp_if.mem[1][22] ));
- sky130_fd_sc_hd__dfxtp_1 _7448_ (.CLK(clknet_3_4_0_wbs_clk_i),
+    .Q(\u_async_wb.u_resp_if.mem[1][14] ));
+ sky130_fd_sc_hd__dfxtp_1 _7548_ (.CLK(clknet_3_3_0_wbs_clk_i),
     .D(_0582_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_resp_if.mem[1][23] ));
- sky130_fd_sc_hd__dfxtp_1 _7449_ (.CLK(clknet_3_4_0_wbs_clk_i),
+    .Q(\u_async_wb.u_resp_if.mem[1][15] ));
+ sky130_fd_sc_hd__dfxtp_1 _7549_ (.CLK(clknet_3_3_0_wbs_clk_i),
     .D(_0583_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_resp_if.mem[1][24] ));
- sky130_fd_sc_hd__dfxtp_1 _7450_ (.CLK(clknet_3_1_0_wbs_clk_i),
+    .Q(\u_async_wb.u_resp_if.mem[1][16] ));
+ sky130_fd_sc_hd__dfxtp_1 _7550_ (.CLK(clknet_3_2_0_wbs_clk_i),
     .D(_0584_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_resp_if.mem[1][25] ));
- sky130_fd_sc_hd__dfxtp_1 _7451_ (.CLK(clknet_3_1_0_wbs_clk_i),
+    .Q(\u_async_wb.u_resp_if.mem[1][17] ));
+ sky130_fd_sc_hd__dfxtp_1 _7551_ (.CLK(clknet_3_2_0_wbs_clk_i),
     .D(_0585_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_resp_if.mem[1][26] ));
- sky130_fd_sc_hd__dfxtp_1 _7452_ (.CLK(clknet_3_1_0_wbs_clk_i),
+    .Q(\u_async_wb.u_resp_if.mem[1][18] ));
+ sky130_fd_sc_hd__dfxtp_1 _7552_ (.CLK(clknet_3_2_0_wbs_clk_i),
     .D(_0586_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_resp_if.mem[1][27] ));
- sky130_fd_sc_hd__dfxtp_1 _7453_ (.CLK(clknet_3_0_0_wbs_clk_i),
+    .Q(\u_async_wb.u_resp_if.mem[1][19] ));
+ sky130_fd_sc_hd__dfxtp_1 _7553_ (.CLK(clknet_3_2_0_wbs_clk_i),
     .D(_0587_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_resp_if.mem[1][28] ));
- sky130_fd_sc_hd__dfxtp_1 _7454_ (.CLK(clknet_3_0_0_wbs_clk_i),
+    .Q(\u_async_wb.u_resp_if.mem[1][20] ));
+ sky130_fd_sc_hd__dfxtp_1 _7554_ (.CLK(clknet_3_2_0_wbs_clk_i),
     .D(_0588_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_resp_if.mem[1][29] ));
- sky130_fd_sc_hd__dfxtp_1 _7455_ (.CLK(clknet_3_0_0_wbs_clk_i),
+    .Q(\u_async_wb.u_resp_if.mem[1][21] ));
+ sky130_fd_sc_hd__dfxtp_1 _7555_ (.CLK(clknet_3_2_0_wbs_clk_i),
     .D(_0589_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_resp_if.mem[1][30] ));
- sky130_fd_sc_hd__dfxtp_1 _7456_ (.CLK(clknet_3_1_0_wbs_clk_i),
+    .Q(\u_async_wb.u_resp_if.mem[1][22] ));
+ sky130_fd_sc_hd__dfxtp_1 _7556_ (.CLK(clknet_3_0_0_wbs_clk_i),
     .D(_0590_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_resp_if.mem[1][31] ));
- sky130_fd_sc_hd__dfxtp_1 _7457_ (.CLK(clknet_3_3_0_wbs_clk_i),
+    .Q(\u_async_wb.u_resp_if.mem[1][23] ));
+ sky130_fd_sc_hd__dfxtp_1 _7557_ (.CLK(clknet_3_1_0_wbs_clk_i),
     .D(_0591_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .Q(\u_async_wb.u_resp_if.mem[1][24] ));
+ sky130_fd_sc_hd__dfxtp_1 _7558_ (.CLK(clknet_3_0_0_wbs_clk_i),
+    .D(_0592_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_async_wb.u_resp_if.mem[1][25] ));
+ sky130_fd_sc_hd__dfxtp_1 _7559_ (.CLK(clknet_3_0_0_wbs_clk_i),
+    .D(_0593_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_async_wb.u_resp_if.mem[1][26] ));
+ sky130_fd_sc_hd__dfxtp_1 _7560_ (.CLK(clknet_3_0_0_wbs_clk_i),
+    .D(_0594_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_async_wb.u_resp_if.mem[1][27] ));
+ sky130_fd_sc_hd__dfxtp_1 _7561_ (.CLK(clknet_3_0_0_wbs_clk_i),
+    .D(_0595_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_async_wb.u_resp_if.mem[1][28] ));
+ sky130_fd_sc_hd__dfxtp_1 _7562_ (.CLK(clknet_3_0_0_wbs_clk_i),
+    .D(_0596_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_async_wb.u_resp_if.mem[1][29] ));
+ sky130_fd_sc_hd__dfxtp_1 _7563_ (.CLK(clknet_3_1_0_wbs_clk_i),
+    .D(_0597_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_async_wb.u_resp_if.mem[1][30] ));
+ sky130_fd_sc_hd__dfxtp_1 _7564_ (.CLK(clknet_3_1_0_wbs_clk_i),
+    .D(_0598_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_async_wb.u_resp_if.mem[1][31] ));
+ sky130_fd_sc_hd__dfxtp_1 _7565_ (.CLK(clknet_3_7_0_wbs_clk_i),
+    .D(_0599_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .Q(\u_async_wb.u_resp_if.mem[1][32] ));
- sky130_fd_sc_hd__dfrtp_1 _7458_ (.CLK(clknet_leaf_62_wbm_clk_i),
+ sky130_fd_sc_hd__dfrtp_1 _7566_ (.CLK(clknet_leaf_58_wbm_clk_i),
     .D(\u_uart2wb.u_async_reg_bus.in_flag ),
-    .RESET_B(net179),
+    .RESET_B(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_async_reg_bus.in_flag_s ));
- sky130_fd_sc_hd__dfrtp_1 _7459_ (.CLK(clknet_3_2_0_wbm_clk_i),
-    .D(\u_uart2wb.u_async_reg_bus.in_flag_s ),
-    .RESET_B(net179),
+ sky130_fd_sc_hd__dfrtp_1 _7567_ (.CLK(clknet_leaf_58_wbm_clk_i),
+    .D(net222),
+    .RESET_B(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_async_reg_bus.in_flag_ss ));
- sky130_fd_sc_hd__dfrtp_1 _7460_ (.CLK(\clknet_leaf_38_u_uart2wb.baud_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _7568_ (.CLK(\clknet_leaf_38_u_uart2wb.baud_clk_16x ),
     .D(\u_uart2wb.u_async_reg_bus.out_flag ),
     .RESET_B(net177),
     .VGND(vssd1),
@@ -95062,375 +96102,375 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_async_reg_bus.out_flag_s ));
- sky130_fd_sc_hd__dfrtp_1 _7461_ (.CLK(\clknet_leaf_38_u_uart2wb.baud_clk_16x ),
-    .D(net209),
+ sky130_fd_sc_hd__dfrtp_1 _7569_ (.CLK(\clknet_leaf_38_u_uart2wb.baud_clk_16x ),
+    .D(net230),
     .RESET_B(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_async_reg_bus.out_flag_ss ));
- sky130_fd_sc_hd__dfrtp_1 _7462_ (.CLK(user_clock2),
-    .D(_0592_),
-    .RESET_B(net183),
+ sky130_fd_sc_hd__dfrtp_1 _7570_ (.CLK(user_clock2),
+    .D(_0600_),
+    .RESET_B(net184),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_rtcclk.low_count[0] ));
- sky130_fd_sc_hd__dfrtp_1 _7463_ (.CLK(user_clock2),
-    .D(_0593_),
-    .RESET_B(net183),
+ sky130_fd_sc_hd__dfrtp_1 _7571_ (.CLK(user_clock2),
+    .D(_0601_),
+    .RESET_B(net184),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_rtcclk.low_count[1] ));
- sky130_fd_sc_hd__dfrtp_1 _7464_ (.CLK(user_clock2),
-    .D(_0594_),
+ sky130_fd_sc_hd__dfrtp_1 _7572_ (.CLK(user_clock2),
+    .D(_0602_),
     .RESET_B(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_rtcclk.low_count[2] ));
- sky130_fd_sc_hd__dfrtp_1 _7465_ (.CLK(user_clock2),
-    .D(_0595_),
+ sky130_fd_sc_hd__dfrtp_1 _7573_ (.CLK(user_clock2),
+    .D(_0603_),
     .RESET_B(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_rtcclk.low_count[3] ));
- sky130_fd_sc_hd__dfrtp_1 _7466_ (.CLK(user_clock2),
-    .D(_0596_),
-    .RESET_B(net182),
+ sky130_fd_sc_hd__dfrtp_1 _7574_ (.CLK(user_clock2),
+    .D(_0604_),
+    .RESET_B(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_rtcclk.low_count[4] ));
- sky130_fd_sc_hd__dfrtp_1 _7467_ (.CLK(user_clock2),
-    .D(_0597_),
-    .RESET_B(net182),
+ sky130_fd_sc_hd__dfrtp_1 _7575_ (.CLK(user_clock2),
+    .D(_0605_),
+    .RESET_B(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_rtcclk.low_count[5] ));
- sky130_fd_sc_hd__dfrtp_1 _7468_ (.CLK(user_clock2),
-    .D(_0598_),
-    .RESET_B(net182),
+ sky130_fd_sc_hd__dfrtp_1 _7576_ (.CLK(user_clock2),
+    .D(_0606_),
+    .RESET_B(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_rtcclk.low_count[6] ));
- sky130_fd_sc_hd__dfrtp_1 _7469_ (.CLK(user_clock2),
-    .D(_0599_),
-    .RESET_B(net182),
+ sky130_fd_sc_hd__dfrtp_1 _7577_ (.CLK(user_clock2),
+    .D(_0607_),
+    .RESET_B(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_rtcclk.low_count[7] ));
- sky130_fd_sc_hd__dfrtp_1 _7470_ (.CLK(clknet_leaf_62_wbm_clk_i),
-    .D(_0600_),
-    .RESET_B(net179),
+ sky130_fd_sc_hd__dfrtp_2 _7578_ (.CLK(clknet_leaf_58_wbm_clk_i),
+    .D(_0608_),
+    .RESET_B(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_async_reg_bus.out_reg_cs ));
- sky130_fd_sc_hd__dfrtp_1 _7471_ (.CLK(clknet_leaf_62_wbm_clk_i),
-    .D(_0601_),
-    .RESET_B(net179),
+ sky130_fd_sc_hd__dfrtp_1 _7579_ (.CLK(clknet_leaf_69_wbm_clk_i),
+    .D(_0609_),
+    .RESET_B(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_async_reg_bus.out_state[0] ));
- sky130_fd_sc_hd__dfrtp_1 _7472_ (.CLK(clknet_leaf_62_wbm_clk_i),
-    .D(_0602_),
-    .RESET_B(net179),
+ sky130_fd_sc_hd__dfrtp_1 _7580_ (.CLK(clknet_leaf_58_wbm_clk_i),
+    .D(_0610_),
+    .RESET_B(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_async_reg_bus.out_state[1] ));
- sky130_fd_sc_hd__dfrtp_1 _7473_ (.CLK(clknet_leaf_62_wbm_clk_i),
-    .D(_0603_),
-    .RESET_B(net179),
+ sky130_fd_sc_hd__dfrtp_1 _7581_ (.CLK(clknet_leaf_58_wbm_clk_i),
+    .D(_0611_),
+    .RESET_B(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_async_reg_bus.out_flag ));
- sky130_fd_sc_hd__dfrtp_1 _7474_ (.CLK(\clknet_leaf_30_u_uart2wb.baud_clk_16x ),
-    .D(_0604_),
-    .RESET_B(net177),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_uart2wb.reg_rdata[0] ));
- sky130_fd_sc_hd__dfrtp_1 _7475_ (.CLK(\clknet_leaf_30_u_uart2wb.baud_clk_16x ),
-    .D(_0605_),
-    .RESET_B(net177),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_uart2wb.reg_rdata[1] ));
- sky130_fd_sc_hd__dfrtp_1 _7476_ (.CLK(\clknet_leaf_30_u_uart2wb.baud_clk_16x ),
-    .D(_0606_),
-    .RESET_B(net177),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_uart2wb.reg_rdata[2] ));
- sky130_fd_sc_hd__dfrtp_1 _7477_ (.CLK(\clknet_leaf_30_u_uart2wb.baud_clk_16x ),
-    .D(_0607_),
-    .RESET_B(net177),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_uart2wb.reg_rdata[3] ));
- sky130_fd_sc_hd__dfrtp_1 _7478_ (.CLK(\clknet_leaf_32_u_uart2wb.baud_clk_16x ),
-    .D(_0608_),
-    .RESET_B(net177),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_uart2wb.reg_rdata[4] ));
- sky130_fd_sc_hd__dfrtp_1 _7479_ (.CLK(\clknet_leaf_32_u_uart2wb.baud_clk_16x ),
-    .D(_0609_),
-    .RESET_B(net177),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_uart2wb.reg_rdata[5] ));
- sky130_fd_sc_hd__dfrtp_1 _7480_ (.CLK(\clknet_leaf_33_u_uart2wb.baud_clk_16x ),
-    .D(_0610_),
-    .RESET_B(net177),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_uart2wb.reg_rdata[6] ));
- sky130_fd_sc_hd__dfrtp_2 _7481_ (.CLK(\clknet_leaf_33_u_uart2wb.baud_clk_16x ),
-    .D(_0611_),
-    .RESET_B(net177),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_uart2wb.reg_rdata[7] ));
- sky130_fd_sc_hd__dfrtp_1 _7482_ (.CLK(\clknet_leaf_32_u_uart2wb.baud_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _7582_ (.CLK(\clknet_leaf_36_u_uart2wb.baud_clk_16x ),
     .D(_0612_),
     .RESET_B(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.reg_rdata[8] ));
- sky130_fd_sc_hd__dfrtp_1 _7483_ (.CLK(\clknet_leaf_33_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.reg_rdata[0] ));
+ sky130_fd_sc_hd__dfrtp_1 _7583_ (.CLK(\clknet_leaf_36_u_uart2wb.baud_clk_16x ),
     .D(_0613_),
     .RESET_B(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.reg_rdata[9] ));
- sky130_fd_sc_hd__dfrtp_1 _7484_ (.CLK(\clknet_leaf_33_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.reg_rdata[1] ));
+ sky130_fd_sc_hd__dfrtp_1 _7584_ (.CLK(\clknet_leaf_36_u_uart2wb.baud_clk_16x ),
     .D(_0614_),
     .RESET_B(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.reg_rdata[10] ));
- sky130_fd_sc_hd__dfrtp_1 _7485_ (.CLK(\clknet_leaf_33_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.reg_rdata[2] ));
+ sky130_fd_sc_hd__dfrtp_1 _7585_ (.CLK(\clknet_leaf_36_u_uart2wb.baud_clk_16x ),
     .D(_0615_),
     .RESET_B(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.reg_rdata[11] ));
- sky130_fd_sc_hd__dfrtp_1 _7486_ (.CLK(\clknet_leaf_34_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.reg_rdata[3] ));
+ sky130_fd_sc_hd__dfrtp_1 _7586_ (.CLK(\clknet_leaf_37_u_uart2wb.baud_clk_16x ),
     .D(_0616_),
     .RESET_B(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.reg_rdata[12] ));
- sky130_fd_sc_hd__dfrtp_1 _7487_ (.CLK(\clknet_leaf_34_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.reg_rdata[4] ));
+ sky130_fd_sc_hd__dfrtp_1 _7587_ (.CLK(\clknet_leaf_37_u_uart2wb.baud_clk_16x ),
     .D(_0617_),
     .RESET_B(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.reg_rdata[13] ));
- sky130_fd_sc_hd__dfrtp_1 _7488_ (.CLK(\clknet_leaf_34_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.reg_rdata[5] ));
+ sky130_fd_sc_hd__dfrtp_1 _7588_ (.CLK(\clknet_leaf_37_u_uart2wb.baud_clk_16x ),
     .D(_0618_),
     .RESET_B(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.reg_rdata[14] ));
- sky130_fd_sc_hd__dfrtp_1 _7489_ (.CLK(\clknet_leaf_34_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.reg_rdata[6] ));
+ sky130_fd_sc_hd__dfrtp_1 _7589_ (.CLK(\clknet_leaf_21_u_uart2wb.baud_clk_16x ),
     .D(_0619_),
     .RESET_B(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.reg_rdata[15] ));
- sky130_fd_sc_hd__dfrtp_2 _7490_ (.CLK(\clknet_leaf_35_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.reg_rdata[7] ));
+ sky130_fd_sc_hd__dfrtp_1 _7590_ (.CLK(\clknet_leaf_37_u_uart2wb.baud_clk_16x ),
     .D(_0620_),
     .RESET_B(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.reg_rdata[16] ));
- sky130_fd_sc_hd__dfrtp_1 _7491_ (.CLK(\clknet_leaf_34_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.reg_rdata[8] ));
+ sky130_fd_sc_hd__dfrtp_1 _7591_ (.CLK(\clknet_leaf_37_u_uart2wb.baud_clk_16x ),
     .D(_0621_),
     .RESET_B(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.reg_rdata[17] ));
- sky130_fd_sc_hd__dfrtp_1 _7492_ (.CLK(\clknet_leaf_18_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.reg_rdata[9] ));
+ sky130_fd_sc_hd__dfrtp_1 _7592_ (.CLK(\clknet_leaf_21_u_uart2wb.baud_clk_16x ),
     .D(_0622_),
     .RESET_B(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.reg_rdata[18] ));
- sky130_fd_sc_hd__dfrtp_1 _7493_ (.CLK(\clknet_leaf_35_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.reg_rdata[10] ));
+ sky130_fd_sc_hd__dfrtp_1 _7593_ (.CLK(\clknet_leaf_21_u_uart2wb.baud_clk_16x ),
     .D(_0623_),
     .RESET_B(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.reg_rdata[19] ));
- sky130_fd_sc_hd__dfrtp_2 _7494_ (.CLK(\clknet_leaf_2_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.reg_rdata[11] ));
+ sky130_fd_sc_hd__dfrtp_1 _7594_ (.CLK(\clknet_leaf_21_u_uart2wb.baud_clk_16x ),
     .D(_0624_),
     .RESET_B(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.reg_rdata[20] ));
- sky130_fd_sc_hd__dfrtp_1 _7495_ (.CLK(\clknet_leaf_18_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.reg_rdata[12] ));
+ sky130_fd_sc_hd__dfrtp_1 _7595_ (.CLK(\clknet_leaf_4_u_uart2wb.baud_clk_16x ),
     .D(_0625_),
     .RESET_B(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_uart2wb.reg_rdata[21] ));
- sky130_fd_sc_hd__dfrtp_4 _7496_ (.CLK(\clknet_leaf_2_u_uart2wb.baud_clk_16x ),
+    .Q(\u_uart2wb.reg_rdata[13] ));
+ sky130_fd_sc_hd__dfrtp_1 _7596_ (.CLK(\clknet_leaf_4_u_uart2wb.baud_clk_16x ),
     .D(_0626_),
-    .RESET_B(net177),
+    .RESET_B(net217),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_uart2wb.reg_rdata[14] ));
+ sky130_fd_sc_hd__dfrtp_1 _7597_ (.CLK(\clknet_leaf_4_u_uart2wb.baud_clk_16x ),
+    .D(_0627_),
+    .RESET_B(net217),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_uart2wb.reg_rdata[15] ));
+ sky130_fd_sc_hd__dfrtp_1 _7598_ (.CLK(\clknet_leaf_3_u_uart2wb.baud_clk_16x ),
+    .D(_0628_),
+    .RESET_B(net232),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_uart2wb.reg_rdata[16] ));
+ sky130_fd_sc_hd__dfrtp_1 _7599_ (.CLK(\clknet_leaf_4_u_uart2wb.baud_clk_16x ),
+    .D(_0629_),
+    .RESET_B(net217),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_uart2wb.reg_rdata[17] ));
+ sky130_fd_sc_hd__dfrtp_1 _7600_ (.CLK(\clknet_leaf_5_u_uart2wb.baud_clk_16x ),
+    .D(_0630_),
+    .RESET_B(net232),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_uart2wb.reg_rdata[18] ));
+ sky130_fd_sc_hd__dfrtp_1 _7601_ (.CLK(\clknet_leaf_4_u_uart2wb.baud_clk_16x ),
+    .D(_0631_),
+    .RESET_B(net217),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_uart2wb.reg_rdata[19] ));
+ sky130_fd_sc_hd__dfrtp_2 _7602_ (.CLK(\clknet_leaf_3_u_uart2wb.baud_clk_16x ),
+    .D(_0632_),
+    .RESET_B(net232),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_uart2wb.reg_rdata[20] ));
+ sky130_fd_sc_hd__dfrtp_1 _7603_ (.CLK(\clknet_leaf_5_u_uart2wb.baud_clk_16x ),
+    .D(_0633_),
+    .RESET_B(net232),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_uart2wb.reg_rdata[21] ));
+ sky130_fd_sc_hd__dfrtp_4 _7604_ (.CLK(\clknet_leaf_3_u_uart2wb.baud_clk_16x ),
+    .D(_0634_),
+    .RESET_B(net232),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_rdata[22] ));
- sky130_fd_sc_hd__dfrtp_2 _7497_ (.CLK(\clknet_leaf_2_u_uart2wb.baud_clk_16x ),
-    .D(_0627_),
-    .RESET_B(net177),
+ sky130_fd_sc_hd__dfrtp_2 _7605_ (.CLK(\clknet_leaf_5_u_uart2wb.baud_clk_16x ),
+    .D(_0635_),
+    .RESET_B(net232),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_rdata[23] ));
- sky130_fd_sc_hd__dfrtp_1 _7498_ (.CLK(\clknet_leaf_18_u_uart2wb.baud_clk_16x ),
-    .D(_0628_),
-    .RESET_B(net177),
+ sky130_fd_sc_hd__dfrtp_1 _7606_ (.CLK(\clknet_leaf_8_u_uart2wb.baud_clk_16x ),
+    .D(_0636_),
+    .RESET_B(net232),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_rdata[24] ));
- sky130_fd_sc_hd__dfrtp_1 _7499_ (.CLK(\clknet_leaf_2_u_uart2wb.baud_clk_16x ),
-    .D(_0629_),
-    .RESET_B(net177),
+ sky130_fd_sc_hd__dfrtp_1 _7607_ (.CLK(\clknet_leaf_8_u_uart2wb.baud_clk_16x ),
+    .D(_0637_),
+    .RESET_B(net232),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_rdata[25] ));
- sky130_fd_sc_hd__dfrtp_1 _7500_ (.CLK(\clknet_leaf_2_u_uart2wb.baud_clk_16x ),
-    .D(_0630_),
-    .RESET_B(net177),
+ sky130_fd_sc_hd__dfrtp_1 _7608_ (.CLK(\clknet_leaf_7_u_uart2wb.baud_clk_16x ),
+    .D(_0638_),
+    .RESET_B(net232),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_rdata[26] ));
- sky130_fd_sc_hd__dfrtp_1 _7501_ (.CLK(\clknet_leaf_2_u_uart2wb.baud_clk_16x ),
-    .D(_0631_),
-    .RESET_B(net177),
+ sky130_fd_sc_hd__dfrtp_1 _7609_ (.CLK(\clknet_leaf_7_u_uart2wb.baud_clk_16x ),
+    .D(_0639_),
+    .RESET_B(net232),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_rdata[27] ));
- sky130_fd_sc_hd__dfrtp_1 _7502_ (.CLK(\clknet_leaf_3_u_uart2wb.baud_clk_16x ),
-    .D(_0632_),
-    .RESET_B(net177),
+ sky130_fd_sc_hd__dfrtp_1 _7610_ (.CLK(\clknet_leaf_7_u_uart2wb.baud_clk_16x ),
+    .D(_0640_),
+    .RESET_B(net232),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_rdata[28] ));
- sky130_fd_sc_hd__dfrtp_1 _7503_ (.CLK(\clknet_leaf_3_u_uart2wb.baud_clk_16x ),
-    .D(_0633_),
-    .RESET_B(net177),
+ sky130_fd_sc_hd__dfrtp_1 _7611_ (.CLK(\clknet_leaf_7_u_uart2wb.baud_clk_16x ),
+    .D(_0641_),
+    .RESET_B(net232),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_rdata[29] ));
- sky130_fd_sc_hd__dfrtp_1 _7504_ (.CLK(\clknet_leaf_3_u_uart2wb.baud_clk_16x ),
-    .D(_0634_),
-    .RESET_B(net177),
+ sky130_fd_sc_hd__dfrtp_1 _7612_ (.CLK(\clknet_leaf_5_u_uart2wb.baud_clk_16x ),
+    .D(_0642_),
+    .RESET_B(net232),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_rdata[30] ));
- sky130_fd_sc_hd__dfrtp_1 _7505_ (.CLK(\clknet_leaf_3_u_uart2wb.baud_clk_16x ),
-    .D(_0635_),
-    .RESET_B(net177),
+ sky130_fd_sc_hd__dfrtp_1 _7613_ (.CLK(\clknet_leaf_5_u_uart2wb.baud_clk_16x ),
+    .D(_0643_),
+    .RESET_B(net232),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_rdata[31] ));
- sky130_fd_sc_hd__dfrtp_1 _7506_ (.CLK(\clknet_leaf_30_u_uart2wb.baud_clk_16x ),
-    .D(_0636_),
+ sky130_fd_sc_hd__dfrtp_1 _7614_ (.CLK(\clknet_leaf_36_u_uart2wb.baud_clk_16x ),
+    .D(_0644_),
     .RESET_B(net177),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.reg_ack ));
- sky130_fd_sc_hd__dfstp_1 _7507_ (.CLK(\clknet_leaf_7_u_uart2wb.baud_clk_16x ),
+ sky130_fd_sc_hd__dfstp_1 _7615_ (.CLK(\clknet_leaf_25_u_uart2wb.baud_clk_16x ),
     .D(_0002_),
     .SET_B(net178),
     .VGND(vssd1),
@@ -95438,7 +96478,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_txfsm.txstate[0] ));
- sky130_fd_sc_hd__dfrtp_1 _7508_ (.CLK(\clknet_leaf_12_u_uart2wb.baud_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _7616_ (.CLK(\clknet_leaf_26_u_uart2wb.baud_clk_16x ),
     .D(_0003_),
     .RESET_B(net178),
     .VGND(vssd1),
@@ -95446,7 +96486,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_txfsm.txstate[1] ));
- sky130_fd_sc_hd__dfrtp_1 _7509_ (.CLK(\clknet_leaf_8_u_uart2wb.baud_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _7617_ (.CLK(\clknet_leaf_27_u_uart2wb.baud_clk_16x ),
     .D(_0004_),
     .RESET_B(net178),
     .VGND(vssd1),
@@ -95454,7 +96494,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_txfsm.txstate[2] ));
- sky130_fd_sc_hd__dfrtp_1 _7510_ (.CLK(\clknet_leaf_7_u_uart2wb.baud_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _7618_ (.CLK(\clknet_leaf_16_u_uart2wb.baud_clk_16x ),
     .D(_0005_),
     .RESET_B(net178),
     .VGND(vssd1),
@@ -95462,7 +96502,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_txfsm.txstate[3] ));
- sky130_fd_sc_hd__dfrtp_1 _7511_ (.CLK(\clknet_leaf_7_u_uart2wb.baud_clk_16x ),
+ sky130_fd_sc_hd__dfrtp_1 _7619_ (.CLK(\clknet_leaf_26_u_uart2wb.baud_clk_16x ),
     .D(_0006_),
     .RESET_B(net178),
     .VGND(vssd1),
@@ -95470,2230 +96510,2230 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_uart2wb.u_core.u_txfsm.txstate[4] ));
- sky130_fd_sc_hd__dfrtp_1 _7512_ (.CLK(clknet_leaf_3_wbm_clk_i),
-    .D(_0637_),
-    .RESET_B(net182),
+ sky130_fd_sc_hd__dfrtp_1 _7620_ (.CLK(clknet_leaf_1_wbm_clk_i),
+    .D(_0645_),
+    .RESET_B(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_glb_ctrl.gen_bit_reg[30].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _7513_ (.CLK(clknet_leaf_14_wbm_clk_i),
-    .D(_0638_),
-    .RESET_B(net184),
+ sky130_fd_sc_hd__dfrtp_1 _7621_ (.CLK(clknet_leaf_14_wbm_clk_i),
+    .D(_0646_),
+    .RESET_B(net187),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_glb_ctrl.gen_bit_reg[2].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _7514_ (.CLK(clknet_leaf_4_wbm_clk_i),
-    .D(_0639_),
-    .RESET_B(net182),
+ sky130_fd_sc_hd__dfrtp_1 _7622_ (.CLK(clknet_leaf_0_wbm_clk_i),
+    .D(_0647_),
+    .RESET_B(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_glb_ctrl.gen_bit_reg[28].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _7515_ (.CLK(clknet_leaf_13_wbm_clk_i),
-    .D(_0640_),
-    .RESET_B(net184),
+ sky130_fd_sc_hd__dfrtp_1 _7623_ (.CLK(clknet_leaf_1_wbm_clk_i),
+    .D(_0648_),
+    .RESET_B(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_glb_ctrl.gen_bit_reg[27].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _7516_ (.CLK(clknet_leaf_13_wbm_clk_i),
-    .D(_0641_),
-    .RESET_B(net184),
+ sky130_fd_sc_hd__dfrtp_2 _7624_ (.CLK(clknet_leaf_1_wbm_clk_i),
+    .D(_0649_),
+    .RESET_B(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_glb_ctrl.gen_bit_reg[26].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _7517_ (.CLK(clknet_leaf_13_wbm_clk_i),
-    .D(_0642_),
-    .RESET_B(net184),
+ sky130_fd_sc_hd__dfrtp_1 _7625_ (.CLK(clknet_leaf_1_wbm_clk_i),
+    .D(_0650_),
+    .RESET_B(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_glb_ctrl.gen_bit_reg[25].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _7518_ (.CLK(clknet_leaf_13_wbm_clk_i),
-    .D(_0643_),
-    .RESET_B(net184),
+ sky130_fd_sc_hd__dfrtp_2 _7626_ (.CLK(clknet_leaf_2_wbm_clk_i),
+    .D(_0651_),
+    .RESET_B(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_glb_ctrl.gen_bit_reg[24].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_2 _7519_ (.CLK(clknet_leaf_19_wbm_clk_i),
-    .D(_0644_),
-    .RESET_B(net182),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_cpu_ref_sel.S ));
- sky130_fd_sc_hd__dfrtp_2 _7520_ (.CLK(clknet_leaf_18_wbm_clk_i),
-    .D(_0645_),
-    .RESET_B(net182),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_cpu_clk_sel.S ));
- sky130_fd_sc_hd__dfrtp_1 _7521_ (.CLK(clknet_leaf_19_wbm_clk_i),
-    .D(_0646_),
-    .RESET_B(net182),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_glb_ctrl.gen_bit_reg[21].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _7522_ (.CLK(clknet_leaf_19_wbm_clk_i),
-    .D(_0647_),
-    .RESET_B(net182),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_glb_ctrl.gen_bit_reg[20].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _7523_ (.CLK(clknet_leaf_15_wbm_clk_i),
-    .D(_0648_),
-    .RESET_B(net184),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_glb_ctrl.gen_bit_reg[1].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _7524_ (.CLK(clknet_leaf_20_wbm_clk_i),
-    .D(_0649_),
-    .RESET_B(net182),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_glb_ctrl.gen_bit_reg[18].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _7525_ (.CLK(clknet_leaf_20_wbm_clk_i),
-    .D(_0650_),
-    .RESET_B(net182),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_glb_ctrl.gen_bit_reg[17].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _7526_ (.CLK(clknet_leaf_22_wbm_clk_i),
-    .D(_0651_),
-    .RESET_B(net182),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_glb_ctrl.gen_bit_reg[16].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _7527_ (.CLK(clknet_leaf_22_wbm_clk_i),
+ sky130_fd_sc_hd__dfrtp_1 _7627_ (.CLK(clknet_leaf_3_wbm_clk_i),
     .D(_0652_),
     .RESET_B(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_glb_ctrl.gen_bit_reg[15].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _7528_ (.CLK(clknet_leaf_22_wbm_clk_i),
+    .Q(\u_cpu_ref_sel.S ));
+ sky130_fd_sc_hd__dfrtp_2 _7628_ (.CLK(clknet_leaf_19_wbm_clk_i),
     .D(_0653_),
     .RESET_B(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_glb_ctrl.gen_bit_reg[14].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_2 _7529_ (.CLK(clknet_leaf_23_wbm_clk_i),
+    .Q(\u_cpu_clk_sel.S ));
+ sky130_fd_sc_hd__dfrtp_1 _7629_ (.CLK(clknet_leaf_19_wbm_clk_i),
     .D(_0654_),
     .RESET_B(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_glb_ctrl.gen_bit_reg[13].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_4 _7530_ (.CLK(clknet_leaf_23_wbm_clk_i),
+    .Q(\u_glb_ctrl.gen_bit_reg[21].u_bit_reg.data_out ));
+ sky130_fd_sc_hd__dfrtp_1 _7630_ (.CLK(clknet_leaf_18_wbm_clk_i),
     .D(_0655_),
     .RESET_B(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_glb_ctrl.gen_bit_reg[12].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_4 _7531_ (.CLK(clknet_leaf_25_wbm_clk_i),
+    .Q(\u_glb_ctrl.gen_bit_reg[20].u_bit_reg.data_out ));
+ sky130_fd_sc_hd__dfrtp_1 _7631_ (.CLK(clknet_leaf_14_wbm_clk_i),
     .D(_0656_),
-    .RESET_B(net183),
+    .RESET_B(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_glb_ctrl.gen_bit_reg[11].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_2 _7532_ (.CLK(clknet_leaf_25_wbm_clk_i),
+    .Q(\u_glb_ctrl.gen_bit_reg[1].u_bit_reg.data_out ));
+ sky130_fd_sc_hd__dfrtp_1 _7632_ (.CLK(clknet_leaf_20_wbm_clk_i),
     .D(_0657_),
     .RESET_B(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_glb_ctrl.gen_bit_reg[10].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_4 _7533_ (.CLK(clknet_leaf_27_wbm_clk_i),
+    .Q(\u_glb_ctrl.gen_bit_reg[18].u_bit_reg.data_out ));
+ sky130_fd_sc_hd__dfrtp_1 _7633_ (.CLK(clknet_leaf_20_wbm_clk_i),
     .D(_0658_),
     .RESET_B(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_buf_wb_rst.A ));
- sky130_fd_sc_hd__dfrtp_1 _7534_ (.CLK(clknet_leaf_28_wbm_clk_i),
+    .Q(\u_glb_ctrl.gen_bit_reg[17].u_bit_reg.data_out ));
+ sky130_fd_sc_hd__dfrtp_1 _7634_ (.CLK(clknet_leaf_23_wbm_clk_i),
     .D(_0659_),
     .RESET_B(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_glb_ctrl.gen_bit_reg[8].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _7535_ (.CLK(clknet_leaf_27_wbm_clk_i),
+    .Q(\u_glb_ctrl.gen_bit_reg[16].u_bit_reg.data_out ));
+ sky130_fd_sc_hd__dfrtp_1 _7635_ (.CLK(clknet_leaf_22_wbm_clk_i),
     .D(_0660_),
-    .RESET_B(net185),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_glb_ctrl.gen_bit_reg[7].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _7536_ (.CLK(clknet_leaf_31_wbm_clk_i),
-    .D(_0661_),
-    .RESET_B(net185),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_glb_ctrl.gen_bit_reg[6].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _7537_ (.CLK(clknet_leaf_31_wbm_clk_i),
-    .D(_0662_),
-    .RESET_B(net185),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_glb_ctrl.gen_bit_reg[5].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _7538_ (.CLK(clknet_leaf_32_wbm_clk_i),
-    .D(_0663_),
-    .RESET_B(net185),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_glb_ctrl.gen_bit_reg[4].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _7539_ (.CLK(clknet_leaf_15_wbm_clk_i),
-    .D(_0664_),
     .RESET_B(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_glb_ctrl.gen_bit_reg[3].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_4 _7540_ (.CLK(clknet_leaf_4_wbm_clk_i),
+    .Q(\u_glb_ctrl.gen_bit_reg[15].u_bit_reg.data_out ));
+ sky130_fd_sc_hd__dfrtp_1 _7636_ (.CLK(clknet_leaf_23_wbm_clk_i),
+    .D(_0661_),
+    .RESET_B(net184),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_glb_ctrl.gen_bit_reg[14].u_bit_reg.data_out ));
+ sky130_fd_sc_hd__dfrtp_1 _7637_ (.CLK(clknet_leaf_23_wbm_clk_i),
+    .D(_0662_),
+    .RESET_B(net184),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_glb_ctrl.gen_bit_reg[13].u_bit_reg.data_out ));
+ sky130_fd_sc_hd__dfrtp_1 _7638_ (.CLK(clknet_leaf_23_wbm_clk_i),
+    .D(_0663_),
+    .RESET_B(net184),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_glb_ctrl.gen_bit_reg[12].u_bit_reg.data_out ));
+ sky130_fd_sc_hd__dfrtp_2 _7639_ (.CLK(clknet_leaf_25_wbm_clk_i),
+    .D(_0664_),
+    .RESET_B(net179),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_glb_ctrl.gen_bit_reg[11].u_bit_reg.data_out ));
+ sky130_fd_sc_hd__dfrtp_2 _7640_ (.CLK(clknet_leaf_26_wbm_clk_i),
     .D(_0665_),
+    .RESET_B(net179),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_glb_ctrl.gen_bit_reg[10].u_bit_reg.data_out ));
+ sky130_fd_sc_hd__dfrtp_4 _7641_ (.CLK(clknet_leaf_21_wbm_clk_i),
+    .D(_0666_),
+    .RESET_B(net183),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_buf_wb_rst.A ));
+ sky130_fd_sc_hd__dfrtp_1 _7642_ (.CLK(clknet_leaf_30_wbm_clk_i),
+    .D(_0667_),
+    .RESET_B(net179),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_glb_ctrl.gen_bit_reg[8].u_bit_reg.data_out ));
+ sky130_fd_sc_hd__dfrtp_1 _7643_ (.CLK(clknet_leaf_28_wbm_clk_i),
+    .D(_0668_),
+    .RESET_B(net187),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_glb_ctrl.gen_bit_reg[7].u_bit_reg.data_out ));
+ sky130_fd_sc_hd__dfrtp_1 _7644_ (.CLK(clknet_leaf_33_wbm_clk_i),
+    .D(_0669_),
+    .RESET_B(net184),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_glb_ctrl.gen_bit_reg[6].u_bit_reg.data_out ));
+ sky130_fd_sc_hd__dfrtp_1 _7645_ (.CLK(clknet_leaf_33_wbm_clk_i),
+    .D(_0670_),
+    .RESET_B(net184),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_glb_ctrl.gen_bit_reg[5].u_bit_reg.data_out ));
+ sky130_fd_sc_hd__dfrtp_1 _7646_ (.CLK(clknet_leaf_34_wbm_clk_i),
+    .D(_0671_),
+    .RESET_B(net187),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_glb_ctrl.gen_bit_reg[4].u_bit_reg.data_out ));
+ sky130_fd_sc_hd__dfrtp_1 _7647_ (.CLK(clknet_leaf_34_wbm_clk_i),
+    .D(_0672_),
+    .RESET_B(net187),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_glb_ctrl.gen_bit_reg[3].u_bit_reg.data_out ));
+ sky130_fd_sc_hd__dfrtp_4 _7648_ (.CLK(clknet_leaf_5_wbm_clk_i),
+    .D(_0673_),
     .RESET_B(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_glb_ctrl.gen_bit_reg[31].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _7541_ (.CLK(clknet_leaf_4_wbm_clk_i),
-    .D(_0666_),
+ sky130_fd_sc_hd__dfrtp_1 _7649_ (.CLK(clknet_leaf_0_wbm_clk_i),
+    .D(_0674_),
     .RESET_B(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_glb_ctrl.gen_bit_reg[29].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _7542_ (.CLK(clknet_leaf_20_wbm_clk_i),
-    .D(_0667_),
+ sky130_fd_sc_hd__dfrtp_1 _7650_ (.CLK(clknet_leaf_15_wbm_clk_i),
+    .D(_0675_),
     .RESET_B(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_glb_ctrl.gen_bit_reg[19].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _7543_ (.CLK(\u_cpu_clk_sel.A0 ),
-    .D(_0668_),
-    .RESET_B(net182),
+ sky130_fd_sc_hd__dfrtp_1 _7651_ (.CLK(\u_cpu_clk_sel.A0 ),
+    .D(_0676_),
+    .RESET_B(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_cpuclk.low_count[0] ));
- sky130_fd_sc_hd__dfrtp_1 _7544_ (.CLK(\u_cpu_clk_sel.A0 ),
-    .D(_0669_),
-    .RESET_B(net182),
+ sky130_fd_sc_hd__dfrtp_1 _7652_ (.CLK(\u_cpu_clk_sel.A0 ),
+    .D(_0677_),
+    .RESET_B(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_cpuclk.low_count[1] ));
- sky130_fd_sc_hd__dfrtp_2 _7545_ (.CLK(clknet_leaf_39_wbm_clk_i),
-    .D(_0670_),
-    .RESET_B(net185),
+ sky130_fd_sc_hd__dfrtp_1 _7653_ (.CLK(clknet_leaf_32_wbm_clk_i),
+    .D(_0678_),
+    .RESET_B(net187),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.m_cmd_wr_data[67] ));
- sky130_fd_sc_hd__dfrtp_1 _7546_ (.CLK(clknet_leaf_31_wbm_clk_i),
-    .D(_0671_),
-    .RESET_B(net185),
+ sky130_fd_sc_hd__dfrtp_1 _7654_ (.CLK(clknet_leaf_33_wbm_clk_i),
+    .D(_0679_),
+    .RESET_B(net184),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.m_cmd_wr_data[66] ));
- sky130_fd_sc_hd__dfstp_1 _7547_ (.CLK(clknet_leaf_34_wbm_clk_i),
-    .D(_0672_),
-    .SET_B(net185),
+ sky130_fd_sc_hd__dfstp_1 _7655_ (.CLK(clknet_leaf_33_wbm_clk_i),
+    .D(_0680_),
+    .SET_B(net187),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.m_cmd_wr_data[65] ));
- sky130_fd_sc_hd__dfrtp_1 _7548_ (.CLK(clknet_leaf_34_wbm_clk_i),
-    .D(_0673_),
-    .RESET_B(net185),
+ sky130_fd_sc_hd__dfrtp_1 _7656_ (.CLK(clknet_leaf_35_wbm_clk_i),
+    .D(_0681_),
+    .RESET_B(net187),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.m_cmd_wr_data[64] ));
- sky130_fd_sc_hd__dfrtp_1 _7549_ (.CLK(clknet_leaf_35_wbm_clk_i),
-    .D(_0674_),
-    .RESET_B(net184),
+ sky130_fd_sc_hd__dfrtp_1 _7657_ (.CLK(clknet_leaf_35_wbm_clk_i),
+    .D(_0682_),
+    .RESET_B(net187),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.m_cmd_wr_data[63] ));
- sky130_fd_sc_hd__dfrtp_1 _7550_ (.CLK(clknet_leaf_12_wbm_clk_i),
-    .D(_0675_),
-    .RESET_B(net181),
+ sky130_fd_sc_hd__dfrtp_1 _7658_ (.CLK(clknet_leaf_37_wbm_clk_i),
+    .D(_0683_),
+    .RESET_B(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.m_cmd_wr_data[62] ));
- sky130_fd_sc_hd__dfrtp_1 _7551_ (.CLK(clknet_leaf_36_wbm_clk_i),
-    .D(_0676_),
-    .RESET_B(net184),
+ sky130_fd_sc_hd__dfrtp_1 _7659_ (.CLK(clknet_leaf_35_wbm_clk_i),
+    .D(_0684_),
+    .RESET_B(net187),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.m_cmd_wr_data[61] ));
- sky130_fd_sc_hd__dfrtp_1 _7552_ (.CLK(clknet_3_6_0_wbs_clk_i),
-    .D(_0677_),
-    .RESET_B(net212),
+ sky130_fd_sc_hd__dfrtp_1 _7660_ (.CLK(clknet_3_7_0_wbs_clk_i),
+    .D(_0685_),
+    .RESET_B(net218),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_resp_if.grey_wr_ptr[0] ));
- sky130_fd_sc_hd__dfrtp_1 _7553_ (.CLK(clknet_3_6_0_wbs_clk_i),
-    .D(_0678_),
-    .RESET_B(net212),
+ sky130_fd_sc_hd__dfrtp_1 _7661_ (.CLK(clknet_3_7_0_wbs_clk_i),
+    .D(_0686_),
+    .RESET_B(net218),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.u_resp_if.grey_wr_ptr[1] ));
- sky130_fd_sc_hd__dfrtp_1 _7554_ (.CLK(clknet_leaf_3_wbm_clk_i),
-    .D(_0679_),
-    .RESET_B(net182),
+ sky130_fd_sc_hd__dfrtp_1 _7662_ (.CLK(clknet_leaf_0_wbm_clk_i),
+    .D(_0687_),
+    .RESET_B(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_clk_ctrl1.gen_bit_reg[30].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_4 _7555_ (.CLK(clknet_leaf_14_wbm_clk_i),
-    .D(_0680_),
-    .RESET_B(net184),
+ sky130_fd_sc_hd__dfrtp_4 _7663_ (.CLK(clknet_leaf_14_wbm_clk_i),
+    .D(_0688_),
+    .RESET_B(net180),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_clk_ctrl1.gen_bit_reg[2].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_2 _7556_ (.CLK(clknet_leaf_3_wbm_clk_i),
-    .D(_0681_),
+ sky130_fd_sc_hd__dfrtp_1 _7664_ (.CLK(clknet_leaf_0_wbm_clk_i),
+    .D(_0689_),
     .RESET_B(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_clk_ctrl1.gen_bit_reg[28].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _7557_ (.CLK(clknet_leaf_13_wbm_clk_i),
-    .D(_0682_),
-    .RESET_B(net184),
+ sky130_fd_sc_hd__dfrtp_1 _7665_ (.CLK(clknet_leaf_1_wbm_clk_i),
+    .D(_0690_),
+    .RESET_B(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_clk_ctrl1.gen_bit_reg[27].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _7558_ (.CLK(clknet_leaf_12_wbm_clk_i),
-    .D(_0683_),
-    .RESET_B(net184),
+ sky130_fd_sc_hd__dfrtp_1 _7666_ (.CLK(clknet_leaf_4_wbm_clk_i),
+    .D(_0691_),
+    .RESET_B(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_clk_ctrl1.gen_bit_reg[26].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _7559_ (.CLK(clknet_leaf_13_wbm_clk_i),
-    .D(_0684_),
-    .RESET_B(net184),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_clk_ctrl1.gen_bit_reg[25].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _7560_ (.CLK(clknet_leaf_13_wbm_clk_i),
-    .D(_0685_),
-    .RESET_B(net184),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_clk_ctrl1.gen_bit_reg[24].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _7561_ (.CLK(clknet_leaf_17_wbm_clk_i),
-    .D(_0686_),
-    .RESET_B(net184),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_clk_ctrl1.gen_bit_reg[23].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _7562_ (.CLK(clknet_leaf_16_wbm_clk_i),
-    .D(_0687_),
-    .RESET_B(net184),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_clk_ctrl1.gen_bit_reg[22].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _7563_ (.CLK(clknet_leaf_17_wbm_clk_i),
-    .D(_0688_),
-    .RESET_B(net184),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_clk_ctrl1.gen_bit_reg[21].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _7564_ (.CLK(clknet_leaf_16_wbm_clk_i),
-    .D(_0689_),
-    .RESET_B(net184),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_clk_ctrl1.gen_bit_reg[20].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_4 _7565_ (.CLK(clknet_leaf_14_wbm_clk_i),
-    .D(_0690_),
-    .RESET_B(net184),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_clk_ctrl1.gen_bit_reg[1].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_4 _7566_ (.CLK(clknet_leaf_15_wbm_clk_i),
-    .D(_0691_),
-    .RESET_B(net183),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_clk_ctrl1.gen_bit_reg[18].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_4 _7567_ (.CLK(clknet_leaf_15_wbm_clk_i),
+ sky130_fd_sc_hd__dfrtp_1 _7667_ (.CLK(clknet_leaf_4_wbm_clk_i),
     .D(_0692_),
     .RESET_B(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_clk_ctrl1.gen_bit_reg[17].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_2 _7568_ (.CLK(clknet_leaf_21_wbm_clk_i),
+    .Q(\u_clk_ctrl1.gen_bit_reg[25].u_bit_reg.data_out ));
+ sky130_fd_sc_hd__dfrtp_1 _7668_ (.CLK(clknet_leaf_4_wbm_clk_i),
     .D(_0693_),
     .RESET_B(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_clk_ctrl1.gen_bit_reg[16].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_2 _7569_ (.CLK(clknet_leaf_27_wbm_clk_i),
+    .Q(\u_clk_ctrl1.gen_bit_reg[24].u_bit_reg.data_out ));
+ sky130_fd_sc_hd__dfrtp_1 _7669_ (.CLK(clknet_leaf_3_wbm_clk_i),
     .D(_0694_),
     .RESET_B(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_clk_ctrl1.gen_bit_reg[15].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_2 _7570_ (.CLK(clknet_leaf_26_wbm_clk_i),
+    .Q(\u_clk_ctrl1.gen_bit_reg[23].u_bit_reg.data_out ));
+ sky130_fd_sc_hd__dfrtp_1 _7670_ (.CLK(clknet_leaf_16_wbm_clk_i),
     .D(_0695_),
-    .RESET_B(net183),
+    .RESET_B(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_clk_ctrl1.gen_bit_reg[14].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_2 _7571_ (.CLK(clknet_leaf_26_wbm_clk_i),
+    .Q(\u_clk_ctrl1.gen_bit_reg[22].u_bit_reg.data_out ));
+ sky130_fd_sc_hd__dfrtp_4 _7671_ (.CLK(clknet_leaf_17_wbm_clk_i),
     .D(_0696_),
-    .RESET_B(net183),
+    .RESET_B(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_clk_ctrl1.gen_bit_reg[13].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_4 _7572_ (.CLK(clknet_leaf_24_wbm_clk_i),
+    .Q(\u_clk_ctrl1.gen_bit_reg[21].u_bit_reg.data_out ));
+ sky130_fd_sc_hd__dfrtp_1 _7672_ (.CLK(clknet_leaf_16_wbm_clk_i),
     .D(_0697_),
-    .RESET_B(net183),
+    .RESET_B(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_clk_ctrl1.gen_bit_reg[12].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_2 _7573_ (.CLK(clknet_leaf_25_wbm_clk_i),
+    .Q(\u_clk_ctrl1.gen_bit_reg[20].u_bit_reg.data_out ));
+ sky130_fd_sc_hd__dfrtp_4 _7673_ (.CLK(clknet_leaf_15_wbm_clk_i),
     .D(_0698_),
-    .RESET_B(net183),
+    .RESET_B(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_clk_ctrl1.gen_bit_reg[11].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _7574_ (.CLK(clknet_leaf_29_wbm_clk_i),
+    .Q(\u_clk_ctrl1.gen_bit_reg[1].u_bit_reg.data_out ));
+ sky130_fd_sc_hd__dfrtp_4 _7674_ (.CLK(clknet_leaf_15_wbm_clk_i),
     .D(_0699_),
     .RESET_B(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_clk_ctrl1.gen_bit_reg[10].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_4 _7575_ (.CLK(clknet_leaf_27_wbm_clk_i),
+    .Q(\u_clk_ctrl1.gen_bit_reg[18].u_bit_reg.data_out ));
+ sky130_fd_sc_hd__dfrtp_4 _7675_ (.CLK(clknet_leaf_21_wbm_clk_i),
     .D(_0700_),
     .RESET_B(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_clk_ctrl1.gen_bit_reg[0].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _7576_ (.CLK(clknet_leaf_29_wbm_clk_i),
+    .Q(\u_clk_ctrl1.gen_bit_reg[17].u_bit_reg.data_out ));
+ sky130_fd_sc_hd__dfrtp_4 _7676_ (.CLK(clknet_leaf_21_wbm_clk_i),
     .D(_0701_),
     .RESET_B(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_clk_ctrl1.gen_bit_reg[8].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_2 _7577_ (.CLK(clknet_leaf_27_wbm_clk_i),
+    .Q(\u_clk_ctrl1.gen_bit_reg[16].u_bit_reg.data_out ));
+ sky130_fd_sc_hd__dfrtp_2 _7677_ (.CLK(clknet_leaf_21_wbm_clk_i),
     .D(_0702_),
-    .RESET_B(net185),
+    .RESET_B(net187),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_clk_ctrl1.gen_bit_reg[15].u_bit_reg.data_out ));
+ sky130_fd_sc_hd__dfrtp_1 _7678_ (.CLK(clknet_leaf_27_wbm_clk_i),
+    .D(_0703_),
+    .RESET_B(net184),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_clk_ctrl1.gen_bit_reg[14].u_bit_reg.data_out ));
+ sky130_fd_sc_hd__dfrtp_1 _7679_ (.CLK(clknet_leaf_25_wbm_clk_i),
+    .D(_0704_),
+    .RESET_B(net179),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_clk_ctrl1.gen_bit_reg[13].u_bit_reg.data_out ));
+ sky130_fd_sc_hd__dfrtp_1 _7680_ (.CLK(clknet_leaf_25_wbm_clk_i),
+    .D(_0705_),
+    .RESET_B(net179),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_clk_ctrl1.gen_bit_reg[12].u_bit_reg.data_out ));
+ sky130_fd_sc_hd__dfrtp_1 _7681_ (.CLK(clknet_leaf_26_wbm_clk_i),
+    .D(_0706_),
+    .RESET_B(net179),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_clk_ctrl1.gen_bit_reg[11].u_bit_reg.data_out ));
+ sky130_fd_sc_hd__dfrtp_1 _7682_ (.CLK(clknet_leaf_30_wbm_clk_i),
+    .D(_0707_),
+    .RESET_B(net179),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_clk_ctrl1.gen_bit_reg[10].u_bit_reg.data_out ));
+ sky130_fd_sc_hd__dfrtp_4 _7683_ (.CLK(clknet_leaf_34_wbm_clk_i),
+    .D(_0708_),
+    .RESET_B(net187),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_clk_ctrl1.gen_bit_reg[0].u_bit_reg.data_out ));
+ sky130_fd_sc_hd__dfrtp_1 _7684_ (.CLK(clknet_leaf_29_wbm_clk_i),
+    .D(_0709_),
+    .RESET_B(net179),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_clk_ctrl1.gen_bit_reg[8].u_bit_reg.data_out ));
+ sky130_fd_sc_hd__dfrtp_1 _7685_ (.CLK(clknet_leaf_29_wbm_clk_i),
+    .D(_0710_),
+    .RESET_B(net179),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_clk_ctrl1.gen_bit_reg[7].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_2 _7578_ (.CLK(clknet_leaf_28_wbm_clk_i),
-    .D(_0703_),
-    .RESET_B(net185),
+ sky130_fd_sc_hd__dfrtp_1 _7686_ (.CLK(clknet_leaf_31_wbm_clk_i),
+    .D(_0711_),
+    .RESET_B(net179),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_clk_ctrl1.gen_bit_reg[6].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _7579_ (.CLK(clknet_leaf_32_wbm_clk_i),
-    .D(_0704_),
-    .RESET_B(net185),
+ sky130_fd_sc_hd__dfrtp_1 _7687_ (.CLK(clknet_leaf_29_wbm_clk_i),
+    .D(_0712_),
+    .RESET_B(net179),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_clk_ctrl1.gen_bit_reg[5].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _7580_ (.CLK(clknet_leaf_32_wbm_clk_i),
-    .D(_0705_),
-    .RESET_B(net185),
+ sky130_fd_sc_hd__dfrtp_1 _7688_ (.CLK(clknet_leaf_33_wbm_clk_i),
+    .D(_0713_),
+    .RESET_B(net179),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_clk_ctrl1.gen_bit_reg[4].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_2 _7581_ (.CLK(clknet_leaf_32_wbm_clk_i),
-    .D(_0706_),
-    .RESET_B(net185),
+ sky130_fd_sc_hd__dfrtp_2 _7689_ (.CLK(clknet_leaf_29_wbm_clk_i),
+    .D(_0714_),
+    .RESET_B(net179),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_clk_ctrl1.gen_bit_reg[3].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_2 _7582_ (.CLK(clknet_leaf_4_wbm_clk_i),
-    .D(_0707_),
+ sky130_fd_sc_hd__dfrtp_2 _7690_ (.CLK(clknet_leaf_5_wbm_clk_i),
+    .D(_0715_),
     .RESET_B(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_clk_ctrl1.gen_bit_reg[31].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_4 _7583_ (.CLK(clknet_leaf_4_wbm_clk_i),
-    .D(_0708_),
+ sky130_fd_sc_hd__dfrtp_1 _7691_ (.CLK(clknet_leaf_0_wbm_clk_i),
+    .D(_0716_),
     .RESET_B(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_clk_ctrl1.gen_bit_reg[29].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_4 _7584_ (.CLK(clknet_leaf_15_wbm_clk_i),
-    .D(_0709_),
-    .RESET_B(net184),
+ sky130_fd_sc_hd__dfrtp_4 _7692_ (.CLK(clknet_leaf_15_wbm_clk_i),
+    .D(_0717_),
+    .RESET_B(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_clk_ctrl1.gen_bit_reg[19].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_2 _7585_ (.CLK(clknet_leaf_34_wbm_clk_i),
-    .D(_0710_),
-    .RESET_B(net185),
+ sky130_fd_sc_hd__dfrtp_1 _7693_ (.CLK(clknet_leaf_33_wbm_clk_i),
+    .D(_0718_),
+    .RESET_B(net187),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_async_wb.m_cmd_wr_data[68] ));
- sky130_fd_sc_hd__dfrtp_1 _7586_ (.CLK(clknet_leaf_9_wbm_clk_i),
-    .D(_0711_),
-    .RESET_B(net184),
+ sky130_fd_sc_hd__dfrtp_1 _7694_ (.CLK(clknet_leaf_17_wbm_clk_i),
+    .D(_0719_),
+    .RESET_B(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_clk_ctrl2.gen_bit_reg[30].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_4 _7587_ (.CLK(clknet_leaf_14_wbm_clk_i),
-    .D(_0712_),
-    .RESET_B(net184),
+ sky130_fd_sc_hd__dfrtp_4 _7695_ (.CLK(clknet_leaf_15_wbm_clk_i),
+    .D(_0720_),
+    .RESET_B(net187),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_clk_ctrl2.gen_bit_reg[2].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _7588_ (.CLK(clknet_leaf_10_wbm_clk_i),
-    .D(_0713_),
-    .RESET_B(net184),
+ sky130_fd_sc_hd__dfrtp_1 _7696_ (.CLK(clknet_leaf_5_wbm_clk_i),
+    .D(_0721_),
+    .RESET_B(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_clk_ctrl2.gen_bit_reg[28].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_4 _7589_ (.CLK(clknet_leaf_0_wbm_clk_i),
-    .D(_0714_),
-    .RESET_B(net180),
+ sky130_fd_sc_hd__dfrtp_4 _7697_ (.CLK(clknet_leaf_72_wbm_clk_i),
+    .D(_0722_),
+    .RESET_B(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_clk_ctrl2.gen_bit_reg[27].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_4 _7590_ (.CLK(clknet_leaf_64_wbm_clk_i),
-    .D(_0715_),
-    .RESET_B(net180),
+ sky130_fd_sc_hd__dfrtp_4 _7698_ (.CLK(clknet_leaf_72_wbm_clk_i),
+    .D(_0723_),
+    .RESET_B(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_clk_ctrl2.gen_bit_reg[26].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_4 _7591_ (.CLK(clknet_leaf_0_wbm_clk_i),
-    .D(_0716_),
-    .RESET_B(net180),
+ sky130_fd_sc_hd__dfrtp_4 _7699_ (.CLK(clknet_leaf_72_wbm_clk_i),
+    .D(_0724_),
+    .RESET_B(net185),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_clk_ctrl2.gen_bit_reg[25].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_4 _7592_ (.CLK(clknet_leaf_64_wbm_clk_i),
-    .D(_0717_),
-    .RESET_B(net180),
+ sky130_fd_sc_hd__dfrtp_4 _7700_ (.CLK(clknet_leaf_72_wbm_clk_i),
+    .D(_0725_),
+    .RESET_B(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_clk_ctrl2.gen_bit_reg[24].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_4 _7593_ (.CLK(clknet_leaf_2_wbm_clk_i),
-    .D(_0718_),
-    .RESET_B(net180),
+ sky130_fd_sc_hd__dfrtp_4 _7701_ (.CLK(clknet_leaf_72_wbm_clk_i),
+    .D(_0726_),
+    .RESET_B(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_clk_ctrl2.gen_bit_reg[23].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_4 _7594_ (.CLK(clknet_leaf_65_wbm_clk_i),
-    .D(_0719_),
-    .RESET_B(net180),
+ sky130_fd_sc_hd__dfrtp_4 _7702_ (.CLK(clknet_leaf_72_wbm_clk_i),
+    .D(_0727_),
+    .RESET_B(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_clk_ctrl2.gen_bit_reg[22].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_4 _7595_ (.CLK(clknet_leaf_65_wbm_clk_i),
-    .D(_0720_),
-    .RESET_B(net180),
+ sky130_fd_sc_hd__dfrtp_4 _7703_ (.CLK(clknet_leaf_72_wbm_clk_i),
+    .D(_0728_),
+    .RESET_B(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_clk_ctrl2.gen_bit_reg[21].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_4 _7596_ (.CLK(clknet_leaf_65_wbm_clk_i),
-    .D(_0721_),
-    .RESET_B(net180),
+ sky130_fd_sc_hd__dfrtp_4 _7704_ (.CLK(clknet_leaf_72_wbm_clk_i),
+    .D(_0729_),
+    .RESET_B(net181),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_clk_ctrl2.gen_bit_reg[20].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _7597_ (.CLK(clknet_leaf_13_wbm_clk_i),
-    .D(_0722_),
-    .RESET_B(net184),
+ sky130_fd_sc_hd__dfrtp_4 _7705_ (.CLK(clknet_leaf_13_wbm_clk_i),
+    .D(_0730_),
+    .RESET_B(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_clk_ctrl2.gen_bit_reg[1].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_2 _7598_ (.CLK(clknet_leaf_19_wbm_clk_i),
-    .D(_0723_),
-    .RESET_B(net182),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_clk_ctrl2.gen_bit_reg[18].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _7599_ (.CLK(clknet_leaf_19_wbm_clk_i),
-    .D(_0724_),
-    .RESET_B(net182),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_clk_ctrl2.gen_bit_reg[17].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_2 _7600_ (.CLK(clknet_leaf_19_wbm_clk_i),
-    .D(_0725_),
-    .RESET_B(net182),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_clk_ctrl2.gen_bit_reg[16].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_2 _7601_ (.CLK(clknet_leaf_26_wbm_clk_i),
-    .D(_0726_),
-    .RESET_B(net183),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_clk_ctrl2.gen_bit_reg[15].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_2 _7602_ (.CLK(clknet_leaf_26_wbm_clk_i),
-    .D(_0727_),
-    .RESET_B(net183),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_clk_ctrl2.gen_bit_reg[14].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_2 _7603_ (.CLK(clknet_leaf_25_wbm_clk_i),
-    .D(_0728_),
-    .RESET_B(net183),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_clk_ctrl2.gen_bit_reg[13].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_2 _7604_ (.CLK(clknet_leaf_26_wbm_clk_i),
-    .D(_0729_),
-    .RESET_B(net183),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_clk_ctrl2.gen_bit_reg[12].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _7605_ (.CLK(clknet_leaf_25_wbm_clk_i),
-    .D(_0730_),
-    .RESET_B(net183),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_clk_ctrl2.gen_bit_reg[11].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _7606_ (.CLK(clknet_leaf_25_wbm_clk_i),
+ sky130_fd_sc_hd__dfrtp_4 _7706_ (.CLK(clknet_leaf_18_wbm_clk_i),
     .D(_0731_),
     .RESET_B(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_clk_ctrl2.gen_bit_reg[10].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_4 _7607_ (.CLK(clknet_leaf_27_wbm_clk_i),
+    .Q(\u_clk_ctrl2.gen_bit_reg[18].u_bit_reg.data_out ));
+ sky130_fd_sc_hd__dfrtp_4 _7707_ (.CLK(clknet_leaf_18_wbm_clk_i),
     .D(_0732_),
     .RESET_B(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_clk_ctrl2.gen_bit_reg[0].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _7608_ (.CLK(clknet_leaf_29_wbm_clk_i),
+    .Q(\u_clk_ctrl2.gen_bit_reg[17].u_bit_reg.data_out ));
+ sky130_fd_sc_hd__dfrtp_4 _7708_ (.CLK(clknet_leaf_18_wbm_clk_i),
     .D(_0733_),
     .RESET_B(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_clk_ctrl2.gen_bit_reg[8].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_2 _7609_ (.CLK(clknet_leaf_27_wbm_clk_i),
+    .Q(\u_clk_ctrl2.gen_bit_reg[16].u_bit_reg.data_out ));
+ sky130_fd_sc_hd__dfrtp_1 _7709_ (.CLK(clknet_leaf_27_wbm_clk_i),
     .D(_0734_),
+    .RESET_B(net184),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_clk_ctrl2.gen_bit_reg[15].u_bit_reg.data_out ));
+ sky130_fd_sc_hd__dfrtp_1 _7710_ (.CLK(clknet_leaf_22_wbm_clk_i),
+    .D(_0735_),
+    .RESET_B(net184),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_clk_ctrl2.gen_bit_reg[14].u_bit_reg.data_out ));
+ sky130_fd_sc_hd__dfrtp_2 _7711_ (.CLK(clknet_leaf_25_wbm_clk_i),
+    .D(_0736_),
+    .RESET_B(net184),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_clk_ctrl2.gen_bit_reg[13].u_bit_reg.data_out ));
+ sky130_fd_sc_hd__dfrtp_2 _7712_ (.CLK(clknet_leaf_24_wbm_clk_i),
+    .D(_0737_),
+    .RESET_B(net184),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_clk_ctrl2.gen_bit_reg[12].u_bit_reg.data_out ));
+ sky130_fd_sc_hd__dfrtp_1 _7713_ (.CLK(clknet_leaf_26_wbm_clk_i),
+    .D(_0738_),
+    .RESET_B(net179),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_clk_ctrl2.gen_bit_reg[11].u_bit_reg.data_out ));
+ sky130_fd_sc_hd__dfrtp_1 _7714_ (.CLK(clknet_leaf_30_wbm_clk_i),
+    .D(_0739_),
+    .RESET_B(net179),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_clk_ctrl2.gen_bit_reg[10].u_bit_reg.data_out ));
+ sky130_fd_sc_hd__dfrtp_4 _7715_ (.CLK(clknet_leaf_34_wbm_clk_i),
+    .D(_0740_),
     .RESET_B(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .Q(\u_clk_ctrl2.gen_bit_reg[0].u_bit_reg.data_out ));
+ sky130_fd_sc_hd__dfrtp_1 _7716_ (.CLK(clknet_leaf_30_wbm_clk_i),
+    .D(_0741_),
+    .RESET_B(net179),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_clk_ctrl2.gen_bit_reg[8].u_bit_reg.data_out ));
+ sky130_fd_sc_hd__dfrtp_2 _7717_ (.CLK(clknet_leaf_28_wbm_clk_i),
+    .D(_0742_),
+    .RESET_B(net184),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .Q(\u_clk_ctrl2.gen_bit_reg[7].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_2 _7610_ (.CLK(clknet_leaf_33_wbm_clk_i),
-    .D(_0735_),
-    .RESET_B(net183),
+ sky130_fd_sc_hd__dfrtp_2 _7718_ (.CLK(clknet_leaf_28_wbm_clk_i),
+    .D(_0743_),
+    .RESET_B(net184),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_clk_ctrl2.gen_bit_reg[6].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_2 _7611_ (.CLK(clknet_leaf_32_wbm_clk_i),
-    .D(_0736_),
-    .RESET_B(net185),
+ sky130_fd_sc_hd__dfrtp_2 _7719_ (.CLK(clknet_leaf_29_wbm_clk_i),
+    .D(_0744_),
+    .RESET_B(net184),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_clk_ctrl2.gen_bit_reg[5].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_2 _7612_ (.CLK(clknet_leaf_32_wbm_clk_i),
-    .D(_0737_),
-    .RESET_B(net183),
+ sky130_fd_sc_hd__dfrtp_2 _7720_ (.CLK(clknet_leaf_34_wbm_clk_i),
+    .D(_0745_),
+    .RESET_B(net184),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_clk_ctrl2.gen_bit_reg[4].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_4 _7613_ (.CLK(clknet_leaf_15_wbm_clk_i),
-    .D(_0738_),
+ sky130_fd_sc_hd__dfrtp_4 _7721_ (.CLK(clknet_leaf_14_wbm_clk_i),
+    .D(_0746_),
     .RESET_B(net183),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_clk_ctrl2.gen_bit_reg[3].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _7614_ (.CLK(clknet_leaf_10_wbm_clk_i),
-    .D(_0739_),
-    .RESET_B(net184),
+ sky130_fd_sc_hd__dfrtp_1 _7722_ (.CLK(clknet_leaf_13_wbm_clk_i),
+    .D(_0747_),
+    .RESET_B(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_clk_ctrl2.gen_bit_reg[31].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _7615_ (.CLK(clknet_leaf_10_wbm_clk_i),
-    .D(_0740_),
-    .RESET_B(net184),
+ sky130_fd_sc_hd__dfrtp_1 _7723_ (.CLK(clknet_leaf_16_wbm_clk_i),
+    .D(_0748_),
+    .RESET_B(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_clk_ctrl2.gen_bit_reg[29].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_1 _7616_ (.CLK(clknet_leaf_16_wbm_clk_i),
-    .D(_0741_),
-    .RESET_B(net184),
+ sky130_fd_sc_hd__dfrtp_4 _7724_ (.CLK(clknet_leaf_5_wbm_clk_i),
+    .D(_0749_),
+    .RESET_B(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_clk_ctrl2.gen_bit_reg[19].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfrtp_2 _7617_ (.CLK(clknet_leaf_27_wbm_clk_i),
-    .D(_0742_),
-    .RESET_B(net183),
+ sky130_fd_sc_hd__dfrtp_2 _7725_ (.CLK(clknet_leaf_28_wbm_clk_i),
+    .D(_0750_),
+    .RESET_B(net187),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .Q(\u_clk_ctrl1.gen_bit_reg[9].u_bit_reg.data_out ));
- sky130_fd_sc_hd__dfxtp_1 _7618_ (.CLK(clknet_leaf_40_wbm_clk_i),
-    .D(_0743_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][0] ));
- sky130_fd_sc_hd__dfxtp_1 _7619_ (.CLK(clknet_leaf_40_wbm_clk_i),
-    .D(_0744_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][1] ));
- sky130_fd_sc_hd__dfxtp_1 _7620_ (.CLK(clknet_leaf_46_wbm_clk_i),
-    .D(_0745_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][2] ));
- sky130_fd_sc_hd__dfxtp_1 _7621_ (.CLK(clknet_leaf_47_wbm_clk_i),
-    .D(_0746_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][3] ));
- sky130_fd_sc_hd__dfxtp_1 _7622_ (.CLK(clknet_leaf_46_wbm_clk_i),
-    .D(_0747_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][4] ));
- sky130_fd_sc_hd__dfxtp_1 _7623_ (.CLK(clknet_leaf_46_wbm_clk_i),
-    .D(_0748_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][5] ));
- sky130_fd_sc_hd__dfxtp_1 _7624_ (.CLK(clknet_leaf_38_wbm_clk_i),
-    .D(_0749_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][6] ));
- sky130_fd_sc_hd__dfxtp_1 _7625_ (.CLK(clknet_leaf_41_wbm_clk_i),
-    .D(_0750_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][7] ));
- sky130_fd_sc_hd__dfxtp_1 _7626_ (.CLK(clknet_leaf_41_wbm_clk_i),
+ sky130_fd_sc_hd__dfxtp_1 _7726_ (.CLK(clknet_leaf_50_wbm_clk_i),
     .D(_0751_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][8] ));
- sky130_fd_sc_hd__dfxtp_1 _7627_ (.CLK(clknet_leaf_42_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][0] ));
+ sky130_fd_sc_hd__dfxtp_1 _7727_ (.CLK(clknet_leaf_42_wbm_clk_i),
     .D(_0752_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][9] ));
- sky130_fd_sc_hd__dfxtp_1 _7628_ (.CLK(clknet_leaf_42_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][1] ));
+ sky130_fd_sc_hd__dfxtp_1 _7728_ (.CLK(clknet_leaf_41_wbm_clk_i),
     .D(_0753_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][10] ));
- sky130_fd_sc_hd__dfxtp_1 _7629_ (.CLK(clknet_leaf_28_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][2] ));
+ sky130_fd_sc_hd__dfxtp_1 _7729_ (.CLK(clknet_leaf_51_wbm_clk_i),
     .D(_0754_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][11] ));
- sky130_fd_sc_hd__dfxtp_1 _7630_ (.CLK(clknet_leaf_29_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][3] ));
+ sky130_fd_sc_hd__dfxtp_1 _7730_ (.CLK(clknet_leaf_40_wbm_clk_i),
     .D(_0755_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][12] ));
- sky130_fd_sc_hd__dfxtp_1 _7631_ (.CLK(clknet_leaf_25_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][4] ));
+ sky130_fd_sc_hd__dfxtp_1 _7731_ (.CLK(clknet_leaf_39_wbm_clk_i),
     .D(_0756_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][13] ));
- sky130_fd_sc_hd__dfxtp_1 _7632_ (.CLK(clknet_leaf_25_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][5] ));
+ sky130_fd_sc_hd__dfxtp_1 _7732_ (.CLK(clknet_leaf_39_wbm_clk_i),
     .D(_0757_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][14] ));
- sky130_fd_sc_hd__dfxtp_1 _7633_ (.CLK(clknet_leaf_24_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][6] ));
+ sky130_fd_sc_hd__dfxtp_1 _7733_ (.CLK(clknet_leaf_45_wbm_clk_i),
     .D(_0758_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][15] ));
- sky130_fd_sc_hd__dfxtp_1 _7634_ (.CLK(clknet_leaf_24_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][7] ));
+ sky130_fd_sc_hd__dfxtp_1 _7734_ (.CLK(clknet_leaf_42_wbm_clk_i),
     .D(_0759_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][16] ));
- sky130_fd_sc_hd__dfxtp_1 _7635_ (.CLK(clknet_leaf_23_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][8] ));
+ sky130_fd_sc_hd__dfxtp_1 _7735_ (.CLK(clknet_leaf_45_wbm_clk_i),
     .D(_0760_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][17] ));
- sky130_fd_sc_hd__dfxtp_1 _7636_ (.CLK(clknet_leaf_23_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][9] ));
+ sky130_fd_sc_hd__dfxtp_1 _7736_ (.CLK(clknet_leaf_44_wbm_clk_i),
     .D(_0761_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][18] ));
- sky130_fd_sc_hd__dfxtp_1 _7637_ (.CLK(clknet_leaf_21_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][10] ));
+ sky130_fd_sc_hd__dfxtp_1 _7737_ (.CLK(clknet_leaf_28_wbm_clk_i),
     .D(_0762_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][19] ));
- sky130_fd_sc_hd__dfxtp_1 _7638_ (.CLK(clknet_leaf_21_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][11] ));
+ sky130_fd_sc_hd__dfxtp_1 _7738_ (.CLK(clknet_leaf_26_wbm_clk_i),
     .D(_0763_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][20] ));
- sky130_fd_sc_hd__dfxtp_1 _7639_ (.CLK(clknet_leaf_20_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][12] ));
+ sky130_fd_sc_hd__dfxtp_1 _7739_ (.CLK(clknet_leaf_27_wbm_clk_i),
     .D(_0764_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][21] ));
- sky130_fd_sc_hd__dfxtp_1 _7640_ (.CLK(clknet_leaf_20_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][13] ));
+ sky130_fd_sc_hd__dfxtp_1 _7740_ (.CLK(clknet_leaf_26_wbm_clk_i),
     .D(_0765_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][22] ));
- sky130_fd_sc_hd__dfxtp_1 _7641_ (.CLK(clknet_leaf_20_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][14] ));
+ sky130_fd_sc_hd__dfxtp_1 _7741_ (.CLK(clknet_leaf_25_wbm_clk_i),
     .D(_0766_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][23] ));
- sky130_fd_sc_hd__dfxtp_1 _7642_ (.CLK(clknet_leaf_18_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][15] ));
+ sky130_fd_sc_hd__dfxtp_1 _7742_ (.CLK(clknet_leaf_24_wbm_clk_i),
     .D(_0767_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][24] ));
- sky130_fd_sc_hd__dfxtp_1 _7643_ (.CLK(clknet_leaf_18_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][16] ));
+ sky130_fd_sc_hd__dfxtp_1 _7743_ (.CLK(clknet_leaf_24_wbm_clk_i),
     .D(_0768_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][25] ));
- sky130_fd_sc_hd__dfxtp_1 _7644_ (.CLK(clknet_leaf_18_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][17] ));
+ sky130_fd_sc_hd__dfxtp_1 _7744_ (.CLK(clknet_leaf_23_wbm_clk_i),
     .D(_0769_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][26] ));
- sky130_fd_sc_hd__dfxtp_1 _7645_ (.CLK(clknet_leaf_17_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][18] ));
+ sky130_fd_sc_hd__dfxtp_1 _7745_ (.CLK(clknet_leaf_22_wbm_clk_i),
     .D(_0770_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][27] ));
- sky130_fd_sc_hd__dfxtp_1 _7646_ (.CLK(clknet_leaf_6_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][19] ));
+ sky130_fd_sc_hd__dfxtp_1 _7746_ (.CLK(clknet_leaf_21_wbm_clk_i),
     .D(_0771_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][28] ));
- sky130_fd_sc_hd__dfxtp_1 _7647_ (.CLK(clknet_leaf_17_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][20] ));
+ sky130_fd_sc_hd__dfxtp_1 _7747_ (.CLK(clknet_leaf_20_wbm_clk_i),
     .D(_0772_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][29] ));
- sky130_fd_sc_hd__dfxtp_1 _7648_ (.CLK(clknet_leaf_6_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][21] ));
+ sky130_fd_sc_hd__dfxtp_1 _7748_ (.CLK(clknet_leaf_20_wbm_clk_i),
     .D(_0773_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][30] ));
- sky130_fd_sc_hd__dfxtp_1 _7649_ (.CLK(clknet_leaf_6_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][22] ));
+ sky130_fd_sc_hd__dfxtp_1 _7749_ (.CLK(clknet_leaf_15_wbm_clk_i),
     .D(_0774_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][31] ));
- sky130_fd_sc_hd__dfxtp_1 _7650_ (.CLK(clknet_leaf_5_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][23] ));
+ sky130_fd_sc_hd__dfxtp_1 _7750_ (.CLK(clknet_leaf_18_wbm_clk_i),
     .D(_0775_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][32] ));
- sky130_fd_sc_hd__dfxtp_1 _7651_ (.CLK(clknet_leaf_5_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][24] ));
+ sky130_fd_sc_hd__dfxtp_1 _7751_ (.CLK(clknet_leaf_17_wbm_clk_i),
     .D(_0776_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][33] ));
- sky130_fd_sc_hd__dfxtp_1 _7652_ (.CLK(clknet_leaf_6_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][25] ));
+ sky130_fd_sc_hd__dfxtp_1 _7752_ (.CLK(clknet_leaf_4_wbm_clk_i),
     .D(_0777_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][34] ));
- sky130_fd_sc_hd__dfxtp_1 _7653_ (.CLK(clknet_leaf_10_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][26] ));
+ sky130_fd_sc_hd__dfxtp_1 _7753_ (.CLK(clknet_leaf_3_wbm_clk_i),
     .D(_0778_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][35] ));
- sky130_fd_sc_hd__dfxtp_1 _7654_ (.CLK(clknet_leaf_11_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][27] ));
+ sky130_fd_sc_hd__dfxtp_1 _7754_ (.CLK(clknet_leaf_2_wbm_clk_i),
     .D(_0779_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][36] ));
- sky130_fd_sc_hd__dfxtp_1 _7655_ (.CLK(clknet_leaf_55_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][28] ));
+ sky130_fd_sc_hd__dfxtp_1 _7755_ (.CLK(clknet_leaf_4_wbm_clk_i),
     .D(_0780_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][37] ));
- sky130_fd_sc_hd__dfxtp_1 _7656_ (.CLK(clknet_leaf_57_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][29] ));
+ sky130_fd_sc_hd__dfxtp_1 _7756_ (.CLK(clknet_leaf_4_wbm_clk_i),
     .D(_0781_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][38] ));
- sky130_fd_sc_hd__dfxtp_1 _7657_ (.CLK(clknet_leaf_8_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][30] ));
+ sky130_fd_sc_hd__dfxtp_1 _7757_ (.CLK(clknet_leaf_6_wbm_clk_i),
     .D(_0782_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][39] ));
- sky130_fd_sc_hd__dfxtp_1 _7658_ (.CLK(clknet_leaf_7_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][31] ));
+ sky130_fd_sc_hd__dfxtp_1 _7758_ (.CLK(clknet_leaf_7_wbm_clk_i),
     .D(_0783_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][40] ));
- sky130_fd_sc_hd__dfxtp_1 _7659_ (.CLK(clknet_leaf_57_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][32] ));
+ sky130_fd_sc_hd__dfxtp_1 _7759_ (.CLK(clknet_leaf_7_wbm_clk_i),
     .D(_0784_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][41] ));
- sky130_fd_sc_hd__dfxtp_1 _7660_ (.CLK(clknet_leaf_8_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][33] ));
+ sky130_fd_sc_hd__dfxtp_1 _7760_ (.CLK(clknet_leaf_0_wbm_clk_i),
     .D(_0785_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][42] ));
- sky130_fd_sc_hd__dfxtp_1 _7661_ (.CLK(clknet_leaf_1_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][34] ));
+ sky130_fd_sc_hd__dfxtp_1 _7761_ (.CLK(clknet_leaf_9_wbm_clk_i),
     .D(_0786_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][43] ));
- sky130_fd_sc_hd__dfxtp_1 _7662_ (.CLK(clknet_leaf_3_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][35] ));
+ sky130_fd_sc_hd__dfxtp_1 _7762_ (.CLK(clknet_leaf_13_wbm_clk_i),
     .D(_0787_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][44] ));
- sky130_fd_sc_hd__dfxtp_1 _7663_ (.CLK(clknet_leaf_2_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][36] ));
+ sky130_fd_sc_hd__dfxtp_1 _7763_ (.CLK(clknet_leaf_9_wbm_clk_i),
     .D(_0788_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][45] ));
- sky130_fd_sc_hd__dfxtp_1 _7664_ (.CLK(clknet_leaf_2_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][37] ));
+ sky130_fd_sc_hd__dfxtp_1 _7764_ (.CLK(clknet_leaf_8_wbm_clk_i),
     .D(_0789_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][46] ));
- sky130_fd_sc_hd__dfxtp_1 _7665_ (.CLK(clknet_leaf_1_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][38] ));
+ sky130_fd_sc_hd__dfxtp_1 _7765_ (.CLK(clknet_leaf_10_wbm_clk_i),
     .D(_0790_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][47] ));
- sky130_fd_sc_hd__dfxtp_1 _7666_ (.CLK(clknet_leaf_65_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][39] ));
+ sky130_fd_sc_hd__dfxtp_1 _7766_ (.CLK(clknet_leaf_63_wbm_clk_i),
     .D(_0791_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][48] ));
- sky130_fd_sc_hd__dfxtp_1 _7667_ (.CLK(clknet_leaf_0_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][40] ));
+ sky130_fd_sc_hd__dfxtp_1 _7767_ (.CLK(clknet_leaf_65_wbm_clk_i),
     .D(_0792_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][49] ));
- sky130_fd_sc_hd__dfxtp_1 _7668_ (.CLK(clknet_leaf_0_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][41] ));
+ sky130_fd_sc_hd__dfxtp_1 _7768_ (.CLK(clknet_leaf_7_wbm_clk_i),
     .D(_0793_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][50] ));
- sky130_fd_sc_hd__dfxtp_1 _7669_ (.CLK(clknet_leaf_58_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][42] ));
+ sky130_fd_sc_hd__dfxtp_1 _7769_ (.CLK(clknet_leaf_65_wbm_clk_i),
     .D(_0794_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][51] ));
- sky130_fd_sc_hd__dfxtp_1 _7670_ (.CLK(clknet_leaf_56_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][43] ));
+ sky130_fd_sc_hd__dfxtp_1 _7770_ (.CLK(clknet_leaf_65_wbm_clk_i),
     .D(_0795_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][52] ));
- sky130_fd_sc_hd__dfxtp_1 _7671_ (.CLK(clknet_leaf_59_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][44] ));
+ sky130_fd_sc_hd__dfxtp_1 _7771_ (.CLK(clknet_leaf_71_wbm_clk_i),
     .D(_0796_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][53] ));
- sky130_fd_sc_hd__dfxtp_1 _7672_ (.CLK(clknet_leaf_59_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][45] ));
+ sky130_fd_sc_hd__dfxtp_1 _7772_ (.CLK(clknet_leaf_66_wbm_clk_i),
     .D(_0797_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][54] ));
- sky130_fd_sc_hd__dfxtp_1 _7673_ (.CLK(clknet_leaf_60_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][46] ));
+ sky130_fd_sc_hd__dfxtp_1 _7773_ (.CLK(clknet_leaf_66_wbm_clk_i),
     .D(_0798_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][55] ));
- sky130_fd_sc_hd__dfxtp_1 _7674_ (.CLK(clknet_leaf_61_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][47] ));
+ sky130_fd_sc_hd__dfxtp_1 _7774_ (.CLK(clknet_leaf_68_wbm_clk_i),
     .D(_0799_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][56] ));
- sky130_fd_sc_hd__dfxtp_1 _7675_ (.CLK(clknet_leaf_62_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][48] ));
+ sky130_fd_sc_hd__dfxtp_1 _7775_ (.CLK(clknet_leaf_67_wbm_clk_i),
     .D(_0800_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][57] ));
- sky130_fd_sc_hd__dfxtp_1 _7676_ (.CLK(clknet_leaf_61_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][49] ));
+ sky130_fd_sc_hd__dfxtp_1 _7776_ (.CLK(clknet_leaf_68_wbm_clk_i),
     .D(_0801_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][58] ));
- sky130_fd_sc_hd__dfxtp_1 _7677_ (.CLK(clknet_leaf_37_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][50] ));
+ sky130_fd_sc_hd__dfxtp_1 _7777_ (.CLK(clknet_leaf_67_wbm_clk_i),
     .D(_0802_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][59] ));
- sky130_fd_sc_hd__dfxtp_1 _7678_ (.CLK(clknet_leaf_46_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][51] ));
+ sky130_fd_sc_hd__dfxtp_1 _7778_ (.CLK(clknet_leaf_59_wbm_clk_i),
     .D(_0803_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][60] ));
- sky130_fd_sc_hd__dfxtp_1 _7679_ (.CLK(clknet_leaf_38_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][52] ));
+ sky130_fd_sc_hd__dfxtp_1 _7779_ (.CLK(clknet_leaf_58_wbm_clk_i),
     .D(_0804_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][61] ));
- sky130_fd_sc_hd__dfxtp_1 _7680_ (.CLK(clknet_leaf_37_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][53] ));
+ sky130_fd_sc_hd__dfxtp_1 _7780_ (.CLK(clknet_leaf_59_wbm_clk_i),
     .D(_0805_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][62] ));
- sky130_fd_sc_hd__dfxtp_1 _7681_ (.CLK(clknet_leaf_38_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][54] ));
+ sky130_fd_sc_hd__dfxtp_1 _7781_ (.CLK(clknet_leaf_64_wbm_clk_i),
     .D(_0806_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][63] ));
- sky130_fd_sc_hd__dfxtp_1 _7682_ (.CLK(clknet_leaf_35_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][55] ));
+ sky130_fd_sc_hd__dfxtp_1 _7782_ (.CLK(clknet_leaf_62_wbm_clk_i),
     .D(_0807_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][64] ));
- sky130_fd_sc_hd__dfxtp_1 _7683_ (.CLK(clknet_leaf_39_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][56] ));
+ sky130_fd_sc_hd__dfxtp_1 _7783_ (.CLK(clknet_leaf_64_wbm_clk_i),
     .D(_0808_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][65] ));
- sky130_fd_sc_hd__dfxtp_1 _7684_ (.CLK(clknet_leaf_41_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][57] ));
+ sky130_fd_sc_hd__dfxtp_1 _7784_ (.CLK(clknet_leaf_62_wbm_clk_i),
     .D(_0809_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][66] ));
- sky130_fd_sc_hd__dfxtp_1 _7685_ (.CLK(clknet_leaf_38_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][58] ));
+ sky130_fd_sc_hd__dfxtp_1 _7785_ (.CLK(clknet_leaf_38_wbm_clk_i),
     .D(_0810_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][67] ));
- sky130_fd_sc_hd__dfxtp_1 _7686_ (.CLK(clknet_leaf_40_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][59] ));
+ sky130_fd_sc_hd__dfxtp_1 _7786_ (.CLK(clknet_leaf_51_wbm_clk_i),
     .D(_0811_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[1][68] ));
- sky130_fd_sc_hd__dfxtp_1 _7687_ (.CLK(clknet_leaf_45_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][60] ));
+ sky130_fd_sc_hd__dfxtp_1 _7787_ (.CLK(clknet_leaf_36_wbm_clk_i),
     .D(_0812_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][0] ));
- sky130_fd_sc_hd__dfxtp_1 _7688_ (.CLK(clknet_leaf_40_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][61] ));
+ sky130_fd_sc_hd__dfxtp_1 _7788_ (.CLK(clknet_leaf_37_wbm_clk_i),
     .D(_0813_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][1] ));
- sky130_fd_sc_hd__dfxtp_1 _7689_ (.CLK(clknet_leaf_46_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][62] ));
+ sky130_fd_sc_hd__dfxtp_1 _7789_ (.CLK(clknet_leaf_36_wbm_clk_i),
     .D(_0814_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][2] ));
- sky130_fd_sc_hd__dfxtp_1 _7690_ (.CLK(clknet_leaf_37_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][63] ));
+ sky130_fd_sc_hd__dfxtp_1 _7790_ (.CLK(clknet_leaf_36_wbm_clk_i),
     .D(_0815_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][3] ));
- sky130_fd_sc_hd__dfxtp_1 _7691_ (.CLK(clknet_leaf_37_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][64] ));
+ sky130_fd_sc_hd__dfxtp_1 _7791_ (.CLK(clknet_leaf_44_wbm_clk_i),
     .D(_0816_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][4] ));
- sky130_fd_sc_hd__dfxtp_1 _7692_ (.CLK(clknet_leaf_37_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][65] ));
+ sky130_fd_sc_hd__dfxtp_1 _7792_ (.CLK(clknet_leaf_32_wbm_clk_i),
     .D(_0817_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][5] ));
- sky130_fd_sc_hd__dfxtp_1 _7693_ (.CLK(clknet_leaf_38_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][66] ));
+ sky130_fd_sc_hd__dfxtp_1 _7793_ (.CLK(clknet_leaf_32_wbm_clk_i),
     .D(_0818_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][6] ));
- sky130_fd_sc_hd__dfxtp_1 _7694_ (.CLK(clknet_leaf_41_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][67] ));
+ sky130_fd_sc_hd__dfxtp_1 _7794_ (.CLK(clknet_leaf_42_wbm_clk_i),
     .D(_0819_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][7] ));
- sky130_fd_sc_hd__dfxtp_1 _7695_ (.CLK(clknet_leaf_41_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[1][68] ));
+ sky130_fd_sc_hd__dfxtp_1 _7795_ (.CLK(clknet_leaf_51_wbm_clk_i),
     .D(_0820_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][8] ));
- sky130_fd_sc_hd__dfxtp_1 _7696_ (.CLK(clknet_leaf_41_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][0] ));
+ sky130_fd_sc_hd__dfxtp_1 _7796_ (.CLK(clknet_leaf_41_wbm_clk_i),
     .D(_0821_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][9] ));
- sky130_fd_sc_hd__dfxtp_1 _7697_ (.CLK(clknet_leaf_42_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][1] ));
+ sky130_fd_sc_hd__dfxtp_1 _7797_ (.CLK(clknet_leaf_40_wbm_clk_i),
     .D(_0822_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][10] ));
- sky130_fd_sc_hd__dfxtp_1 _7698_ (.CLK(clknet_leaf_28_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][2] ));
+ sky130_fd_sc_hd__dfxtp_1 _7798_ (.CLK(clknet_leaf_38_wbm_clk_i),
     .D(_0823_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][11] ));
- sky130_fd_sc_hd__dfxtp_1 _7699_ (.CLK(clknet_leaf_28_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][3] ));
+ sky130_fd_sc_hd__dfxtp_1 _7799_ (.CLK(clknet_leaf_40_wbm_clk_i),
     .D(_0824_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][12] ));
- sky130_fd_sc_hd__dfxtp_1 _7700_ (.CLK(clknet_leaf_27_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][4] ));
+ sky130_fd_sc_hd__dfxtp_1 _7800_ (.CLK(clknet_leaf_38_wbm_clk_i),
     .D(_0825_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][13] ));
- sky130_fd_sc_hd__dfxtp_1 _7701_ (.CLK(clknet_leaf_25_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][5] ));
+ sky130_fd_sc_hd__dfxtp_1 _7801_ (.CLK(clknet_leaf_39_wbm_clk_i),
     .D(_0826_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][14] ));
- sky130_fd_sc_hd__dfxtp_1 _7702_ (.CLK(clknet_leaf_23_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][6] ));
+ sky130_fd_sc_hd__dfxtp_1 _7802_ (.CLK(clknet_leaf_44_wbm_clk_i),
     .D(_0827_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][15] ));
- sky130_fd_sc_hd__dfxtp_1 _7703_ (.CLK(clknet_leaf_24_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][7] ));
+ sky130_fd_sc_hd__dfxtp_1 _7803_ (.CLK(clknet_leaf_44_wbm_clk_i),
     .D(_0828_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][16] ));
- sky130_fd_sc_hd__dfxtp_1 _7704_ (.CLK(clknet_leaf_23_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][8] ));
+ sky130_fd_sc_hd__dfxtp_1 _7804_ (.CLK(clknet_leaf_45_wbm_clk_i),
     .D(_0829_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][17] ));
- sky130_fd_sc_hd__dfxtp_1 _7705_ (.CLK(clknet_leaf_22_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][9] ));
+ sky130_fd_sc_hd__dfxtp_1 _7805_ (.CLK(clknet_leaf_44_wbm_clk_i),
     .D(_0830_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][18] ));
- sky130_fd_sc_hd__dfxtp_1 _7706_ (.CLK(clknet_leaf_21_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][10] ));
+ sky130_fd_sc_hd__dfxtp_1 _7806_ (.CLK(clknet_leaf_28_wbm_clk_i),
     .D(_0831_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][19] ));
- sky130_fd_sc_hd__dfxtp_1 _7707_ (.CLK(clknet_leaf_22_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][11] ));
+ sky130_fd_sc_hd__dfxtp_1 _7807_ (.CLK(clknet_leaf_26_wbm_clk_i),
     .D(_0832_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][20] ));
- sky130_fd_sc_hd__dfxtp_1 _7708_ (.CLK(clknet_leaf_20_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][12] ));
+ sky130_fd_sc_hd__dfxtp_1 _7808_ (.CLK(clknet_leaf_27_wbm_clk_i),
     .D(_0833_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][21] ));
- sky130_fd_sc_hd__dfxtp_1 _7709_ (.CLK(clknet_leaf_20_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][13] ));
+ sky130_fd_sc_hd__dfxtp_1 _7809_ (.CLK(clknet_leaf_26_wbm_clk_i),
     .D(_0834_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][22] ));
- sky130_fd_sc_hd__dfxtp_1 _7710_ (.CLK(clknet_leaf_20_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][14] ));
+ sky130_fd_sc_hd__dfxtp_1 _7810_ (.CLK(clknet_leaf_24_wbm_clk_i),
     .D(_0835_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][23] ));
- sky130_fd_sc_hd__dfxtp_1 _7711_ (.CLK(clknet_leaf_18_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][15] ));
+ sky130_fd_sc_hd__dfxtp_1 _7811_ (.CLK(clknet_leaf_24_wbm_clk_i),
     .D(_0836_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][24] ));
- sky130_fd_sc_hd__dfxtp_1 _7712_ (.CLK(clknet_leaf_18_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][16] ));
+ sky130_fd_sc_hd__dfxtp_1 _7812_ (.CLK(clknet_leaf_23_wbm_clk_i),
     .D(_0837_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][25] ));
- sky130_fd_sc_hd__dfxtp_1 _7713_ (.CLK(clknet_leaf_18_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][17] ));
+ sky130_fd_sc_hd__dfxtp_1 _7813_ (.CLK(clknet_leaf_22_wbm_clk_i),
     .D(_0838_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][26] ));
- sky130_fd_sc_hd__dfxtp_1 _7714_ (.CLK(clknet_leaf_5_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][18] ));
+ sky130_fd_sc_hd__dfxtp_1 _7814_ (.CLK(clknet_leaf_22_wbm_clk_i),
     .D(_0839_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][27] ));
- sky130_fd_sc_hd__dfxtp_1 _7715_ (.CLK(clknet_leaf_5_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][19] ));
+ sky130_fd_sc_hd__dfxtp_1 _7815_ (.CLK(clknet_leaf_22_wbm_clk_i),
     .D(_0840_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][28] ));
- sky130_fd_sc_hd__dfxtp_1 _7716_ (.CLK(clknet_leaf_6_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][20] ));
+ sky130_fd_sc_hd__dfxtp_1 _7816_ (.CLK(clknet_leaf_20_wbm_clk_i),
     .D(_0841_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][29] ));
- sky130_fd_sc_hd__dfxtp_1 _7717_ (.CLK(clknet_leaf_5_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][21] ));
+ sky130_fd_sc_hd__dfxtp_1 _7817_ (.CLK(clknet_leaf_20_wbm_clk_i),
     .D(_0842_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][30] ));
- sky130_fd_sc_hd__dfxtp_1 _7718_ (.CLK(clknet_leaf_7_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][22] ));
+ sky130_fd_sc_hd__dfxtp_1 _7818_ (.CLK(clknet_leaf_19_wbm_clk_i),
     .D(_0843_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][31] ));
- sky130_fd_sc_hd__dfxtp_1 _7719_ (.CLK(clknet_leaf_7_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][23] ));
+ sky130_fd_sc_hd__dfxtp_1 _7819_ (.CLK(clknet_leaf_18_wbm_clk_i),
     .D(_0844_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][32] ));
- sky130_fd_sc_hd__dfxtp_1 _7720_ (.CLK(clknet_leaf_1_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][24] ));
+ sky130_fd_sc_hd__dfxtp_1 _7820_ (.CLK(clknet_leaf_18_wbm_clk_i),
     .D(_0845_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][33] ));
- sky130_fd_sc_hd__dfxtp_1 _7721_ (.CLK(clknet_leaf_7_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][25] ));
+ sky130_fd_sc_hd__dfxtp_1 _7821_ (.CLK(clknet_leaf_4_wbm_clk_i),
     .D(_0846_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][34] ));
- sky130_fd_sc_hd__dfxtp_1 _7722_ (.CLK(clknet_leaf_9_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][26] ));
+ sky130_fd_sc_hd__dfxtp_1 _7822_ (.CLK(clknet_leaf_2_wbm_clk_i),
     .D(_0847_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][35] ));
- sky130_fd_sc_hd__dfxtp_1 _7723_ (.CLK(clknet_leaf_11_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][27] ));
+ sky130_fd_sc_hd__dfxtp_1 _7823_ (.CLK(clknet_leaf_2_wbm_clk_i),
     .D(_0848_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][36] ));
- sky130_fd_sc_hd__dfxtp_1 _7724_ (.CLK(clknet_leaf_57_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][28] ));
+ sky130_fd_sc_hd__dfxtp_1 _7824_ (.CLK(clknet_leaf_2_wbm_clk_i),
     .D(_0849_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][37] ));
- sky130_fd_sc_hd__dfxtp_1 _7725_ (.CLK(clknet_leaf_57_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][29] ));
+ sky130_fd_sc_hd__dfxtp_1 _7825_ (.CLK(clknet_leaf_6_wbm_clk_i),
     .D(_0850_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][38] ));
- sky130_fd_sc_hd__dfxtp_1 _7726_ (.CLK(clknet_leaf_8_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][30] ));
+ sky130_fd_sc_hd__dfxtp_1 _7826_ (.CLK(clknet_leaf_6_wbm_clk_i),
     .D(_0851_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][39] ));
- sky130_fd_sc_hd__dfxtp_1 _7727_ (.CLK(clknet_leaf_7_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][31] ));
+ sky130_fd_sc_hd__dfxtp_1 _7827_ (.CLK(clknet_leaf_7_wbm_clk_i),
     .D(_0852_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][40] ));
- sky130_fd_sc_hd__dfxtp_1 _7728_ (.CLK(clknet_leaf_58_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][32] ));
+ sky130_fd_sc_hd__dfxtp_1 _7828_ (.CLK(clknet_leaf_6_wbm_clk_i),
     .D(_0853_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][41] ));
- sky130_fd_sc_hd__dfxtp_1 _7729_ (.CLK(clknet_leaf_8_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][33] ));
+ sky130_fd_sc_hd__dfxtp_1 _7829_ (.CLK(clknet_leaf_6_wbm_clk_i),
     .D(_0854_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][42] ));
- sky130_fd_sc_hd__dfxtp_1 _7730_ (.CLK(clknet_leaf_1_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][34] ));
+ sky130_fd_sc_hd__dfxtp_1 _7830_ (.CLK(clknet_leaf_9_wbm_clk_i),
     .D(_0855_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][43] ));
- sky130_fd_sc_hd__dfxtp_1 _7731_ (.CLK(clknet_leaf_2_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][35] ));
+ sky130_fd_sc_hd__dfxtp_1 _7831_ (.CLK(clknet_leaf_13_wbm_clk_i),
     .D(_0856_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][44] ));
- sky130_fd_sc_hd__dfxtp_1 _7732_ (.CLK(clknet_leaf_2_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][36] ));
+ sky130_fd_sc_hd__dfxtp_1 _7832_ (.CLK(clknet_leaf_9_wbm_clk_i),
     .D(_0857_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][45] ));
- sky130_fd_sc_hd__dfxtp_1 _7733_ (.CLK(clknet_leaf_2_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][37] ));
+ sky130_fd_sc_hd__dfxtp_1 _7833_ (.CLK(clknet_leaf_8_wbm_clk_i),
     .D(_0858_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][46] ));
- sky130_fd_sc_hd__dfxtp_1 _7734_ (.CLK(clknet_leaf_65_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][38] ));
+ sky130_fd_sc_hd__dfxtp_1 _7834_ (.CLK(clknet_leaf_8_wbm_clk_i),
     .D(_0859_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][47] ));
- sky130_fd_sc_hd__dfxtp_1 _7735_ (.CLK(clknet_leaf_65_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][39] ));
+ sky130_fd_sc_hd__dfxtp_1 _7835_ (.CLK(clknet_leaf_8_wbm_clk_i),
     .D(_0860_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][48] ));
- sky130_fd_sc_hd__dfxtp_1 _7736_ (.CLK(clknet_leaf_0_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][40] ));
+ sky130_fd_sc_hd__dfxtp_1 _7836_ (.CLK(clknet_leaf_65_wbm_clk_i),
     .D(_0861_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][49] ));
- sky130_fd_sc_hd__dfxtp_1 _7737_ (.CLK(clknet_leaf_0_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][41] ));
+ sky130_fd_sc_hd__dfxtp_1 _7837_ (.CLK(clknet_leaf_7_wbm_clk_i),
     .D(_0862_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][50] ));
- sky130_fd_sc_hd__dfxtp_1 _7738_ (.CLK(clknet_leaf_64_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][42] ));
+ sky130_fd_sc_hd__dfxtp_1 _7838_ (.CLK(clknet_leaf_65_wbm_clk_i),
     .D(_0863_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][51] ));
- sky130_fd_sc_hd__dfxtp_1 _7739_ (.CLK(clknet_leaf_57_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][43] ));
+ sky130_fd_sc_hd__dfxtp_1 _7839_ (.CLK(clknet_leaf_65_wbm_clk_i),
     .D(_0864_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][52] ));
- sky130_fd_sc_hd__dfxtp_1 _7740_ (.CLK(clknet_leaf_58_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][44] ));
+ sky130_fd_sc_hd__dfxtp_1 _7840_ (.CLK(clknet_leaf_71_wbm_clk_i),
     .D(_0865_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][53] ));
- sky130_fd_sc_hd__dfxtp_1 _7741_ (.CLK(clknet_leaf_59_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][45] ));
+ sky130_fd_sc_hd__dfxtp_1 _7841_ (.CLK(clknet_leaf_66_wbm_clk_i),
     .D(_0866_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][54] ));
- sky130_fd_sc_hd__dfxtp_1 _7742_ (.CLK(clknet_leaf_60_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][46] ));
+ sky130_fd_sc_hd__dfxtp_1 _7842_ (.CLK(clknet_leaf_66_wbm_clk_i),
     .D(_0867_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][55] ));
- sky130_fd_sc_hd__dfxtp_1 _7743_ (.CLK(clknet_leaf_63_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][47] ));
+ sky130_fd_sc_hd__dfxtp_1 _7843_ (.CLK(clknet_leaf_66_wbm_clk_i),
     .D(_0868_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][56] ));
- sky130_fd_sc_hd__dfxtp_1 _7744_ (.CLK(clknet_leaf_62_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][48] ));
+ sky130_fd_sc_hd__dfxtp_1 _7844_ (.CLK(clknet_leaf_66_wbm_clk_i),
     .D(_0869_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][57] ));
- sky130_fd_sc_hd__dfxtp_1 _7745_ (.CLK(clknet_leaf_61_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][49] ));
+ sky130_fd_sc_hd__dfxtp_1 _7845_ (.CLK(clknet_leaf_68_wbm_clk_i),
     .D(_0870_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][58] ));
- sky130_fd_sc_hd__dfxtp_1 _7746_ (.CLK(clknet_leaf_36_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][50] ));
+ sky130_fd_sc_hd__dfxtp_1 _7846_ (.CLK(clknet_leaf_67_wbm_clk_i),
     .D(_0871_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][59] ));
- sky130_fd_sc_hd__dfxtp_1 _7747_ (.CLK(clknet_leaf_46_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][51] ));
+ sky130_fd_sc_hd__dfxtp_1 _7847_ (.CLK(clknet_leaf_68_wbm_clk_i),
     .D(_0872_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][60] ));
- sky130_fd_sc_hd__dfxtp_1 _7748_ (.CLK(clknet_leaf_36_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][52] ));
+ sky130_fd_sc_hd__dfxtp_1 _7848_ (.CLK(clknet_leaf_68_wbm_clk_i),
     .D(_0873_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][61] ));
- sky130_fd_sc_hd__dfxtp_1 _7749_ (.CLK(clknet_leaf_36_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][53] ));
+ sky130_fd_sc_hd__dfxtp_1 _7849_ (.CLK(clknet_leaf_67_wbm_clk_i),
     .D(_0874_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][62] ));
- sky130_fd_sc_hd__dfxtp_1 _7750_ (.CLK(clknet_leaf_35_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][54] ));
+ sky130_fd_sc_hd__dfxtp_1 _7850_ (.CLK(clknet_leaf_64_wbm_clk_i),
     .D(_0875_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][63] ));
- sky130_fd_sc_hd__dfxtp_1 _7751_ (.CLK(clknet_leaf_35_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][55] ));
+ sky130_fd_sc_hd__dfxtp_1 _7851_ (.CLK(clknet_leaf_63_wbm_clk_i),
     .D(_0876_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][64] ));
- sky130_fd_sc_hd__dfxtp_1 _7752_ (.CLK(clknet_leaf_35_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][56] ));
+ sky130_fd_sc_hd__dfxtp_1 _7852_ (.CLK(clknet_leaf_64_wbm_clk_i),
     .D(_0877_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][65] ));
- sky130_fd_sc_hd__dfxtp_1 _7753_ (.CLK(clknet_leaf_31_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][57] ));
+ sky130_fd_sc_hd__dfxtp_1 _7853_ (.CLK(clknet_leaf_62_wbm_clk_i),
     .D(_0878_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][66] ));
- sky130_fd_sc_hd__dfxtp_1 _7754_ (.CLK(clknet_leaf_39_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][58] ));
+ sky130_fd_sc_hd__dfxtp_1 _7854_ (.CLK(clknet_leaf_37_wbm_clk_i),
     .D(_0879_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .Q(\u_async_wb.u_cmd_if.mem[2][67] ));
- sky130_fd_sc_hd__dfxtp_1 _7755_ (.CLK(clknet_leaf_39_wbm_clk_i),
+    .Q(\u_async_wb.u_cmd_if.mem[2][59] ));
+ sky130_fd_sc_hd__dfxtp_1 _7855_ (.CLK(clknet_leaf_41_wbm_clk_i),
     .D(_0880_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
+    .Q(\u_async_wb.u_cmd_if.mem[2][60] ));
+ sky130_fd_sc_hd__dfxtp_1 _7856_ (.CLK(clknet_leaf_36_wbm_clk_i),
+    .D(_0881_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_async_wb.u_cmd_if.mem[2][61] ));
+ sky130_fd_sc_hd__dfxtp_1 _7857_ (.CLK(clknet_leaf_37_wbm_clk_i),
+    .D(_0882_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_async_wb.u_cmd_if.mem[2][62] ));
+ sky130_fd_sc_hd__dfxtp_1 _7858_ (.CLK(clknet_leaf_36_wbm_clk_i),
+    .D(_0883_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_async_wb.u_cmd_if.mem[2][63] ));
+ sky130_fd_sc_hd__dfxtp_1 _7859_ (.CLK(clknet_leaf_36_wbm_clk_i),
+    .D(_0884_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_async_wb.u_cmd_if.mem[2][64] ));
+ sky130_fd_sc_hd__dfxtp_1 _7860_ (.CLK(clknet_leaf_32_wbm_clk_i),
+    .D(_0885_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_async_wb.u_cmd_if.mem[2][65] ));
+ sky130_fd_sc_hd__dfxtp_1 _7861_ (.CLK(clknet_leaf_31_wbm_clk_i),
+    .D(_0886_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_async_wb.u_cmd_if.mem[2][66] ));
+ sky130_fd_sc_hd__dfxtp_1 _7862_ (.CLK(clknet_leaf_32_wbm_clk_i),
+    .D(_0887_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .Q(\u_async_wb.u_cmd_if.mem[2][67] ));
+ sky130_fd_sc_hd__dfxtp_1 _7863_ (.CLK(clknet_leaf_43_wbm_clk_i),
+    .D(_0888_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
     .Q(\u_async_wb.u_cmd_if.mem[2][68] ));
- sky130_fd_sc_hd__conb_1 _7756__188 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .HI(net188));
- sky130_fd_sc_hd__conb_1 _7757__189 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .HI(net189));
- sky130_fd_sc_hd__conb_1 _7758__190 (.VGND(vssd1),
+ sky130_fd_sc_hd__conb_1 _7864__190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .HI(net190));
- sky130_fd_sc_hd__conb_1 _7759__186 (.VGND(vssd1),
+ sky130_fd_sc_hd__conb_1 _7865__191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .LO(net186));
- sky130_fd_sc_hd__conb_1 _7760__187 (.VGND(vssd1),
+    .HI(net191));
+ sky130_fd_sc_hd__conb_1 _7866__192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .LO(net187));
- sky130_fd_sc_hd__clkbuf_1 _7761_ (.A(\u_clk_ctrl1.gen_bit_reg[0].u_bit_reg.data_out ),
+    .HI(net192));
+ sky130_fd_sc_hd__conb_1 _7867__188 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .LO(net188));
+ sky130_fd_sc_hd__conb_1 _7868__189 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .LO(net189));
+ sky130_fd_sc_hd__clkbuf_1 _7869_ (.A(\u_clk_ctrl1.gen_bit_reg[0].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net1));
- sky130_fd_sc_hd__clkbuf_1 _7762_ (.A(\u_clk_ctrl1.gen_bit_reg[1].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_1 _7870_ (.A(\u_clk_ctrl1.gen_bit_reg[1].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net12));
- sky130_fd_sc_hd__clkbuf_1 _7763_ (.A(\u_clk_ctrl1.gen_bit_reg[2].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_1 _7871_ (.A(\u_clk_ctrl1.gen_bit_reg[2].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net23));
- sky130_fd_sc_hd__clkbuf_1 _7764_ (.A(\u_clk_ctrl1.gen_bit_reg[3].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_1 _7872_ (.A(\u_clk_ctrl1.gen_bit_reg[3].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net26));
- sky130_fd_sc_hd__clkbuf_2 _7765_ (.A(\u_clk_ctrl1.gen_bit_reg[4].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_1 _7873_ (.A(\u_clk_ctrl1.gen_bit_reg[4].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net27));
- sky130_fd_sc_hd__clkbuf_1 _7766_ (.A(\u_clk_ctrl1.gen_bit_reg[5].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_1 _7874_ (.A(\u_clk_ctrl1.gen_bit_reg[5].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net28));
- sky130_fd_sc_hd__clkbuf_1 _7767_ (.A(\u_clk_ctrl1.gen_bit_reg[6].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_1 _7875_ (.A(\u_clk_ctrl1.gen_bit_reg[6].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net29));
- sky130_fd_sc_hd__clkbuf_1 _7768_ (.A(\u_clk_ctrl1.gen_bit_reg[7].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_1 _7876_ (.A(\u_clk_ctrl1.gen_bit_reg[7].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net30));
- sky130_fd_sc_hd__clkbuf_1 _7769_ (.A(\u_clk_ctrl1.gen_bit_reg[8].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_1 _7877_ (.A(\u_clk_ctrl1.gen_bit_reg[8].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net31));
- sky130_fd_sc_hd__clkbuf_1 _7770_ (.A(\u_clk_ctrl1.gen_bit_reg[9].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_1 _7878_ (.A(\u_clk_ctrl1.gen_bit_reg[9].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net32));
- sky130_fd_sc_hd__clkbuf_1 _7771_ (.A(\u_clk_ctrl1.gen_bit_reg[10].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_1 _7879_ (.A(\u_clk_ctrl1.gen_bit_reg[10].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net2));
- sky130_fd_sc_hd__clkbuf_1 _7772_ (.A(\u_clk_ctrl1.gen_bit_reg[11].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_1 _7880_ (.A(\u_clk_ctrl1.gen_bit_reg[11].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net3));
- sky130_fd_sc_hd__clkbuf_1 _7773_ (.A(\u_clk_ctrl1.gen_bit_reg[12].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_1 _7881_ (.A(\u_clk_ctrl1.gen_bit_reg[12].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net4));
- sky130_fd_sc_hd__clkbuf_1 _7774_ (.A(\u_clk_ctrl1.gen_bit_reg[13].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_1 _7882_ (.A(\u_clk_ctrl1.gen_bit_reg[13].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net5));
- sky130_fd_sc_hd__clkbuf_1 _7775_ (.A(\u_clk_ctrl1.gen_bit_reg[14].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_1 _7883_ (.A(\u_clk_ctrl1.gen_bit_reg[14].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net6));
- sky130_fd_sc_hd__clkbuf_1 _7776_ (.A(\u_clk_ctrl1.gen_bit_reg[15].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_1 _7884_ (.A(\u_clk_ctrl1.gen_bit_reg[15].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net7));
- sky130_fd_sc_hd__clkbuf_1 _7777_ (.A(\u_clk_ctrl1.gen_bit_reg[16].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_1 _7885_ (.A(\u_clk_ctrl1.gen_bit_reg[16].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net8));
- sky130_fd_sc_hd__clkbuf_1 _7778_ (.A(\u_clk_ctrl1.gen_bit_reg[17].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_1 _7886_ (.A(\u_clk_ctrl1.gen_bit_reg[17].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net9));
- sky130_fd_sc_hd__clkbuf_1 _7779_ (.A(\u_clk_ctrl1.gen_bit_reg[18].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_1 _7887_ (.A(\u_clk_ctrl1.gen_bit_reg[18].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net10));
- sky130_fd_sc_hd__clkbuf_1 _7780_ (.A(\u_clk_ctrl1.gen_bit_reg[19].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_1 _7888_ (.A(\u_clk_ctrl1.gen_bit_reg[19].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net11));
- sky130_fd_sc_hd__buf_2 _7781_ (.A(\u_clk_ctrl1.gen_bit_reg[20].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__buf_2 _7889_ (.A(\u_clk_ctrl1.gen_bit_reg[20].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net13));
- sky130_fd_sc_hd__buf_2 _7782_ (.A(\u_clk_ctrl1.gen_bit_reg[21].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_1 _7890_ (.A(\u_clk_ctrl1.gen_bit_reg[21].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net14));
- sky130_fd_sc_hd__buf_2 _7783_ (.A(\u_clk_ctrl1.gen_bit_reg[22].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__buf_2 _7891_ (.A(\u_clk_ctrl1.gen_bit_reg[22].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net15));
- sky130_fd_sc_hd__buf_2 _7784_ (.A(\u_clk_ctrl1.gen_bit_reg[23].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_4 _7892_ (.A(\u_clk_ctrl1.gen_bit_reg[23].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net16));
- sky130_fd_sc_hd__clkbuf_2 _7785_ (.A(\u_clk_ctrl1.gen_bit_reg[24].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_4 _7893_ (.A(\u_clk_ctrl1.gen_bit_reg[24].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net17));
- sky130_fd_sc_hd__clkbuf_2 _7786_ (.A(\u_clk_ctrl1.gen_bit_reg[25].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_4 _7894_ (.A(\u_clk_ctrl1.gen_bit_reg[25].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net18));
- sky130_fd_sc_hd__buf_2 _7787_ (.A(\u_clk_ctrl1.gen_bit_reg[26].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_4 _7895_ (.A(\u_clk_ctrl1.gen_bit_reg[26].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net19));
- sky130_fd_sc_hd__buf_2 _7788_ (.A(\u_clk_ctrl1.gen_bit_reg[27].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_4 _7896_ (.A(\u_clk_ctrl1.gen_bit_reg[27].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net20));
- sky130_fd_sc_hd__clkbuf_2 _7789_ (.A(\u_clk_ctrl1.gen_bit_reg[28].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_2 _7897_ (.A(\u_clk_ctrl1.gen_bit_reg[28].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net21));
- sky130_fd_sc_hd__clkbuf_2 _7790_ (.A(\u_clk_ctrl1.gen_bit_reg[29].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_2 _7898_ (.A(\u_clk_ctrl1.gen_bit_reg[29].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net22));
- sky130_fd_sc_hd__clkbuf_2 _7791_ (.A(\u_clk_ctrl1.gen_bit_reg[30].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_2 _7899_ (.A(\u_clk_ctrl1.gen_bit_reg[30].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net24));
- sky130_fd_sc_hd__buf_2 _7792_ (.A(\u_clk_ctrl1.gen_bit_reg[31].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__buf_2 _7900_ (.A(\u_clk_ctrl1.gen_bit_reg[31].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net25));
- sky130_fd_sc_hd__clkbuf_1 _7793_ (.A(\u_clk_ctrl2.gen_bit_reg[0].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_1 _7901_ (.A(\u_clk_ctrl2.gen_bit_reg[0].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net33));
- sky130_fd_sc_hd__clkbuf_2 _7794_ (.A(\u_clk_ctrl2.gen_bit_reg[1].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_1 _7902_ (.A(\u_clk_ctrl2.gen_bit_reg[1].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net44));
- sky130_fd_sc_hd__clkbuf_1 _7795_ (.A(\u_clk_ctrl2.gen_bit_reg[2].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_1 _7903_ (.A(\u_clk_ctrl2.gen_bit_reg[2].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net55));
- sky130_fd_sc_hd__clkbuf_1 _7796_ (.A(\u_clk_ctrl2.gen_bit_reg[3].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_1 _7904_ (.A(\u_clk_ctrl2.gen_bit_reg[3].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net58));
- sky130_fd_sc_hd__clkbuf_1 _7797_ (.A(\u_clk_ctrl2.gen_bit_reg[4].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_1 _7905_ (.A(\u_clk_ctrl2.gen_bit_reg[4].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net59));
- sky130_fd_sc_hd__clkbuf_1 _7798_ (.A(\u_clk_ctrl2.gen_bit_reg[5].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_1 _7906_ (.A(\u_clk_ctrl2.gen_bit_reg[5].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net60));
- sky130_fd_sc_hd__clkbuf_1 _7799_ (.A(\u_clk_ctrl2.gen_bit_reg[6].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_1 _7907_ (.A(\u_clk_ctrl2.gen_bit_reg[6].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net61));
- sky130_fd_sc_hd__clkbuf_1 _7800_ (.A(\u_clk_ctrl2.gen_bit_reg[7].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_1 _7908_ (.A(\u_clk_ctrl2.gen_bit_reg[7].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net62));
- sky130_fd_sc_hd__clkbuf_1 _7801_ (.A(\u_clk_ctrl2.gen_bit_reg[8].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_1 _7909_ (.A(\u_clk_ctrl2.gen_bit_reg[8].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net63));
- sky130_fd_sc_hd__clkbuf_1 _7802_ (.A(\u_clk_ctrl2.gen_bit_reg[9].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_1 _7910_ (.A(\u_clk_ctrl2.gen_bit_reg[9].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net64));
- sky130_fd_sc_hd__clkbuf_1 _7803_ (.A(\u_clk_ctrl2.gen_bit_reg[10].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_1 _7911_ (.A(\u_clk_ctrl2.gen_bit_reg[10].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net34));
- sky130_fd_sc_hd__clkbuf_1 _7804_ (.A(\u_clk_ctrl2.gen_bit_reg[11].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_1 _7912_ (.A(\u_clk_ctrl2.gen_bit_reg[11].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net35));
- sky130_fd_sc_hd__clkbuf_1 _7805_ (.A(\u_clk_ctrl2.gen_bit_reg[12].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_1 _7913_ (.A(\u_clk_ctrl2.gen_bit_reg[12].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net36));
- sky130_fd_sc_hd__clkbuf_1 _7806_ (.A(\u_clk_ctrl2.gen_bit_reg[13].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_1 _7914_ (.A(\u_clk_ctrl2.gen_bit_reg[13].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net37));
- sky130_fd_sc_hd__clkbuf_1 _7807_ (.A(\u_clk_ctrl2.gen_bit_reg[14].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_1 _7915_ (.A(\u_clk_ctrl2.gen_bit_reg[14].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net38));
- sky130_fd_sc_hd__clkbuf_1 _7808_ (.A(\u_clk_ctrl2.gen_bit_reg[15].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_1 _7916_ (.A(\u_clk_ctrl2.gen_bit_reg[15].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net39));
- sky130_fd_sc_hd__buf_2 _7809_ (.A(\u_clk_ctrl2.gen_bit_reg[16].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_1 _7917_ (.A(\u_clk_ctrl2.gen_bit_reg[16].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net40));
- sky130_fd_sc_hd__buf_2 _7810_ (.A(\u_clk_ctrl2.gen_bit_reg[17].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_1 _7918_ (.A(\u_clk_ctrl2.gen_bit_reg[17].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net41));
- sky130_fd_sc_hd__buf_2 _7811_ (.A(\u_clk_ctrl2.gen_bit_reg[18].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_1 _7919_ (.A(\u_clk_ctrl2.gen_bit_reg[18].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net42));
- sky130_fd_sc_hd__buf_2 _7812_ (.A(\u_clk_ctrl2.gen_bit_reg[19].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_2 _7920_ (.A(\u_clk_ctrl2.gen_bit_reg[19].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net43));
- sky130_fd_sc_hd__clkbuf_1 _7813_ (.A(\u_clk_ctrl2.gen_bit_reg[20].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_1 _7921_ (.A(\u_clk_ctrl2.gen_bit_reg[20].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net45));
- sky130_fd_sc_hd__clkbuf_1 _7814_ (.A(\u_clk_ctrl2.gen_bit_reg[21].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_1 _7922_ (.A(\u_clk_ctrl2.gen_bit_reg[21].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net46));
- sky130_fd_sc_hd__clkbuf_1 _7815_ (.A(\u_clk_ctrl2.gen_bit_reg[22].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_1 _7923_ (.A(\u_clk_ctrl2.gen_bit_reg[22].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net47));
- sky130_fd_sc_hd__clkbuf_1 _7816_ (.A(\u_clk_ctrl2.gen_bit_reg[23].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_1 _7924_ (.A(\u_clk_ctrl2.gen_bit_reg[23].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net48));
- sky130_fd_sc_hd__clkbuf_1 _7817_ (.A(\u_clk_ctrl2.gen_bit_reg[24].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_1 _7925_ (.A(\u_clk_ctrl2.gen_bit_reg[24].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net49));
- sky130_fd_sc_hd__clkbuf_1 _7818_ (.A(\u_clk_ctrl2.gen_bit_reg[25].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_1 _7926_ (.A(\u_clk_ctrl2.gen_bit_reg[25].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net50));
- sky130_fd_sc_hd__clkbuf_1 _7819_ (.A(\u_clk_ctrl2.gen_bit_reg[26].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_1 _7927_ (.A(\u_clk_ctrl2.gen_bit_reg[26].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net51));
- sky130_fd_sc_hd__clkbuf_1 _7820_ (.A(\u_clk_ctrl2.gen_bit_reg[27].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_1 _7928_ (.A(\u_clk_ctrl2.gen_bit_reg[27].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net52));
- sky130_fd_sc_hd__buf_2 _7821_ (.A(\u_clk_ctrl2.gen_bit_reg[28].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__buf_2 _7929_ (.A(\u_clk_ctrl2.gen_bit_reg[28].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net53));
- sky130_fd_sc_hd__buf_2 _7822_ (.A(\u_clk_ctrl2.gen_bit_reg[29].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__buf_2 _7930_ (.A(\u_clk_ctrl2.gen_bit_reg[29].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net54));
- sky130_fd_sc_hd__buf_2 _7823_ (.A(\u_clk_ctrl2.gen_bit_reg[30].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__buf_2 _7931_ (.A(\u_clk_ctrl2.gen_bit_reg[30].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net56));
- sky130_fd_sc_hd__buf_2 _7824_ (.A(\u_clk_ctrl2.gen_bit_reg[31].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__clkbuf_2 _7932_ (.A(\u_clk_ctrl2.gen_bit_reg[31].u_bit_reg.data_out ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net57));
- sky130_fd_sc_hd__clkbuf_1 _7825_ (.A(net138),
+ sky130_fd_sc_hd__clkbuf_2 _7933_ (.A(net197),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -97939,7 +98979,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(\clknet_leaf_11_u_uart2wb.baud_clk_16x ));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_11_wbm_clk_i (.A(clknet_3_1_0_wbm_clk_i),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_11_wbm_clk_i (.A(clknet_3_6_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -97957,7 +98997,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_12_wbm_clk_i));
- sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_13_u_uart2wb.baud_clk_16x  (.A(\clknet_2_2_0_u_uart2wb.baud_clk_16x ),
+ sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_13_u_uart2wb.baud_clk_16x  (.A(\clknet_2_3_0_u_uart2wb.baud_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -97993,7 +99033,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_15_wbm_clk_i));
- sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_16_u_uart2wb.baud_clk_16x  (.A(\clknet_2_3_0_u_uart2wb.baud_clk_16x ),
+ sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_16_u_uart2wb.baud_clk_16x  (.A(\clknet_2_2_0_u_uart2wb.baud_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -98005,7 +99045,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_16_wbm_clk_i));
- sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_17_u_uart2wb.baud_clk_16x  (.A(\clknet_2_3_0_u_uart2wb.baud_clk_16x ),
+ sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_17_u_uart2wb.baud_clk_16x  (.A(\clknet_2_2_0_u_uart2wb.baud_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -98029,7 +99069,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_18_wbm_clk_i));
- sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_19_u_uart2wb.baud_clk_16x  (.A(\clknet_2_2_0_u_uart2wb.baud_clk_16x ),
+ sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_19_u_uart2wb.baud_clk_16x  (.A(\clknet_2_3_0_u_uart2wb.baud_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -98089,24 +99129,12 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_22_wbm_clk_i));
- sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_23_u_uart2wb.baud_clk_16x  (.A(\clknet_2_2_0_u_uart2wb.baud_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(\clknet_leaf_23_u_uart2wb.baud_clk_16x ));
  sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_23_wbm_clk_i (.A(clknet_3_5_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_23_wbm_clk_i));
- sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_24_u_uart2wb.baud_clk_16x  (.A(\clknet_2_2_0_u_uart2wb.baud_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(\clknet_leaf_24_u_uart2wb.baud_clk_16x ));
  sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_24_wbm_clk_i (.A(clknet_3_5_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -98125,7 +99153,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_25_wbm_clk_i));
- sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_26_u_uart2wb.baud_clk_16x  (.A(\clknet_opt_2_0_u_uart2wb.baud_clk_16x ),
+ sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_26_u_uart2wb.baud_clk_16x  (.A(\clknet_2_2_0_u_uart2wb.baud_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -98137,7 +99165,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_26_wbm_clk_i));
- sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_27_u_uart2wb.baud_clk_16x  (.A(\clknet_2_2_0_u_uart2wb.baud_clk_16x ),
+ sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_27_u_uart2wb.baud_clk_16x  (.A(\clknet_2_1_0_u_uart2wb.baud_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -98173,13 +99201,13 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_29_wbm_clk_i));
- sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_2_u_uart2wb.baud_clk_16x  (.A(\clknet_2_3_0_u_uart2wb.baud_clk_16x ),
+ sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_2_u_uart2wb.baud_clk_16x  (.A(\clknet_2_0_0_u_uart2wb.baud_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(\clknet_leaf_2_u_uart2wb.baud_clk_16x ));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_2_wbm_clk_i (.A(clknet_3_0_0_wbm_clk_i),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_2_wbm_clk_i (.A(clknet_3_1_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -98203,7 +99231,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(\clknet_leaf_31_u_uart2wb.baud_clk_16x ));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_31_wbm_clk_i (.A(clknet_3_7_0_wbm_clk_i),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_31_wbm_clk_i (.A(clknet_3_5_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -98227,7 +99255,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(\clknet_leaf_33_u_uart2wb.baud_clk_16x ));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_33_wbm_clk_i (.A(clknet_3_4_0_wbm_clk_i),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_33_wbm_clk_i (.A(clknet_3_5_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -98239,7 +99267,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(\clknet_leaf_34_u_uart2wb.baud_clk_16x ));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_34_wbm_clk_i (.A(clknet_3_6_0_wbm_clk_i),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_34_wbm_clk_i (.A(clknet_3_4_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -98251,13 +99279,13 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(\clknet_leaf_35_u_uart2wb.baud_clk_16x ));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_35_wbm_clk_i (.A(clknet_3_6_0_wbm_clk_i),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_35_wbm_clk_i (.A(clknet_3_4_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_35_wbm_clk_i));
- sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_36_u_uart2wb.baud_clk_16x  (.A(\clknet_2_0_0_u_uart2wb.baud_clk_16x ),
+ sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_36_u_uart2wb.baud_clk_16x  (.A(\clknet_2_1_0_u_uart2wb.baud_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -98269,13 +99297,19 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_36_wbm_clk_i));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_37_wbm_clk_i (.A(clknet_3_6_0_wbm_clk_i),
+ sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_37_u_uart2wb.baud_clk_16x  (.A(\clknet_2_1_0_u_uart2wb.baud_clk_16x ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\clknet_leaf_37_u_uart2wb.baud_clk_16x ));
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_37_wbm_clk_i (.A(clknet_3_4_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_37_wbm_clk_i));
- sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_38_u_uart2wb.baud_clk_16x  (.A(\clknet_2_1_0_u_uart2wb.baud_clk_16x ),
+ sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_38_u_uart2wb.baud_clk_16x  (.A(\clknet_2_0_0_u_uart2wb.baud_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -98317,7 +99351,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(\clknet_leaf_40_u_uart2wb.baud_clk_16x ));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_40_wbm_clk_i (.A(clknet_3_7_0_wbm_clk_i),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_40_wbm_clk_i (.A(clknet_3_6_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -98329,7 +99363,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(\clknet_leaf_41_u_uart2wb.baud_clk_16x ));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_41_wbm_clk_i (.A(clknet_3_7_0_wbm_clk_i),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_41_wbm_clk_i (.A(clknet_3_6_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -98347,7 +99381,7 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_42_wbm_clk_i));
- sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_43_u_uart2wb.baud_clk_16x  (.A(\clknet_opt_1_0_u_uart2wb.baud_clk_16x ),
+ sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_43_u_uart2wb.baud_clk_16x  (.A(\clknet_2_0_0_u_uart2wb.baud_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -98371,31 +99405,31 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_45_wbm_clk_i));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_46_wbm_clk_i (.A(clknet_3_6_0_wbm_clk_i),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_46_wbm_clk_i (.A(clknet_3_7_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_46_wbm_clk_i));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_47_wbm_clk_i (.A(clknet_3_6_0_wbm_clk_i),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_47_wbm_clk_i (.A(clknet_3_7_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_47_wbm_clk_i));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_48_wbm_clk_i (.A(clknet_opt_1_0_wbm_clk_i),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_48_wbm_clk_i (.A(clknet_3_7_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_48_wbm_clk_i));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_49_wbm_clk_i (.A(clknet_opt_2_0_wbm_clk_i),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_49_wbm_clk_i (.A(clknet_3_7_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_49_wbm_clk_i));
- sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_4_u_uart2wb.baud_clk_16x  (.A(\clknet_2_3_0_u_uart2wb.baud_clk_16x ),
+ sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_4_u_uart2wb.baud_clk_16x  (.A(\clknet_2_2_0_u_uart2wb.baud_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -98407,55 +99441,61 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_4_wbm_clk_i));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_50_wbm_clk_i (.A(clknet_3_2_0_wbm_clk_i),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_50_wbm_clk_i (.A(clknet_3_7_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_50_wbm_clk_i));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_51_wbm_clk_i (.A(clknet_3_2_0_wbm_clk_i),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_51_wbm_clk_i (.A(clknet_3_6_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_51_wbm_clk_i));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_53_wbm_clk_i (.A(clknet_3_3_0_wbm_clk_i),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_52_wbm_clk_i (.A(clknet_3_6_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(clknet_leaf_52_wbm_clk_i));
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_53_wbm_clk_i (.A(clknet_3_2_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_53_wbm_clk_i));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_54_wbm_clk_i (.A(clknet_3_3_0_wbm_clk_i),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_54_wbm_clk_i (.A(clknet_opt_1_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_54_wbm_clk_i));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_55_wbm_clk_i (.A(clknet_3_1_0_wbm_clk_i),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_55_wbm_clk_i (.A(clknet_3_2_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_55_wbm_clk_i));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_56_wbm_clk_i (.A(clknet_3_3_0_wbm_clk_i),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_56_wbm_clk_i (.A(clknet_3_2_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_56_wbm_clk_i));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_57_wbm_clk_i (.A(clknet_3_0_0_wbm_clk_i),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_57_wbm_clk_i (.A(clknet_3_2_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_57_wbm_clk_i));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_58_wbm_clk_i (.A(clknet_3_0_0_wbm_clk_i),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_58_wbm_clk_i (.A(clknet_3_2_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_58_wbm_clk_i));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_59_wbm_clk_i (.A(clknet_3_3_0_wbm_clk_i),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_59_wbm_clk_i (.A(clknet_3_2_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -98479,25 +99519,25 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_60_wbm_clk_i));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_61_wbm_clk_i (.A(clknet_3_2_0_wbm_clk_i),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_61_wbm_clk_i (.A(clknet_3_3_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_61_wbm_clk_i));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_62_wbm_clk_i (.A(clknet_3_2_0_wbm_clk_i),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_62_wbm_clk_i (.A(clknet_3_1_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_62_wbm_clk_i));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_63_wbm_clk_i (.A(clknet_3_2_0_wbm_clk_i),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_63_wbm_clk_i (.A(clknet_3_1_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_63_wbm_clk_i));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_64_wbm_clk_i (.A(clknet_3_0_0_wbm_clk_i),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_64_wbm_clk_i (.A(clknet_3_3_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -98509,7 +99549,31 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_65_wbm_clk_i));
- sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_6_u_uart2wb.baud_clk_16x  (.A(\clknet_opt_3_0_u_uart2wb.baud_clk_16x ),
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_66_wbm_clk_i (.A(clknet_3_3_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(clknet_leaf_66_wbm_clk_i));
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_67_wbm_clk_i (.A(clknet_3_3_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(clknet_leaf_67_wbm_clk_i));
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_68_wbm_clk_i (.A(clknet_3_3_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(clknet_leaf_68_wbm_clk_i));
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_69_wbm_clk_i (.A(clknet_3_3_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(clknet_leaf_69_wbm_clk_i));
+ sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_6_u_uart2wb.baud_clk_16x  (.A(\clknet_2_3_0_u_uart2wb.baud_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -98521,6 +99585,24 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_6_wbm_clk_i));
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_70_wbm_clk_i (.A(clknet_3_0_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(clknet_leaf_70_wbm_clk_i));
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_71_wbm_clk_i (.A(clknet_3_0_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(clknet_leaf_71_wbm_clk_i));
+ sky130_fd_sc_hd__clkbuf_16 clkbuf_leaf_72_wbm_clk_i (.A(clknet_3_0_0_wbm_clk_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(clknet_leaf_72_wbm_clk_i));
  sky130_fd_sc_hd__clkbuf_16 \clkbuf_leaf_7_u_uart2wb.baud_clk_16x  (.A(\clknet_2_3_0_u_uart2wb.baud_clk_16x ),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -98557,240 +99639,270 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_leaf_9_wbm_clk_i));
- sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_1_0_u_uart2wb.baud_clk_16x  (.A(\clknet_2_0_0_u_uart2wb.baud_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(\clknet_opt_1_0_u_uart2wb.baud_clk_16x ));
  sky130_fd_sc_hd__clkbuf_16 clkbuf_opt_1_0_wbm_clk_i (.A(clknet_3_2_0_wbm_clk_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(clknet_opt_1_0_wbm_clk_i));
- sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_2_0_u_uart2wb.baud_clk_16x  (.A(\clknet_2_2_0_u_uart2wb.baud_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(\clknet_opt_2_0_u_uart2wb.baud_clk_16x ));
- sky130_fd_sc_hd__clkbuf_16 clkbuf_opt_2_0_wbm_clk_i (.A(clknet_3_2_0_wbm_clk_i),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(clknet_opt_2_0_wbm_clk_i));
- sky130_fd_sc_hd__clkbuf_16 \clkbuf_opt_3_0_u_uart2wb.baud_clk_16x  (.A(\clknet_2_3_0_u_uart2wb.baud_clk_16x ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(\clknet_opt_3_0_u_uart2wb.baud_clk_16x ));
  sky130_fd_sc_hd__dlygate4sd3_1 hold1 (.A(\u_async_wb.wbs_ack_f ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net192));
- sky130_fd_sc_hd__clkbuf_16 hold10 (.A(net213),
+    .X(net194));
+ sky130_fd_sc_hd__clkdlybuf4s50_1 hold10 (.A(\u_async_wb.u_cmd_if.sync_wr_ptr_1[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net200));
- sky130_fd_sc_hd__buf_12 hold11 (.A(\u_arb.rstn ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net201));
- sky130_fd_sc_hd__buf_2 hold12 (.A(net202),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(wbs_dat_o[4]));
- sky130_fd_sc_hd__clkdlybuf4s50_1 hold13 (.A(_0979_),
+    .X(net202));
+ sky130_fd_sc_hd__clkdlybuf4s50_1 hold11 (.A(_0915_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net203));
- sky130_fd_sc_hd__clkdlybuf4s50_1 hold14 (.A(_0978_),
+ sky130_fd_sc_hd__clkdlybuf4s50_1 hold12 (.A(\u_async_wb.u_cmd_if.grey_rd_ptr[2] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net204));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold15 (.A(_0908_),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold13 (.A(_0918_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net205));
- sky130_fd_sc_hd__clkbuf_2 hold16 (.A(_0915_),
+ sky130_fd_sc_hd__clkdlybuf4s50_1 hold14 (.A(_0920_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net206));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold17 (.A(\u_uart2wb.u_msg.TxMsgBuf[17] ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold15 (.A(\u_wbm_rst.arst_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net207));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold18 (.A(\u_uart2wb.u_core.u_line_rst.in_data_s ),
+ sky130_fd_sc_hd__buf_4 hold16 (.A(_1249_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net208));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold19 (.A(\u_uart2wb.u_async_reg_bus.out_flag_s ),
+ sky130_fd_sc_hd__clkbuf_4 hold17 (.A(wbm_rst_i),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net209));
- sky130_fd_sc_hd__clkdlybuf4s50_1 hold2 (.A(net192),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net191));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold20 (.A(\u_async_wb.u_resp_if.sync_wr_ptr_0[1] ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold18 (.A(_1570_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net210));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold21 (.A(\u_wbm_rst.in_data_s ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold19 (.A(_0139_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net211));
- sky130_fd_sc_hd__clkbuf_16 hold22 (.A(net200),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net212));
- sky130_fd_sc_hd__clkdlybuf4s50_1 hold23 (.A(\u_async_wb.u_cmd_if.rd_reset_n ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net213));
- sky130_fd_sc_hd__clkbuf_1 hold24 (.A(net199),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net214));
- sky130_fd_sc_hd__dlygate4sd3_1 hold25 (.A(\u_uart2wb.line_reset_n ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net215));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold26 (.A(\u_async_wb.u_cmd_if.sync_rd_ptr_0[1] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net216));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold27 (.A(\u_async_wb.u_cmd_if.sync_rd_ptr_0[0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net217));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold28 (.A(\u_async_wb.u_resp_if.sync_wr_ptr_0[0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net218));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold29 (.A(\u_uart2wb.u_core.u_rxd_sync.in_data_s ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net219));
- sky130_fd_sc_hd__clkdlybuf4s50_1 hold3 (.A(\u_wbm_rst.arst_n ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold2 (.A(net194),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net193));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold30 (.A(\u_async_wb.u_cmd_if.sync_rd_ptr_0[2] ),
+ sky130_fd_sc_hd__clkbuf_2 hold20 (.A(wbm_stb_i),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net212));
+ sky130_fd_sc_hd__buf_6 hold21 (.A(net216),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net213));
+ sky130_fd_sc_hd__clkbuf_16 hold22 (.A(net219),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net214));
+ sky130_fd_sc_hd__buf_6 hold23 (.A(net213),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net215));
+ sky130_fd_sc_hd__clkdlybuf4s50_1 hold24 (.A(\u_arb.rstn ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net216));
+ sky130_fd_sc_hd__buf_12 hold25 (.A(net233),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net217));
+ sky130_fd_sc_hd__clkbuf_16 hold26 (.A(net214),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net218));
+ sky130_fd_sc_hd__clkdlybuf4s50_1 hold27 (.A(\u_async_wb.u_cmd_if.rd_reset_n ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net219));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold28 (.A(\u_async_wb.u_resp_if.sync_rd_ptr_0[0] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net220));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold31 (.A(\u_uart2wb.u_core.u_rxd_sync.in_data_2s ),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold29 (.A(\u_async_wb.u_resp_if.sync_wr_ptr_0[1] ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net221));
- sky130_fd_sc_hd__buf_6 hold32 (.A(net201),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net222));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold33 (.A(\u_wbs_rst.in_data_s ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net223));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold34 (.A(\u_async_wb.u_cmd_if.sync_wr_ptr_0[0] ),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net224));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold4 (.A(_1555_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net194));
- sky130_fd_sc_hd__clkdlybuf4s25_1 hold5 (.A(_0139_),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1),
-    .X(net195));
- sky130_fd_sc_hd__clkbuf_2 hold6 (.A(wbm_stb_i),
+ sky130_fd_sc_hd__dlygate4sd3_1 hold3 (.A(net195),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net196));
- sky130_fd_sc_hd__clkbuf_4 hold7 (.A(_0919_),
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold30 (.A(\u_uart2wb.u_async_reg_bus.in_flag_s ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net222));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold31 (.A(\u_async_wb.u_cmd_if.sync_rd_ptr_0[2] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net223));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold32 (.A(\u_wbm_rst.in_data_s ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net224));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold33 (.A(\u_async_wb.u_resp_if.sync_wr_ptr_0[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net225));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold34 (.A(\u_async_wb.u_cmd_if.sync_rd_ptr_0[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net226));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold35 (.A(\u_uart2wb.u_core.u_line_rst.in_data_s ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net227));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold36 (.A(\u_async_wb.u_cmd_if.sync_rd_ptr_0[1] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net228));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold37 (.A(\u_uart2wb.u_core.u_rxd_sync.in_data_2s ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net229));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold38 (.A(\u_uart2wb.u_async_reg_bus.out_flag_s ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net230));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold39 (.A(\u_uart2wb.u_core.u_rxd_sync.in_data_s ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net231));
+ sky130_fd_sc_hd__buf_2 hold4 (.A(net196),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(wbs_cyc_o));
+ sky130_fd_sc_hd__clkbuf_16 hold40 (.A(net217),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net232));
+ sky130_fd_sc_hd__buf_2 hold41 (.A(\u_uart2wb.line_reset_n ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net233));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold42 (.A(\u_wbs_rst.in_data_s ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net234));
+ sky130_fd_sc_hd__clkdlybuf4s25_1 hold43 (.A(\u_async_wb.u_cmd_if.sync_wr_ptr_0[0] ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net235));
+ sky130_fd_sc_hd__dlymetal6s2s_1 hold5 (.A(net138),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net197));
- sky130_fd_sc_hd__buf_2 hold8 (.A(net198),
+ sky130_fd_sc_hd__clkdlybuf4s50_1 hold6 (.A(_0949_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net198));
+ sky130_fd_sc_hd__dlygate4sd3_1 hold7 (.A(net199),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net200));
+ sky130_fd_sc_hd__buf_2 hold8 (.A(net200),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(wbs_we_o));
- sky130_fd_sc_hd__buf_4 hold9 (.A(\u_uart2wb.line_reset_n ),
+ sky130_fd_sc_hd__buf_2 hold9 (.A(net176),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net199));
+    .X(net201));
  sky130_fd_sc_hd__buf_2 output1 (.A(net1),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -99054,7 +100166,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(wbs_cyc_o));
+    .X(net195));
  sky130_fd_sc_hd__buf_2 output139 (.A(net139),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -99234,7 +100346,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net202));
+    .X(wbs_dat_o[4]));
  sky130_fd_sc_hd__buf_2 output166 (.A(net166),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -99301,12 +100413,12 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(wbs_stb_o));
- sky130_fd_sc_hd__buf_2 output176 (.A(net176),
+ sky130_fd_sc_hd__buf_2 output176 (.A(net201),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
-    .X(net198));
+    .X(net199));
  sky130_fd_sc_hd__buf_2 output18 (.A(net18),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -99847,60 +100959,72 @@
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(wbm_dat_o[5]));
- sky130_fd_sc_hd__buf_12 repeater177 (.A(\u_uart2wb.line_reset_n ),
+ sky130_fd_sc_hd__buf_12 repeater177 (.A(net178),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net177));
- sky130_fd_sc_hd__buf_12 repeater178 (.A(net215),
+ sky130_fd_sc_hd__buf_12 repeater178 (.A(net233),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net178));
- sky130_fd_sc_hd__buf_12 repeater179 (.A(\u_arb.rstn ),
+ sky130_fd_sc_hd__buf_12 repeater179 (.A(net184),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net179));
- sky130_fd_sc_hd__buf_12 repeater180 (.A(net184),
+ sky130_fd_sc_hd__buf_12 repeater180 (.A(net187),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net180));
- sky130_fd_sc_hd__buf_12 repeater181 (.A(net184),
+ sky130_fd_sc_hd__buf_12 repeater181 (.A(net182),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net181));
- sky130_fd_sc_hd__buf_12 repeater182 (.A(net184),
+ sky130_fd_sc_hd__buf_12 repeater182 (.A(net187),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net182));
- sky130_fd_sc_hd__buf_12 repeater183 (.A(net185),
+ sky130_fd_sc_hd__buf_12 repeater183 (.A(net187),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net183));
- sky130_fd_sc_hd__buf_12 repeater184 (.A(net185),
+ sky130_fd_sc_hd__buf_12 repeater184 (.A(net187),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net184));
- sky130_fd_sc_hd__buf_12 repeater185 (.A(\u_arb.rstn ),
+ sky130_fd_sc_hd__buf_12 repeater185 (.A(net186),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(net185));
+ sky130_fd_sc_hd__buf_12 repeater186 (.A(net187),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net186));
+ sky130_fd_sc_hd__buf_12 repeater187 (.A(net215),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net187));
  sky130_fd_sc_hd__clkbuf_1 \u_buf_wb_rst.u_buf  (.A(\u_buf_wb_rst.A ),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -100170,14 +101294,14 @@
     .VPWR(vccd1),
     .X(net69));
  sky130_fd_sc_hd__mux2_8 \u_uart2wb.u_core.u_uart_clk.u_mux  (.A0(\u_uart2wb.u_core.line_clk_16x ),
-    .A1(net186),
-    .S(net187),
+    .A1(net188),
+    .S(net189),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1),
     .X(\u_uart2wb.baud_clk_16x ));
- sky130_fd_sc_hd__mux2_2 \u_usb_clk_sel.u_mux  (.A0(user_clock2),
+ sky130_fd_sc_hd__mux2_1 \u_usb_clk_sel.u_mux  (.A0(user_clock2),
     .A1(\u_usb_clk_sel.A1 ),
     .S(\u_glb_ctrl.gen_bit_reg[31].u_bit_reg.data_out ),
     .VGND(vssd1),
diff --git a/verilog/rtl/pinmux/src/pinmux_reg.sv b/verilog/rtl/pinmux/src/pinmux_reg.sv
index e9f7125..64f99c7 100644
--- a/verilog/rtl/pinmux/src/pinmux_reg.sv
+++ b/verilog/rtl/pinmux/src/pinmux_reg.sv
@@ -769,7 +769,7 @@
 //-----------------------------------------
 // Software Reg-2, Release date: <DAY><MONTH><YEAR>
 // ----------------------------------------
-gen_32b_reg  #(32'h0203_2022) u_reg_23	(
+gen_32b_reg  #(32'h1003_2022) u_reg_23	(
 	      //List of Inputs
 	      .reset_n    (h_reset_n     ),
 	      .clk        (mclk          ),
@@ -782,9 +782,9 @@
 	      );
 
 //-----------------------------------------
-// Software Reg-3: Poject Revison 3.7 = 0003700
+// Software Reg-3: Poject Revison 3.8 = 0003800
 // ----------------------------------------
-gen_32b_reg  #(32'h0003_7000) u_reg_24	(
+gen_32b_reg  #(32'h0003_8000) u_reg_24	(
 	      //List of Inputs
 	      .reset_n    (h_reset_n     ),
 	      .clk        (mclk          ),
diff --git a/verilog/rtl/uart_i2c_usb_spi/src/uart_i2c_usb_spi.sv b/verilog/rtl/uart_i2c_usb_spi/src/uart_i2c_usb_spi.sv
index d3378e0..028f1d7 100644
--- a/verilog/rtl/uart_i2c_usb_spi/src/uart_i2c_usb_spi.sv
+++ b/verilog/rtl/uart_i2c_usb_spi/src/uart_i2c_usb_spi.sv
@@ -168,8 +168,8 @@
 
 wire reg_uart_cs  = (reg_addr[7:6] == `SEL_UART) ? reg_cs : 1'b0;
 wire reg_i2cm_cs  = (reg_addr[7:6] == `SEL_I2C)  ? reg_cs : 1'b0;
-wire reg_usb_cs   = (reg_addr[7:6] == `SEL_UART) ? reg_cs : 1'b0;
-wire reg_spim_cs  = (reg_addr[7:6] == `SEL_SPI) ?  reg_cs : 1'b0;
+wire reg_usb_cs   = (reg_addr[7:6] == `SEL_USB)  ? reg_cs : 1'b0;
+wire reg_spim_cs  = (reg_addr[7:6] == `SEL_SPI)  ?  reg_cs : 1'b0;
 
 uart_core  u_uart_core (  
 
diff --git a/verilog/rtl/uprj_netlists.v b/verilog/rtl/uprj_netlists.v
index b54f218..6eebbd8 100644
--- a/verilog/rtl/uprj_netlists.v
+++ b/verilog/rtl/uprj_netlists.v
@@ -42,7 +42,9 @@
      `include "libs.ref/sky130_fd_sc_hvl/verilog/sky130_fd_sc_hvl.v"
 
 
-     `include "sram_macros/sky130_sram_2kbyte_1rw1r_32x512_8.v"
+     `ifndef FULL_CHIP_SIM 
+        `include "sram_macros/sky130_sram_2kbyte_1rw1r_32x512_8.v"
+     `endif
      `include "pinmux/src/pinmux.sv"
      `include "pinmux/src/pinmux_reg.sv"
      `include "pinmux/src/gpio_intr.sv"
diff --git a/verilog/rtl/usb1_host/src/top/usb1_host.sv b/verilog/rtl/usb1_host/src/top/usb1_host.sv
index 1de70d4..6ee0ff5 100644
--- a/verilog/rtl/usb1_host/src/top/usb1_host.sv
+++ b/verilog/rtl/usb1_host/src/top/usb1_host.sv
@@ -57,8 +57,8 @@
     input                  in_dp   ,
     input                  in_dn   ,
 
-    input                  out_dp   ,
-    input                  out_dn   ,
+    output                 out_dp   ,
+    output                 out_dn   ,
     output                 out_tx_oen,
 
     // Master Port
diff --git a/verilog/rtl/user_project_wrapper.v b/verilog/rtl/user_project_wrapper.v
index c5c4ca2..95a32cc 100644
--- a/verilog/rtl/user_project_wrapper.v
+++ b/verilog/rtl/user_project_wrapper.v
@@ -186,7 +186,10 @@
 ////       1. qspi cs# port mapping changed from io 28:25 to 25:28////
 ////       2. sspi, bug fix in reg access and endian support added////
 ////       3. Wishbone interconnect now support cross-connect     ////
-////          feature
+////          feature                                             ////
+////    3.8  Mar 10 2022, Dinesh A                                ////
+////         1. usb chip select bug inside uart_* wrapper         ////
+////         2. in wb_host, increased usb clk ctrl to 4 to 8 bit  ////
 //////////////////////////////////////////////////////////////////////
 ////                                                              ////
 //// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
diff --git a/verilog/rtl/wb_host/src/wb_host.sv b/verilog/rtl/wb_host/src/wb_host.sv
index 55bf9fb..eef549a 100644
--- a/verilog/rtl/wb_host/src/wb_host.sv
+++ b/verilog/rtl/wb_host/src/wb_host.sv
@@ -152,7 +152,7 @@
 logic  [3:0]        cfg_wb_clk_ctrl;
 logic  [3:0]        cfg_cpu_clk_ctrl;
 logic  [7:0]        cfg_rtc_clk_ctrl;
-logic  [3:0]        cfg_usb_clk_ctrl;
+logic  [7:0]        cfg_usb_clk_ctrl;
 logic  [7:0]        cfg_glb_ctrl;
 
 // uart Master Port
@@ -363,7 +363,7 @@
 assign cfg_wb_clk_ctrl      = reg_0[11:8];
 assign cfg_rtc_clk_ctrl     = reg_0[19:12];
 assign cfg_cpu_clk_ctrl     = reg_0[23:20];
-assign cfg_usb_clk_ctrl     = reg_0[31:28];
+assign cfg_usb_clk_ctrl     = reg_0[31:24];
 
 
 always @( *)
@@ -544,8 +544,8 @@
 wire   usb_ref_clk;
 wire   usb_clk_int;
 
-wire       cfg_usb_clk_div       = cfg_usb_clk_ctrl[3];
-wire [2:0] cfg_usb_clk_ratio     = cfg_usb_clk_ctrl[2:0];
+wire       cfg_usb_clk_div       = cfg_usb_clk_ctrl[7];
+wire [6:0] cfg_usb_clk_ratio     = cfg_usb_clk_ctrl[6:0];
 
 assign usb_ref_clk = user_clock2 ;
 //assign usb_clk_int = (cfg_usb_clk_div)     ? usb_clk_div : usb_ref_clk;
@@ -554,7 +554,7 @@
 
 ctech_clk_buf u_clkbuf_usb (.A (usb_clk_int), . X(usb_clk));
 
-clk_ctl #(2) u_usbclk (
+clk_ctl #(6) u_usbclk (
    // Outputs
        .clk_o         (usb_clk_div      ),
    // Inputs